JP2004523903A - 薄膜及びトリシランを用いる薄膜の形成方法 - Google Patents
薄膜及びトリシランを用いる薄膜の形成方法 Download PDFInfo
- Publication number
- JP2004523903A JP2004523903A JP2002564165A JP2002564165A JP2004523903A JP 2004523903 A JP2004523903 A JP 2004523903A JP 2002564165 A JP2002564165 A JP 2002564165A JP 2002564165 A JP2002564165 A JP 2002564165A JP 2004523903 A JP2004523903 A JP 2004523903A
- Authority
- JP
- Japan
- Prior art keywords
- film
- forming
- containing film
- thickness
- less
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 152
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 title claims abstract description 98
- 239000010409 thin film Substances 0.000 title claims description 35
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 56
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 56
- 239000010703 silicon Substances 0.000 claims abstract description 56
- 238000004519 manufacturing process Methods 0.000 claims abstract description 43
- 230000003746 surface roughness Effects 0.000 claims abstract description 34
- 239000002096 quantum dot Substances 0.000 claims abstract description 30
- 239000012212 insulator Substances 0.000 claims abstract description 27
- 238000009792 diffusion process Methods 0.000 claims abstract description 19
- 239000010408 film Substances 0.000 claims description 401
- 239000000758 substrate Substances 0.000 claims description 83
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 51
- 229910000077 silane Inorganic materials 0.000 claims description 51
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 43
- 230000015572 biosynthetic process Effects 0.000 claims description 42
- 238000006243 chemical reaction Methods 0.000 claims description 42
- 239000002019 doping agent Substances 0.000 claims description 40
- 239000007789 gas Substances 0.000 claims description 38
- 239000004065 semiconductor Substances 0.000 claims description 38
- 239000002243 precursor Substances 0.000 claims description 35
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 31
- 238000005229 chemical vapour deposition Methods 0.000 claims description 28
- 230000008569 process Effects 0.000 claims description 25
- 238000000137 annealing Methods 0.000 claims description 24
- 239000000463 material Substances 0.000 claims description 22
- 229910007991 Si-N Inorganic materials 0.000 claims description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 16
- 229910006294 Si—N Inorganic materials 0.000 claims description 16
- 229910052757 nitrogen Inorganic materials 0.000 claims description 15
- 238000002230 thermal chemical vapour deposition Methods 0.000 claims description 15
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 13
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 12
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 12
- 229910052796 boron Inorganic materials 0.000 claims description 12
- 238000010438 heat treatment Methods 0.000 claims description 12
- 229910052785 arsenic Inorganic materials 0.000 claims description 8
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 claims description 8
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 7
- 229910052698 phosphorus Inorganic materials 0.000 claims description 7
- 239000011574 phosphorus Substances 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 239000013078 crystal Substances 0.000 claims description 6
- 229910052787 antimony Inorganic materials 0.000 claims description 5
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 claims description 5
- 229910052799 carbon Inorganic materials 0.000 claims description 5
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- 229910052738 indium Inorganic materials 0.000 claims description 5
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 3
- 229910044991 metal oxide Inorganic materials 0.000 claims description 3
- 150000004706 metal oxides Chemical class 0.000 claims description 3
- 229910052914 metal silicate Inorganic materials 0.000 claims description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 2
- 229910052739 hydrogen Inorganic materials 0.000 claims description 2
- 238000009736 wetting Methods 0.000 abstract description 13
- 239000011248 coating agent Substances 0.000 abstract description 2
- 238000000576 coating method Methods 0.000 abstract description 2
- 238000005755 formation reaction Methods 0.000 description 40
- 238000000151 deposition Methods 0.000 description 36
- 230000008021 deposition Effects 0.000 description 32
- 235000012431 wafers Nutrition 0.000 description 29
- 239000000203 mixture Substances 0.000 description 19
- 238000005259 measurement Methods 0.000 description 12
- 239000012159 carrier gas Substances 0.000 description 9
- 239000000523 sample Substances 0.000 description 9
- LELOWRISYMNNSU-UHFFFAOYSA-N hydrogen cyanide Chemical compound N#C LELOWRISYMNNSU-UHFFFAOYSA-N 0.000 description 8
- 230000006911 nucleation Effects 0.000 description 8
- 238000010899 nucleation Methods 0.000 description 8
- 238000012935 Averaging Methods 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- 239000003990 capacitor Substances 0.000 description 6
- 230000000052 comparative effect Effects 0.000 description 6
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 230000036961 partial effect Effects 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 5
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 4
- 239000004593 Epoxy Substances 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 238000013459 approach Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000006185 dispersion Substances 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 238000005275 alloying Methods 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 239000003822 epoxy resin Substances 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 238000001000 micrograph Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229920000647 polyepoxide Polymers 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 239000007833 carbon precursor Substances 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000000635 electron micrograph Methods 0.000 description 2
- 238000000572 ellipsometry Methods 0.000 description 2
- -1 etc.) Chemical compound 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- 229910005742 Ge—C Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910008310 Si—Ge Inorganic materials 0.000 description 1
- AXQKVSDUCKWEKE-UHFFFAOYSA-N [C].[Ge].[Si] Chemical compound [C].[Ge].[Si] AXQKVSDUCKWEKE-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006757 chemical reactions by type Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000002050 diffraction method Methods 0.000 description 1
- VXGHASBVNMHGDI-UHFFFAOYSA-N digermane Chemical compound [Ge][Ge] VXGHASBVNMHGDI-UHFFFAOYSA-N 0.000 description 1
- 230000003467 diminishing effect Effects 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 238000002017 high-resolution X-ray diffraction Methods 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 238000004439 roughness measurement Methods 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- QNXQPPKJWUDNQJ-UHFFFAOYSA-N silylarsane Chemical compound [AsH2][SiH3] QNXQPPKJWUDNQJ-UHFFFAOYSA-N 0.000 description 1
- HVXTXDKAKJVHLF-UHFFFAOYSA-N silylmethylsilane Chemical compound [SiH3]C[SiH3] HVXTXDKAKJVHLF-UHFFFAOYSA-N 0.000 description 1
- SMOJNZMNQIIIPK-UHFFFAOYSA-N silylphosphane Chemical compound P[SiH3] SMOJNZMNQIIIPK-UHFFFAOYSA-N 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- IBEFSUTVZWZJEL-UHFFFAOYSA-N trimethylindium Chemical compound C[In](C)C IBEFSUTVZWZJEL-UHFFFAOYSA-N 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/36—Carbonitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
- C30B29/06—Silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02422—Non-crystalline insulating materials, e.g. glass, polymers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/02428—Structure
- H01L21/0243—Surface structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02494—Structure
- H01L21/02496—Layer structure
- H01L21/0251—Graded layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02576—N-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02579—P-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02592—Microstructure amorphous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02595—Microstructure polycrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02598—Microstructure monocrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/225—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
- H01L21/2251—Diffusion into or out of group IV semiconductors
- H01L21/2254—Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
- H01L21/2257—Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28525—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32055—Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
-
- H01L28/84—
-
- H01L29/127—
-
- H01L29/51—
-
- H01L29/517—
-
- H01L29/66181—
-
- H01L29/66242—
-
- H01L31/1804—
-
- H01L31/182—
-
- H01L31/202—
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02667—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
-
- H01L29/518—
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/546—Polycrystalline silicon PV cells
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/547—Monocrystalline silicon PV cells
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P70/00—Climate change mitigation technologies in the production process for final industrial or consumer products
- Y02P70/50—Manufacturing or production processes characterised by the final manufactured product
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/933—Germanium or silicon or Ge-Si on III-V
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Mechanical Engineering (AREA)
- Inorganic Chemistry (AREA)
- Nanotechnology (AREA)
- Composite Materials (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Electrodes Of Semiconductors (AREA)
- Bipolar Transistors (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Separation Using Semi-Permeable Membranes (AREA)
- Led Devices (AREA)
- Physical Vapour Deposition (AREA)
Abstract
Description
【0001】
本発明は、一般的には、Siを含有するフィルム(薄膜)の成膜に関し、さらに詳細には、様々な基板上へのSi含有フィルムの成膜に用いられている化学気相成長法におけるトリシラン(Si3H8)の使用に関する。
【背景技術】
【0002】
半導体製造の分野では、化学気相成長(CVD)法によるSiを含有する("Si含有")フィルムの成膜に、シラン(SiH4)が広く使用されている。しかし、シランを用いる極めて薄い(例えば、約150Å以下)Si含有フィルムの成膜は難しく、面積の広い基板では特に難しい。シランを使用して成膜された非常に薄いSi含有フィルムは、アイランド状にフィルムの核生成が起こるので、連続したフィルムにはならないことが多く、また、アイランド状の核の集合であるために、表面が非常に粗くなる傾向がある。さらに、ドーパント元素の相対的な合金化速度が相違するために、フィルム内におけるドープされた元素の組成が、縦横の方向及び/又は深さ方向で均一ではないことが多い。得られるフィルムは、元素の含有量の均一性に欠けるので、フィルムの表面の各位置及び/又は深さ方向で、物理的性質が均一なものが得られない。
【0003】
元素の含有量が均一なフィルムを成膜することは、シリコン源の前駆体としてシランなどの従来のシリコン源に依存する気相成長法にとっては、難しい挑戦を意味する。シランを利用する通常のファーニスベース(furnace-based)の成膜方法では、一般的に、厚さが100Å以下で、連続した滑らかで均一なフィルムを成膜することができない。例えば、プラズマ励起CVD法の場合には、厚さが約200Å以下であり、均一な連続したフィルムの成膜には、大きな制限がある。米国特許第5,648,293号公報には、フィルムの厚さが約15nm(150Å)未満の場合には、トランジスタのゲート絶縁体上に非晶質シリコン層があるために、電子の移動性が低くなること、トランジスタのスレショールド電圧が上昇することという2つの問題が起こると記載されている。同様に、代表的な枚葉型ウェーハの熱CVD法においても、厚さが150Å以下で、滑らかで均一な薄膜材料を成膜することは困難である。
【0004】
Siを含有するフィルムを形成し、それをデバイスに組み込む試みは、まったく成功を見ていない。例えば、米国特許第6,194,237号公報には、SiO2上にSi0.7Ge0.3の導体層を形成し、この導体層上にSiO2の別の層を形成し、次に、導体層が量子ドットを形成するように、アニーリングを行う方法が開示されている。上記の導体層の厚さは30Åとされているが、得られた量子ドットに、サイズと分布のばらつきが大きく、このことは、導体層が均一に形成されなかったことを示している。さらに、大きさと分布が均一な量子ドットを得るための試みが開示されているが、その試みは、例えば、高温での処理であること及び/又はより複雑な成膜条件を含んでいる(例えば、米国特許第6,235,618号公報参照)。
【0005】
日本公開特許H03−187215号公報には、厚さが180Åのフィルムを形成するために、熱CVD装置の中で、純粋なジシラン(シラン及びトリシランを含まない)を用いる方法が開示されている(日本公開特許H03−187215号公報も参照のこと)。また、米国特許第5,789,030号公報には、ドープされたフィルムを形成するために、ドーパントガス種を導入する前に、はじめにシリコンの極めて薄い層を形成することを含む、"in situ"ドープトシリコンフィルムを成膜するための低圧CVD(LPCVD)法が開示されている。はじめに形成されるドープされない層の厚さはわずか数分子層と記載されているが、"in situ"ドープト部を含む層全体の厚さは、500〜2,000Åとなっている。
【0006】
従来、シランの代わりに、ジシランやトリシランなどの分子数のより高いシランを用いる方法が記されているものがある。しかし、ほとんどんの場合、シランの使用に関するデータだけが報告されている。ジシラン(Si2H6)は、シランより安定ではないことが知られている。また、ジシランを用いる成膜実験では、ジシランはステップカバレージ性に劣ること、400〜600℃の温度範囲内で膜生成反応をコントロールすることはあまりにも厳しすぎることが報告されている(米国特許第5,227,329号公報参照)。トリシランは、シランに比べて、熱的な安定性がさらに劣っている。
【0007】
非常に薄く、滑らかな面のSi含有フィルムを成膜することができるようになると、長い間感じられていた必要性を満足し、半導体製造技術、特に非常に小さな回路ディメンジョンを有する次世代の超小型電子デバイスの製造の面で、大きな進展がもたらされるはずである。
【発明の開示】
【0008】
発明者らは、トリシランを用いることにより、薄い、滑らかなSi含有フィルムを成膜することが可能なことを見いだした。本発明に係る薄いフィルムの成膜方法は、ある表面粗さを有する基板が配置された反応容器内に、トリシランを含むガスを導入するステップと、前記反応容器内において、トリシランの化学気相成長条件を確立するステップと、前記基板上に、Si含有フィルムを成膜するステップとを含み、成膜される前記Si含有フィルムが、約1平方μm以上の表面領域において、厚さが10〜150Åの範囲であり、表面粗さが前記基板の表面粗さより約5Årms以下だけ大きいことを特徴としている。
【0009】
また、本発明に係る別の薄いフィルムの成膜方法は、基板が配置された反応容器内に、トリシランを導入するステップと、前記基板上に、連続した、非晶質のSi含有フィルムを、熱CVD法により成膜するステップとを含み、成膜される前記Si含有フィルムの厚さが約100Å未満で、表面積が約1平方μm以上であることを特徴としている。
【0010】
また、本発明に係る半導体製造におけるデバイスの製造歩留まりの向上方法は、シランを用いて、基板上にSi含有フィルムを成膜し、完成品の個数NT個で、その内仕様を満足するデバイスの個数がNA個、仕様を満足しないデバイスの個数がNU個であり、前記Si含有フィルムの平均厚さが約2000Å以下、前記基板の表面積が約300cm2以上、デバイスの製造歩留まりがNA/NTの条件で、半導体デバイスを製造する方法を特定すること、前記半導体デバイスの製造方法における前記シランをトリシランに置き換えることにより、デバイスの歩留まりを向上させることを含むことを特徴としている。
【0011】
また、本発明に係る集積回路は、連続した、非晶質のSi含有フィルムを備える集積回路であって、前記Si含有フィルムの厚さが15〜150Å、表面積が約1平方μm以上、フィルムの平均厚さ100〜150Åの範囲に対する厚さの不均一性が10%以下、フィルムの平均厚さ50〜99Åの範囲に対する厚さの不均一性が15%以下、フィルムの平均厚さ50Å未満の範囲に対する厚さの不均一性が20%以下であることを特徴としている。
【発明を実施するための最良の形態】
【0012】
上記又はその他の本発明に係る技術思想は、特許請求の範囲に記載された事項及び以下に詳細に説明する好ましい実施の形態によって、さらによく理解されるであろう。
【0013】
超小型電子デバイスの製造では、CVD法によりSi含有フィルムを成膜するために、長い間、シラン(SiH4)が用いられてきた。デバイスの歩留まりや生産性をさらに向上させるために、よく制御された再現性のある成膜プロセスが、強く要求されている。しかし、加熱と温度コントロールシステムに起因する動的な温度変動が、CVD法によって基板表面に形成されるフィルムの不均一性に、重要な影響を及ぼしていることが分かってきた。一般に、形成されたフィルムは、厚さに関しても元素の組成に関しても、できるだけ均一であることが求められている。しかし、現在のプロセスでは、等級を変えるような不均一なフィルムが形成される傾向がある。このことは、基板の表面温度が成膜速度や形成されるフィルムの組成に影響を及ぼすことが分かってきており、特に、基板表面の温度のばらつきによるものと考えられている。さらに、温度コントロールシステムは、成膜の進展に応じて変化する基板の露出面に対応するものでなければならない。ガス流量、全圧を含むその他のプロセスパラメータに関するコントロールが不完全な場合には、フィルムの物理的性質の不均一性を招くということも考えられる。枚葉型ウェーハの水平ガス流反応器に用いられているSiCが被覆されたグラファイト部材(例えば、予熱リングとサセプタ)の温度のばらつきも、不均一なフィルムを形成する原因になるはずである。
【0014】
このようなプロセスパラメータのばらつきにより、あらゆる特定の瞬間における成膜速度が、フィルム上の位置の関数に応じて変化する。その結果、フィルムの厚さが、フィルム面にわたってばらつくことになる。同様に、時間的にあらゆる瞬間で成膜が進むフィルムの組成も、複数の成分を含むフィルムでは、表面の位置から位置の間で変化する。理論によるまでもなく、そのようなばらつきは、複数の成分を含有するフィルム内のそれぞれの元素を導入するのに用いられる前駆体(ドーパント前駆体を含む)間に存在する乖離吸着活性化エネルギの相違に、直接的に関係している。これは、以下に説明する平均化/調整(averaging-out/tuning)アプローチでは、組成的な不均一性問題を解決する必要がないことを意味する。
【0015】
多くの場合、直径200mmのウェーハ上に、数ダース又は数百個のデバイスを製造する工程には、Si含有フィルムの成膜が含まれる。業界では、最近は、直径300mmのウェーハに遷りつつあり、また、将来はさらに大きなウェーハが用いられる予定である。製造プロセスの間に、Si含有フィルムの厚さ及び/又は組成に顕著なばらつきがあると、デバイスがその影響を受ける。影響を受けたデバイスが、要求されている特性上の仕様又は基準に合わない場合には、製造歩留まりの低下という結果になる。また、特定のデバイス内のフィルムのばらつきにより、デバイスの性能及び/又は信頼性が低下する。
【0016】
厚さの不均一性は、相対的に厚いフィルムを形成することによって、ある程度軽減することができる。このアプローチは、あらゆる個々の層の成膜時間に応じて、不均一性が平均化される傾向があるという事実に基づいている。温度、加熱ランプの配置、ガス流量、ガス圧、ガス組成などの反応器処理変数により、特に、回転するウェーハ支持台を備えた枚葉型ウェーハシステムでは、全フィルム厚さが平均化されるように、調整される。
【0017】
調整には、相違する予め選ばれたそれぞれの成膜条件の組み合わせの基で、多数のフィルムを成膜することを含んでいる。各フィルムの厚さのばらつき(偏差)を測定し、その結果を分析することにより、厚さのばらつきを減らすか、又は除くことができる条件を識別する。しかし、本発明者らは、調整により、プロセス全体にわたって均一な温度分布とする必要はなく、むしろ、調整処理の結果が、特定の反応温度の設定点に対する温度変動によって生じる厚さのばらつきを時間的に平均化することであるということに気が付いた。
【0018】
したがって、調整によって、成膜プロセス全体を通して、基板の温度を均一にすることは必要とされない。このことは、言い換えれば、組成は、本来フィルム面の縦横方向、深さ方向という三次元的に均一であることが要求されるので、組成のばらつきという問題を生じさせる。これは、多くのフィルムがドーパントを含んでおり、これらのドーパントの量がフィルムの電気的性質に影響を及ぼすからである。温度が不均一なため、フィルム内のドーパントの合金化が不均一という結果になる。同様に、その他の特性も不均一となる。
【0019】
成膜の不均一性に関する問題は、極めて薄いSi含有フィルムを形成する場合に、特に深刻である。フィルムを製造することができる技術は、回路ディメンジョンが縮小され、デバイスがよりコンパクトになるに従って、ますます重要になってきている。しかし、上記の平均化/調整アプローチは、フィルムの成膜処理時間が、厚膜の場合より通常短く、平均化のためにフィルムを厚くする時間が少ないので、著しく不適切なものになってきている。さらに、高度にコンパクト化されたデバイスは、組成の不均一性により敏感であるので、平均化/反応調整によるアプローチは、適切ではない努力が行われていることになる。
【0020】
薄い膜におけるフィルムの均一性は、核生成現象によっても影響を受ける。核生成に関しては、完全には理解されていないが、シランを用いる成膜では、はじめに基板の表面に多数の分離したシリコンのアイランドが形成されるプロセスを経て、フィルムになっていくことが観察されている。フィルム形成の過程で、これらのアイランドは、相互に接触するまで成長を続け、最終的に連続したシリコンのフィルムになる。この時点では、シリコンフィルムは、通常、最初の核生成サイトに対応する位置のピークと、アイランド同士の接触部に相当する位置の谷とで構成された粗い表面となっている。表面の粗さは、特に、シリコン酸化物、シリコン窒化物などの絶縁体の表面に層、特にドープされた層を成膜する場合に顕著である。成膜がさらに進み、フィルムが厚くなるとともに、上述した方法と同様な平均化プロセスによって、厚さの均一性が向上する。
【0021】
一般的に、従来のシランを用いる成膜法では、薄い、連続したSi含有フィルムを成膜することは極めて困難である。その理由は、アイランドが成長して相互に接触し、連続したフィルムを形成する前に、アイランドのピーク近傍の領域で、フィルムの厚さが目標の厚さに到達してしまうからである。これらの問題は、より薄いフィルムではさらに深刻化し、また、非晶質フィルムでは原子の表面移動性を悪化させる。フィルムの連続性に関する問題は、シランを用いて厚さ約200Å以下のフィルムを形成する成膜プロセスでは特に重要で、厚さ約100Å以下のフィルムの場合にはなおさらである。約1平方μm以上の表面領域を有する極めて薄いフィルムの場合にも、このような深刻な困難さに遭遇することが多い。表面領域の面積が5平方μm以上の場合はさらに困難である。基板の性質も、その表面が核生成とその成長に影響を及ぼすという点で、シランによる成膜を複雑化する。このように、例えば、シランを用いるパターン化された絶縁体基板への極薄の連続した非晶質フィルムの成膜は、特に困難である。
【0022】
シリコン含有前駆体、好ましくはトリシラン(H3SiSiH2SiH3)を利用する成膜法は、基板表面の温度のばらつきにそれほど敏感ではないことが見い出された。好ましい実施の形態によれば、これらの方法は、核生成現象にもそれほど敏感ではない。本発明に係る成膜法の実施には、多くの利点がある。例えば、本発明に係る方法によれば、ドーパントがフィルム全体、好ましくはフィルムの縦横方向及び深さ方向に均一に分散した、ドープトSi含有フィルムのような、均一で薄い新規なSi含有フィルムの製造が可能になる。また、この方法によれば、極薄の連続したフィルムの製造も可能である。言い換えれば、これらの利点により、高い歩留まりでデバイスを製造することが可能になり、また、より小さな回路ディメンジョン及び/又は高い信頼性を有する新しいデバイスを製造することも可能になる。これらの利点及びその他の利点を以下に説明する。
【0023】
ここで説明するSi含有フィルムは、様々な方法で製造することができる。例えば、トリシランの管理を対象に多量供給が可能な状態に設定されたトリシランを用いる成膜条件下で、成膜を行うことが好ましい。上記のような管理下におけるトリシランの多量供給では、成膜速度は、実質的に温度に依存しない。このことは、基板表面における小さな温度のばらつきは、ほとんど又は全く成膜速度に影響を及ぼさないことを意味する。このことにより、厚さと組成のばらつきが大幅に小さくなるので、本発明に係る好ましいSi含有フィルムの製造が可能であることが分かった。
【0024】
トリシランを用いる成膜条件は、トリシランによる成膜にとって十分なエネルギを供給することによって、決定することが好ましい。この際の成膜速度は、主にトリシランが基板の表面に供給される速度によりコントロールされ、また、以下に説明するように、基板を加熱することにより、コントロールされることが好ましい。好ましい成膜方法は、トリシランが存在する適当な反応容器の中で、トリシランによる成膜条件を確立することと、反応容器の中に配置された基板にSi含有フィルムを成膜することとを含んでいる。
【0025】
トリシランによる成膜は、本技術に係る技術者によく知られている様々なCVD法を用いて、実施することができる。しかし、以下に示すCVD法に従って実施した場合に、もっとも大きな効果が発揮される。開示する方法は、プラズマ励起気相成長(PECVD)法、熱CVD法を含むCVD法の採用、CVD反応容器内に配置された基板上へのSi含有フィルムの成膜に対するガス状のトリシランの利用によって実施することができる。なかでも、熱CVD(プラズマのアシストなし)が特に好ましい。
【0026】
好ましい実施の形態では、反応容器に、供給ガスの1成分としてトリシランを導入する。CVD反応容器への供給ガスの導入には、適当なマニホールドを用いるのがよい。また、CVD反応容器内のガス流は水平がよく、もっとも好ましいのは、反応容器が枚葉型ウェーハ用、水平ガス流反応型であり、光輝加熱型がさらに好ましい。このタイプに適した反応器は市販されており、好ましいモデルには、アリゾナ州フェニックスにあるASM-America Inc.から売り出されている"EpsilonTMシリーズの枚葉型ウェーハリアクタ"がある。本発明に係る方法は、シャワーヘッド処理のような別の反応器にも適用することができるが、基板を回転させる方法を採用しているEpsilonTM反応容器のような水平型の1パスの層流処理タイプで、優れた均一性と速い成膜速度という特長が、特に効果的に発揮されることが分かった。CVD処理は、反応容器にプラズマを導入することによって実施されるが、反応容器内にプラズマが存在しない状態で成膜するのが好ましく、熱CVDがもっとも好ましい。
【0027】
トリシランは、供給ガス又は供給ガスのうちの1成分としての形で、CVD反応容器へ導入するのがよい。CVD反応容器内の全圧は、約0.001〜約780torr(0.13〜1.04×105Pa)の範囲が好ましく、より好ましいのは約0.1〜約760torr(13〜1.01×105Pa)の範囲、もっとも好ましいのは約1〜700torr(1.3×102〜0.93×105Pa)の範囲である。トリシランの分圧は、全圧に対して、約0.0001〜約100%の範囲が好ましく、より好ましいのは約0.001〜約50%の範囲である。圧力1〜100torr(1.3×102〜1.3×104Pa)の範囲の条件における成膜によれば、驚くほど優れた均一性を有するフィルムが得られることが分かった。従来の前駆体を用いる条件の場合、フィルムのコンフォーマリティ(conformality)を低下させるガス相反応になるという考え方が一般に支持されていたので、上記のような結果は、まさに驚くべきことである。
【0028】
供給ガスは、不活性のキャリアガスなど、トリシラン以外のガスを含んでいてもよい。水素ガス又は窒素ガスは、本発明に係る方法においては好ましいキャリアガスである。トリシランは、トリシラン蒸気を同伴させるために、キャリアガスとともに用いられるバブラを介して反応容器に導入するのがよい。バブラは、温度コントロールされていることがさらに好ましい。
【0029】
供給ガスは、本技術に係る技術者に知られている、Si含有フィルムに、目標とするドーピングや合金化を行うのに有益な別の材料を含んでいてもよい。ガスは、さらに、ゲルマニウム、炭素、ボロン、インジウム、ヒ素、燐、アンチモン、窒素及び酸素で構成されたグループから選ばれた1つの元素の前駆体を含んでいることが好ましい。いくつかの組み合わせでは、ガスは、さらに、次の例に限定されるものではないが、シラン、ジシラン、テトラシラン、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、炭化水素(例えば、メタン、エタン、プロパンなど)、一酸化炭素、二酸化炭素、HCN(シアン化水素)、アンモニア、原子状窒素、ヒドラジンN2O、NO2及びドーパント前駆体で構成されたグループから選ばれた1つ又はそれ以上の化合物を含んでいる。
【0030】
トリシランを用いるCVD法によって形成されるSi含有フィルムへのドーパントの注入は、ガス相のドーパント前駆体を用いて、"in situ"ドーピングにより実施することが好ましい。電気的なドーパント用の前駆体には、ジボラン、重水素化ジボラン、ホスフィン、ヒ素蒸気及びアルシンなどがある。ドーパントとしての燐、ヒ素用の前駆体には、シリルホスフィン[(H3Si)3-XPRX]及びシリルアルシン[(H3Si)3-XAsRX](X=0〜2、RX=H及び/又はD)が好ましい。SbH3及びトリメチルインジウムは、それぞれ、アンチモン源、インジウム源として好ましい。このようなドーパントの前駆体は、以下に説明する好ましい半導体フィルム、すなわち、好ましくはボロン、燐、アンチモン、インジウム又はヒ素がドープされたシリコンのフィルム又は合金、SiC、SiGe又はSiGeCのフィルム又は合金を形成するのに有効である。
【0031】
供給ガス中のドーパントの前駆体の量は、Si含有フィルム内のドーパントの目標レベルが得られるように調整するのがよい。供給ガス中の濃度の例は、供給ガスの質量ベースで、約1ppm〜約1%である。ただし、目標の特性を有するフィルムを得るためには、さらに、高いか低い量が好ましいことがある。本発明に係る方法に好適なEpsilonTMシリーズの枚葉型反応器の場合には、キャリアガス中にドーパントの前駆体を含む希薄な混合ガスを、ドーパントの目標含有量とドーパントガスの濃度に応じて、標準状態で約10〜約200sccmの範囲のセットポイントを備えた流量コントローラを介して、反応器に供給することができる。上記の希薄な混合ガスは、トリシラン及びいずれかのトリシランキャリアガスを混合することによって、さらに希釈することが好ましい。本発明に係る方法に好適なEpsilonTMシリーズの枚葉型反応器における成膜用の全流量の例は、標準状態で約20〜約180slm(リットル/分)の範囲であることが多いので、この方法に用いられるドーパント前駆体の濃度は、通常極めて低い。
【0032】
ガス中のトリシランとその他の成分との相対的な分圧は、Si含有フィルムの成膜が行われている間、相対的に一定に維持することが好ましい。フィルムの厚さは、技術的に知られているように、対象とする用途に応じて、与えられた組み合わせの成膜パラメータ(例えば、全圧及び温度)に対して、成膜時間及び/又はガス流量を変えることによって、変化させるのがよい。得られるフィルム内に成分を実質的に均一に含ませるためには、その成分(又はその成分の前駆体)とトリシランを、成膜に先だって、均一なガス状の混合物となるように混合しておくことが好ましい。
【0033】
トリシランを用いる熱CVDの場合には、基板の温度約400℃以上、より好ましくは約450℃以上、さらに好ましくは約500℃以上で、成膜を行うのがよい。非晶質フィルムの成膜は、約750℃以下、好ましくは約700℃以下、さらに好ましくは約650℃以下の温度で行うのがよい。そのような温度には、指示された温度に基板を加熱することによって実現するのがよい。温度が約600℃を超えると、微結晶や多結晶構造への遷移及び成膜速度が速くなるので、表面粗さが粗くなる傾向がある。一方、エピタキシャルフィルムは、適切に調整された基板上に、十分に高い温度で成長させることによって得ることができる。
【0034】
本技術に係る技術者であれば、実際の製造における実態(例えば、熱費の維持、特定の用途における表面粗さの許容範囲、組成のばらつきの許容範囲など)を考慮して、上記の温度範囲に調節することができる。例えば、トリシランを用いて、酸化物の基板上に、極薄の(例えば、約10〜約50Å)の非晶質Si含有フィルムを成膜するためには、成膜温度は約450℃〜525℃の範囲とするのがよい。この好ましい成膜温度は、対象とする用途に応じて定まるものであるが、例えば、約400〜約750℃、好ましくは約425〜約700℃、より好ましくは約450〜約650℃の範囲とするのがよい。
【0035】
本発明に係るフィルムは、約5Å/分以上、より好ましくは10Å/分以上、さらに好ましくは20Å/分以上の速度で成膜するのがよい。特に比較的薄いフィルムに関しては、通常、比較的低い成膜速度で、良好な厚さの均一性が達成される。
【0036】
トリシランを用いる好ましい成膜方法によれば、様々な基板上に、薄い、連続したSi含有フィルムの成膜が可能である。図1は、好ましい構造100を示す模式的断面図であり、基板120上に直接成膜されたSi含有フィルムを示す図である。好ましい基板は、非単結晶材料で構成され、さらに好ましくは絶縁体材料で構成されている。好ましい絶縁体材料の例には、様々なシリコン酸化物、金属酸化物、金属シリケート、シリコン酸窒化物、シリコン窒化物がある。
【0037】
Si含有フィルムは、非晶質、多結晶又は単結晶であることが好ましい。このSi含有フィルムは、シリコン以外に、ゲルマニウム、窒素、炭素、ボロン、インジウム、ヒ素、燐及びアンチモンなどの1つ又はそれ以上の元素を含んでいてもよい。Si含有フィルムに対する好ましいドーパントは、ヒ素、ボロン及び燐である。ドープされる場合、Si含有フィルム中のドーパントの含有量は、約1×1014〜約1×1022原子/cm3の範囲とするのがよい。
【0038】
上記の1つ又はそれ以上の元素は、最適化されたプロセスでシリコン源としてシランが用いられる場合と比べて、Si含有フィルム全体にわたって、より均一に分散している。組成の均一性は、電気的な測定法(例えば、4−ポイントプローブ)、SIMS(二次イオン質量分析法)、RBS(ラザフォード−バックスキャッタリング分光法)、エリプソメトリ及び/又は高分解能X線回折法(HR−XRD)を用いることによって測定することができる。
【0039】
1つのSi含有フィルムと別のフィルム、1つの成膜プロセスと別のプロセスとを比較する場合には、SIMSを用いて、Si含有フィルムが成膜された円形のウェーハ基板の組成の均一性を測定する。SIMSによる測定は、ウェーハの中心、ウェーハの中心と端部の中間("r/2")及びウェーハの端部から3mm内側("3mm内側部")の3点を対象に測定する。該当するそれぞれのシリコン以外の元素について、SIMSのデータから各位置におけるその元素の量を決定する。次に、得られた結果を、全体に対して原子%で表示する。次に、3つの値の平均値を求め、さらに標準偏差を求める。
【0040】
対象とするSi含有フィルム又は成膜プロセスに関して、組成の不均一性は、最大値と最小値の合計で標準偏差を割ったもので、その結果を百分率(パーセント)で表示する。例えば、3つの値が、3原子%、5原子%、10原子%であれば、最大値と最小値の合計が13で、標準偏差が3.6であるので、組成の不均一性は28%と表される(3.6/13=28%)。
【0041】
組成の不均一性値は、Si含有フィルム内の元素の含有量に応じて変わるのが好ましい。元素の含有量が1原子%以上であれば、Si含有フィルムの組成に関する不均一性は、約25%以下が好ましく、より好ましくは約20%以下、さらに好ましくは約15%以下、もっとも好ましくは10%以下である。例えば、SiGeフィルムのGeは、通常、そのフィルム中に約1原子%以上を示すはずであり、上記の好ましい条件がSiGeフィルムにも適用される。元素の含有量が0.001〜1原子%の範囲であれば、Si含有フィルムに関する組成の不均一性は、約100%以下が好ましく、より好ましくは約75%以下、さらに好ましくは約50%以下、もっとも好ましくは約25%以下である。元素の含有量が0.001原子%未満であれば、Si含有フィルムに関する組成の不均一性は、約400%以下が好ましく、より好ましくは約300%以下、さらに好ましくは約200%以下、もっとも好ましくは約100%以下の範囲である。例えば、含有量が変化するSiGeフィルムのGe含有量は、広い範囲で変化するので、プロフィールに応じて、上記の範囲より大きな範囲が適用される。
【0042】
本発明に係る成膜方法は、より厚いフィルムの成膜に利用することができるが、Si含有フィルムの厚さが約500Å以下の場合に、特に、これらの方法の優位性が発揮される。本発明に係る方法は、従来の方法に比べて、フィルムの厚さが薄くなるとともに、ますます有効になる傾向があり、好適なSi含有フィルムの厚さは、約150Å以下、さらに好ましくは約125Å以下、もっとも好ましくは約100Å以下である。成膜されるフィルムの連続性を保証するためには、Si含有フィルムの好ましい厚さは、約10Å以上、より好ましくは20Å以上、もっとも好ましくは25Å以上である。このように、本発明に係る成膜方法によれば、厚さが約10〜約150Å、より好ましくは約20〜約125Å、もっとも好ましくは約25〜約100Åの範囲にある、好ましいSi含有フィルムの成膜が可能である。
【0043】
フィルムの厚さ測定に適した方法には、マルチポイント−エリプソメトリ法がある。フィルムの厚さを測定する装置は、よく知られており、また市販されている。好ましい装置に、カリフォルニア州サニーベールにあるNanometrics,Inc.製のNanoSpecRシリーズの装置がある。Si含有フィルムの厚さは、基板の断面試料を作製し、適当な顕微鏡観察、もっとも好ましくは電子顕微鏡による観察によって測定することも可能である。例えば、図1は、断面における厚さ130の測定を示す図である。厚さを測定するスパン(平面の範囲)は、フィルムの厚さの10倍からSi含有フィルムの全スパンの範囲のうちのいずれかとすればよい。フィルムの厚さが、そのスパンでばらついている場合には、その厚さは、平均値、例えば、設定したスパンにおけるフィルムの最大厚さと最小厚さの算術平均により求める。例えば、図2に示した構造200の場合には、Si含有フィルム210の厚さは、スパン220における厚さ230(最小値)と厚さ240(最大値)の合計の半分に等しい平均厚さとなる。
【0044】
ここで用いられる二乗平均平方根:rms(より好ましくは、平均二乗誤差の平方根)は、対象とする集団の数値によって表されるばらつきの大きさを表示する手段である。例えば、yグラムの平均質量を有する対象物のグループにおいて、グループ内のそれぞれの数値が、(y'−y)で表されるある量だけ、平均値からずれた質量y'を有するものとする。rmsを計算するために、これらの相違を二乗し(これらが正の数であることを保証するために)、合計し、平均することにより平均二乗誤差を求める。平均二乗誤差の平方根がrmsばらつき(偏差:variability)である。
【0045】
Si含有フィルムは、フィルム面全面にわたって高度に均一な厚さを有していることが好ましい。一般に、上記の均一性測定は、径が200〜300mmの地が露出しているか酸化物でカバーされたウェーハの全面に成膜することによって得られたフィルムを対象とすることができるが、ウェーハ周縁から3mm以内の領域については測定しない。フィルム厚さの均一性は、径に対応するランダムに選ばれたマルチポイントで厚さ測定を行い、様々な厚さ測定値から厚さの平均値を求め、rmsばらつきを求めることによって、決定される。フィルム厚さの測定装置には、Nanospec8300XSE装置R(カリフォルニア州サニーベールにあるNanometrics,Inc.から市販されている)を利用するのが好ましい。また、測定には、ランダムに選択されたウェーハの径に対応する49点のフィルム厚さを測定するためにも、上記のような装置を用いるのがよい。
【0046】
実際、厚さのばらつきは、例えば、上記のような測定に対応する装置によって直接求められ、手計算を必要としない。比較することができるように、その結果に関しては、rms厚さばらつきを平均厚さで割り、結果をパーセントで表示するために100倍することによって、不均一性をパーセント表示で表すのがよい。上記の測定ができない表面を有するフィルム(例えば、1層又はそれ以上の層がその上に成膜されたフィルムや、集積回路に組み込まれたフィルムなど)の厚さの不均一性を測定する場合には、フィルムの断面試料を作製し、電子顕微鏡により測定するのがよい。フィルム断面について、もっとも薄い部分ともっとも厚い部分のフィルムの厚さを測定し、これらの2点の厚さ測定値の範囲(差)(例えば、±6Å)を、2つの測定値の合計で割る。ここでは、この厚さの不均一性をパーセントで表示する。
【0047】
すべてのフィルムに対して、厚さの不均一性のパーセント値は、約20%以下が好ましい。表1に、フィルムの平均厚さに対応させて、厚さの不均一性のパーセント値に関する好ましい範囲を示す。なお、表1に示した厚さの不均一性のパーセント値に関するそれぞれの値は、その数値の前に「約」が付いているものとして理解されなければならない。
【0048】
【表1】
【0049】
Si含有フィルムは、様々な表面形態(topography)上で、コンフォーマル(conformal)にコーティングされていることが好ましい。コンフォーマルなコーティングは、上に被覆される層(もしあれば)の曲率に従った層である。Si含有フィルムは、ステップカバレージ性に優れていることが好ましい。「ステップカバレージ」は、段差のある面に被覆されるコンフォーマルなSi含有フィルムの厚さが均一であることを意味する。ステップ(段差)のある面とは、同一の水平面に配置されない2つ以上の平行部を有する面である。例えば、図3は、Si含有フィルム310が、シリコン基板330上に、シリコン酸化物層320の存在によって段差が生じている面に、良好なステップカバレージ性で形成されている構造300を示す断面図である。ステップカバレージ性は、ステップのボトム部340におけるSi含有フィルムの厚さの平均値の測定、ステップのトップ部350における厚さの平均値の測定と、この測定値によるボトム部340の厚さの平均値の除算、その結果をパーセントで表示するための100倍によって求めることが好ましい。
【0050】
同様に、図4Aは、Si含有フィルム410が、半導体基板420に設けられたトレンチ(溝)上に、良好なステップカバレージ性で形成されているトレンチ構造400を示す断面図である。ステップカバレージ性は、同様に、トレンチのボトム部430におけるSi含有フィルムの厚さの平均値の測定、トレンチのトップ部440、450における厚さの平均値の測定と、これらの測定値によるボトム部430の厚さの平均値の除算、その結果をパーセントで表示するための100倍によって求めることが好ましい。好ましいSi含有フィルムは、例え、アスペクト比が高い場合であっても、良好なステップカバレージ性を有している。「アスペクト比」とは、構造における水平方向の幅に対する段差の垂直方向の高さの比を意味する。例えば、図4に示したトレンチのアスペクト比は、トレンチの深さでトレンチの幅を割った値に等しい。
【0051】
アスペクト比の範囲が約4.5〜約6の場合には、Si含有フィルムは、約70%以上、より好ましくは80%以上のステップカバレージ性を有することが望ましい。アスペクト比の範囲が約1〜約4の場合には、Si含有フィルムは、約80%以上、より好ましくは90%以上のステップカバレージ性を有することが望ましい。ステップカバレージ性は、上記の計算方法によることが好ましいが、側壁部360又は460の厚さを考慮に入れて計算してもよい。例えば、ステップカバレージ性に関する上記の定義の代わりに、段差部のトップ及び/又はボトムにおける厚さの平均値に対する側壁部の厚さの比、すなわち、側壁部460の厚さ/底部430の厚さ、又は、側壁部460の厚さ/トップ部440と450の厚さの平均値とすることもできる。しかし、特に断りがない限り、本明細書におけるステップカバレージ性は、段差のボトム部におけるSi含有フィルムの水平部の厚さの平均値を測定し、その測定値を、段差のトップ部における水平部の厚さの平均値で割り、その結果をパーセントで表示するために100倍することによって求められる値である。
【0052】
本明細書で定義されているSi含有フィルムの厚さと表面平滑さ(表面粗さ)は、約1平方μm(μm2)以上、好ましくは約5μm2以上、より好ましくは約10μm2以上の表面領域で維持されていることが望ましい。また、Si含有フィルムは、ウェーハなどの大型の基板の全て又は一部を被覆するものであり、そのような基板は、約300cm2以上、好ましくは700cm2以上の面積を有するものである。この表面は、特定の材料にコンタクトしている部分として定義することが可能であり、特定の層にコンタクトしている表面領域のことである。例えば、Si含有フィルムは、絶縁体材料及び半導体材料の上に形成される。Si含有フィルムの絶縁体材料と接触する面積は、約5μm2以上、より好ましくは約10μm2であることが望ましい。Si含有フィルムは、コンフォーマルな層であることがさらに好ましい。
【0053】
通常、良好なステップカバレージ性が得られるので、多くの場合、Si含有フィルムの表面粗さは、被覆されるものの表面粗さとほぼ同じである。表面粗さは、測定対象の表面1μm四方の部分について、原子間力顕微鏡(AFM)で測定されるrms表面粗さで表すことが好ましい。下層の基板の表面粗さは、約1Årms(原子レベルで平面)〜約25Årms又はそれ以上の範囲であってもよい。下層の基板の表面粗さは、被覆されるSi含有フィルムがほぼ同様な表面粗さとなるように、10Årms以下、より好ましくは5Årms以下とするのがよい。
【0054】
所定の表面粗さを有する下層の基板の表面に対して、成膜されるSi含有フィルムの表面粗さは、約5Å以下、より好ましくは3Å以下、さらに好ましくは2Å以下の量だけ、基板の表面粗さより粗い程度が好ましい。例えば、基板の表面粗さが約7Årmsの場合には、その上に成膜されたSi含有フィルムの測定される表面粗さは、約12Årms(7Å+5Å)以下であることが好ましい。特に、下層の表面粗さは約2Årms以下で、積層されるSi含有フィルムの測定される表面粗さは、約5Årms以下、より好ましくは約3Årms以下、さらに好ましくは約2Årms以下であるのが望ましい。なお、本明細書に記載されている表面粗さ測定は、エッチングや研磨、後に続く熱処理に先立って、成膜された状態で行うことに注意すべきである。
【0055】
Si含有フィルムは、約1μm2以上、好ましくは約5μm2以上、さらに好ましくは約10μm2以上の領域にわたって、薄く連続していることが望ましい。フィルムの厚さが薄くなるに従って、上記のような領域に対応するフィルムの連続性を確保することが、徐々に難しくなる傾向がある。本発明に係る方法は、より厚い連続したSi含有フィルムの成膜に有効であるが、厚さが約125Å以下の連続したフィルムの成膜に特に有効であり、厚さが100Å以下のフィルムの成膜にはさらに有効であり、厚さが80Å以下のフィルムの成膜には、なおいっそう有効である。
【0056】
本発明に係る方法により、金属、絶縁体、半導体及びドープされた半導体を含む様々な材料を、通常の方法で、Si含有フィルム上に成膜することができる。また、Si含有フィルムには、アニーリング、エッチング、イオン注入(ドーピング)、研磨などの半導体製造に係る処理を施すことができる。
【0057】
1つの実施の形態では、異なった材料で構成された多層構造の作製に有効なウェッティング層(wetting layer:濡れ層)を用いる。例えば、トランジスタのゲート電極の形成方法は、ドープされたシリコン、ドープされたシリコン−ゲルマニウム、ドープされたシリコン−炭素、ドープされたシリコン−ゲルマニウム−炭素などの半導体層を、シリコン酸化物などの絶縁体材料上に成膜することを含んでいる。この成膜方法は、はじめに、絶縁体材料上にウェッティング層を形成し、次にこのウェッティング層上に半導体層を成膜することによって、改善することができる。図5は、絶縁体層520とゲート電極530との間に挟まれたウェッティング層510が設けられたゲート電極積層体500(パターニングの前)を示す部分断面図である。なお、積層体500は、半導体基板540上に形成されている。
【0058】
図6(A)〜(C)は、トランジスタのゲート電極を形成するのに好適な方法を、続けて模式的に示す部分断面図(パターニングの前)である。加工品600は、半導体基板610(ドープされたシリコンが好ましい)と、基板610上に成膜された薄いゲート絶縁体層620(シリコン酸化物が好ましい)とで構成されており、この加工品600を、反応容器630内に配置する。好ましくは、約450〜約550℃の範囲の温度に基板を加熱することにより、トリシランを用いる成膜条件を確立した後、供給ライン630を介して、トリシランを含むガスを反応容器630に導入する。この処理により、厚さが約25〜約100Åで、表面粗さが約5Årms以下の範囲の非晶質Si含有フィルムを、絶縁体層620に成膜し、ウェッティング層660を形成する。次に、ウェッティング層660上に、半導体層(好ましくはドープされたシリコン、より好ましくはドープされたSi−Ge、ドープされたSi−C又はドープされたSi−Ge−C)670を成膜することにより、ゲート電極を形成する。薄い、連続した非晶質シリコンのウェッティング層660により、上層の半導体層670の均一性が改善され、ゲート絶縁体620との界面へのゲルマニウムなどの元素の拡散に対するバリアがもっとも小さくなる。
【0059】
別の好ましい実施の形態に、量子ドットの形成方法がある。量子ドットは、1つ又はそれ以上の電子がある領域に閉じ込められる材料の粒子であり、その領域は、電子が実質的にゼロ次元に閉じ込められるように、電子の波長以下の方向に最大次元を有している。1つの量子ドット内の電子は、明確に分離した量子状態をとっており、それらの量子状態は閉じ込め領域のサイズの関数である。
【0060】
量子ドットに関する将来的な応用には、量子光学デバイス(例えば、レーザ及び検出器)と、量子電子デバイス(例えば、ダイオード及びトランジスタ)などがある。しかし、そのような構造を製造することが難しいため、上記のような応用に関しては、開発の速度がゆっくりであった(参照:E.Corcoran and G.Zorpette,"Diminishing Dimensions",Scientific American, October 1997)。本発明に係るトリシランを用いる成膜方法によれば、シランを用いる方法に比べて、より均一なサイズ及び/又は分散した量子ドットを製造することができる。
【0061】
図7(A)〜(C)は、量子ドットの好ましい製造方法を、続けて模式的に示す部分断面図である。この方法は、分離したSi含有粒子が、Si含有粒子を形成する前に、アニーリングされた面に形成されるという知見に基づいている。本発明は、理論で裏付けられていないが、アニーリングによって薄いフィルムが分断されて多数の領域となり、その領域が集まって、分離した結晶の粒子になると考えられる。不均一なフィルムをアニーリングすると、同じように不均一な量子ドットとなる。一方、本発明に係る均一なフィルムをアニーリングする方法によれば、より大きなサイズ及び/又は空間的な均一性を有する量子ドットが得られる。
【0062】
図7(A)〜(C)を参照し、好ましい実施の形態に係る量子ドットの製造方法を説明する。ただし、本発明に係る方法は、好ましい実施の形態に限定されるものではない。絶縁体構造(絶縁体層)720上にSi含有フィルム710を成膜することによって、構造700を形成する。この方法では、絶縁体層720は、半導体基板上のシリコン酸化物で構成することが好ましい。さらに好ましいのは、絶縁体層720を、厚さが約100Å以下、好ましくは50Å以下のトンネル酸化物で構成することである。絶縁体層720を反応容器(図示省略)の中に配置し、トリシラン及び必要に応じてドーパント前駆体を反応容器内に導入し、その間、約450℃〜約550℃の温度範囲に加熱する。この処理によって、絶縁体層720上に、厚さが約25〜約100Åの範囲、表面粗さが約5Årms以下で、薄く、滑らかで、連続したSi含有フィルム710を成膜する。次に、Si含有フィルムにアニーリングを施し、複数の量子ドットを形成する。さらに、はじめに成膜されたSi含有フィルム710上に、第2の絶縁体層730(好ましくはシリコン酸化物)を形成するのが好ましい。次に、好ましくは約600〜約800℃の範囲の温度で、得られた構造750をアニールすることにより、複数の量子ドット770を形成する。量子ドットは、シリコンの気相成膜技術を用いてシリコンの薄いフィルムを形成しないことを除いて、米国特許第6,235,618号公報に記載されている方法によっても形成することができる。しかし、本発明に係る方法では、そうではなく、トリシランを用いて成膜する。
【0063】
Si含有フィルム710は薄く、均一であるので、得られる量子ドット770は、比較のシランを用いる最適化された方法によって得られる量子ドットに比べて、サイズ及び/又は空間的分散がより均一である。量子ドットの粒子のサイズは、対象とする用途によるが、約200Å以下、好ましくは約100Å以下であることが望ましい。サイズの均一性は、量子ドットのサイズ及びrmsサイズのばらつき(偏差)の平均値を測定することによって決定するのがよい。rmsサイズのばらつきは、量子ドットのサイズの平均値をベースとして、約15%以下、10%以下がより好ましい。例えば、量子ドットのサイズの平均値が50Åの構造では、サイズのばらつきは7.5Årms(50Åの15%)以下である。空間的な均一性は、所定の面積当たりの量子ドットの個数の平均値とrmsの空間的ばらつきとを測定することによって決定するのがよい。rmsの空間的ばらつきは、約5%以下が好ましい。例えば、0.1μm2当たりに平均50量子ドットを有する構造に対しては、空間的なばらつきは、0.1μm2当たりに2.5個(50個の5%)以下が望ましい。本発明に係る量子ドットは、シングル電子トランジスタ、量子ドット赤外線光検出器及びスパース(希薄)キャリアデバイスなどの多くの用途に有用である。米国特許第6,194,237号公報、6,211,013号公報、6,235,618号公報、6,239,449号公報及び6,265,329号公報を参照のこと。これらのすべての文献は、それぞれのすべてが参考として本明細書に引用されるもので、特に、量子ドットの構造製造方法及び用途を記載することを目的として引用されている。
【0064】
別の好ましい実施の形態は、拡散源及び拡散層の形成方法に関する。拡散源は、1つ又はそれ以上のドーパント元素のソースとして作用する層を意味する。そのような拡散層は、例えば、ドーパントが必要な領域に近接して形成し、次に、加熱することにより、拡散層から所定の位置にドーパントを拡散(移動)させるためのものである。しかし、その拡散源の利用には制限がある。例えば、成膜と拡散処理は、多くの時間を必要とし、これらの処理に含まれる加熱は、著しいエネルギ費を必要とする。イオン注入などのその他のドーピング方法を用いることもできるが、イオン注入法によって浅い領域にイオンを注入することは困難である。
【0065】
このように、浅いソース−ドレイン接合などの浅くドープされた領域を形成することには問題がある。エネルギコストに関する問題を小さくするために、拡散経路の長さを短くすることができる薄い拡散源を成膜することが試みられてきた。しかし、シリコン源としてシランを用いる試みは、シランを用いる場合の成膜温度が高いことと、拡散層の厚さの不均一性に対応して、拡散処理後に、ドーパントが不均一になることの2つの理由から、いままで不成功に終わっている。
【0066】
薄く、均一なSi含有拡散源は、シリコン源としてトリシランを用いることによって形成可能なことが分かった。これらの拡散源は、反応容器内にトリシランとドーパントの前駆体を導入し、熱CVDにより、ドーパントの最終目的地(ultimate destination)にもっとも近接して、基板面に高濃度のドープされたSi含有フィルムを成膜することによって、形成することが好ましい。反応容器に導入するドーパントの前駆体の量は、最終的な用途に応じて、広い範囲に変化する。ただし、その量は、ドーパントの濃度の決定に影響し、形成される拡散源では、約1×1016〜約1×1022原子/cm3の範囲となる。反応容器に導入するトリシランに対するドーパントの前駆体の割合は、トリシランとドーパントの前駆体とを合わせた全質量に対して、約0.00001〜約150%、好ましくは約0.001〜約75%の範囲とするのがよい。
【0067】
拡散層の成膜温度は、約400〜650℃の範囲が好ましいが、さらに好ましいのは、450〜600℃の範囲である。成膜温度が低い場合には、エネルギコストに関する問題が小さく、より滑らかでより連続したフィルムが得られる。一方、成膜温度が高い場合には、成膜速度が速くなる傾向がある。拡散源の厚さは、約25〜約150Å、より好ましくは約50〜約100Åの範囲とするのがよい。また、拡散源は、厚さが、例えば、厚さの不均一度が約10%以下というように実質的に均一で、ドーパントの分散が実質的の均一であることが好ましい。
【0068】
半球形の粒(HSG)を含むSi含有フィルムが知られている。例えば、米国特許第5,885,869号公報、第5,837,580号公報、第5,656,531号公報参照のこと。HSGシリコンフィルムは、非晶質のSi含有フィルムをアニールし、フィルムの厚さ、アニーリング時間及びアニーリング温度に応じて、様々な度合いに表面を粗にすることによって形成することが可能である。シリコン原子は、アニーリング温度で移動し、そのアニーリング温度で熱力学的に安定な結晶領域を形成する。結晶粒の存在により、表面に全体的に半球形の盛り上がりがあるように見える粗い表面が生成される。粒の大きさは、例えば、約200Å以上、好ましくは300〜500Åの範囲である。
【0069】
HSGシリコンフィルムは、表面積が広いので、キャパシタの製造に好適である。上記の技術により、通常、大きさが均一で、均一に分散した粒で構成された理想的な形態をしたHSGシリコンフィルムが得られる。しかし、本技術に係る技術者であれば、従来の技術では、通常、粒の大きさのばらつきが大きく、粒が基板面に均一には分散していないHSGシリコンフィルムしか得られないことを知っているはずである。粒の大きさと分散の不均一性は、デバイスの性能と信頼性に悪影響を与える傾向がある。
【0070】
本発明に係る薄く、均一で、非晶質のSi含有フィルムは、HSGシリコンにとって理想的な前駆体である。例えば、図4Bに示した構造470におけるHSGシリコンフィルム480は、図4Aに示したSi含有フィルム410をアニールすることによって形成することができる。キャビティ内に形成されたHSGシリコンフィルムは、積層コンテナキャパシタ、トレンチキャパシタの製造に好適である。同様に、ポスト(柱)やスタッド(植え込みボルト)の外面に成膜されたSi含有フィルムをアニールすることによって、スタッドキャパシタを製造することができる。ただし、これには、その表面の成膜に関する同様なチャレンジを含んでいる。HSGシリコンフィルムを基にして形成されるキャパシタは、様々な用途に適用可能であり、特にDRAMでの利用が好適である。
【0071】
DRAMは、小型化とキャビティの側壁の水平方向における近接化が続いており、従来のHSGの製造方法は、ますます不十分なものとなってきている。前駆体のフィルムの不均一性に起因するサイズが大きすぎる粒は、ますます問題になっているようであり、キャビティ部でのショート(短絡)、それに伴うキャパシタンスのロスという結果となっている。将来、DRAMが、トレンチ又はホール内へのシリコンフィルムの成膜と、HSGシリコンを形成するためのアニーリングとによって製造されるようになる場合には、その製造は、深いキャビティ内面に、HSGシリコン形態を精度よくコントロールすることができるプロセスによって促進されるであろう。特に、HSGシリコンを形成するためのアニーリングに適したフィルムを調製することができるように、深いキャビティの内面などの勾配が急な段差部に対して、均一にステップカバレージされた、薄い非晶質のシリコンフィルムの形成が可能なことが、強く要望される。
【0072】
本発明に係る薄く、平滑なSi含有フィルムを成膜することができれば、シランを用いる場合よりも小さな形状を有する構造上に、HSGシリコンフィルムを形成することができるようになる。それによって、より小さな臨界寸法への発展が図られる。このように、好ましい実施の形態は、反応容器内へトリシランを導入するステップ、非晶質Si含有フィルムを成膜するステップ、そのフィルムをアニールしHSGシリコンフィルムを形成するステップを含む方法を提供する。
【0073】
反応容器内に配置された基板の温度は、約450℃〜約600℃の範囲であることが好ましく、より好ましくは約450〜約520℃である。また、非晶質Si含有フィルムは、熱CVDによって基板に成膜することが好ましい。非晶質のSi含有フィルムは、厚さが約10〜約150Å、好ましくは約50〜100Åの範囲、表面粗さが約5rmsÅ以下、好ましくは約2Årms以下であることが望ましい。非晶質Si含有フィルムの厚さの不均一性(パーセント単位)に関する好ましい範囲は、すでに表1に示した。この非晶質Si含有フィルムをアニールし、HSGシリコンフィルムを形成する。この場合、基板を約600〜約700℃の範囲の温度に加熱することが好ましい。上記のようなアニーリングにより、Si含有フィルムから、より微細でより均一な粒構造を有するHSGシリコンフィルムの形成が可能なことが分かった。
【0074】
HSGシリコンフィルムの粒サイズの平均値と空間分散性は、その構造物の断面試料を作製し、それを透過型電子顕微鏡により測定することにより、粒サイズの平均値と、所定の面積当たりの平均粒数とを求めることによって決定することが好ましい。rms粒サイズは、粒サイズの平均値に対して、約15%以下、より好ましくは10%以下であることが望ましい。例えば、粒サイズの平均値が300Åの構造の場合は、rms粒サイズのばらつきは、45Å(300Åの15%)以下が好ましい。空間均一性は、所定の面積に対する粒数の平均値とrms空間ばらつきとを測定することによって、決定することが好ましい。なお、rms空間ばらつきは約10%以下、より好ましくは約5%以下である。例えば、0.1μm2当たりに平均25の粒が存在する構造に対しては、rms空間ばらつきは、0.1μm2当たりに2.5rms(25の10%)以下が望ましい。粒サイズの平均値は、約200Å以上、より好ましくは約250〜約500Åの範囲がよい。
【0075】
本発明に係るSi含有フィルムは、反射防止膜としても有用である。半導体の製造におけるパターン基板に、通常、強力な電磁放射源を用いるフォトリソグラフィ法が適用される。反射防止膜は、反射放散量を少なくするために、表面に適用されることが多い。この膜は、通常、その反射防止特性が、放射物の波長をいくつか多重化する膜厚に調整することにより、そのタイプの入射光が最大になるように設計される。また、二次的な光学効果を避けるために、通常、多重化ができるだけ小さくなることが要求されるが、そのような薄い、光学的特性を有するフィルムを調製することは、より困難である。さらに、デバイスの大きさが小さくなってきているので、フォトリソグラフィに用いられる入射光の波長も短くなってきており、それに応じて反射防止膜に要求される厚さも薄くなってきている。
【0076】
好ましい実施の形態により、半導体の製造に有用な反射防止膜が提供される。反射防止膜は、本発明に係るSi含有フィルムにより構成される。そのSi含有フィルムは、膜の性質が基板の表面で実質的に一定となるように、厚さが実質的に均一であり、厚さの不均一性は、好ましくは約10%以下である。反射防止膜の厚さは、少なくとも入射光のうちの一部の反射を抑えるのに効果があるように選択することが好ましく、入射光のうちの約75%以下に、反射を抑えることがより好ましい。代表的な厚さは、入射光の波長の多重化が低い厚さであり、好ましくは約100〜約4000Å、より好ましくは約300〜約3000Åである。Si含有フィルムは、元素状の窒素、酸素及び/又は炭素を含むことが好ましく、Si−N、Si−O−N及びSi−C−Nのうちから選ばれたものを含むことが、さらに好ましい。
【0077】
反射防止膜は、本明細書の他の場所に記載されている成膜法により、トリシラン、必要に応じて、酸素、窒素及び/又は炭素の前駆体を用いて成膜することが好ましい。好ましい酸素の前駆体には、2原子酸素及びオゾンがあり、好ましい窒素の前駆体には、ヒドラジン、原子状窒素、シアン化水素及びアンモニア、好ましい炭素の前駆体には、二酸化炭素、一酸化炭素、シアン化水素、アルキルシアン及びシリル化アルカンがある。上記のSi−N、Si−O−N及びSi−C−Nのフィルムは、他の目的の前駆体としても好適であり、例えば、薄いエッチング停止層に好適である。
【0078】
別の実施の形態では、Si含有フィルムは、トリシランと窒素の前駆体とを用いて形成されるSi−Nフィルムである。成膜されるフィルムは、厚さが薄く、均一で、ほぼ純粋なシリコンからSi3N4の範囲の組成を有する。好ましい窒素の前駆体は、(H3Si)3N(トリシリルアミン)、アンモニア、原子状窒素及びNF3などの化学的前駆体である。原子状の窒素は、マイクロ波ラジカル発生器(MRG)を利用して生成させるのがよい。この実施の形態に従って調製される好ましいSi−Nフィルムの厚さは、約10〜300Å、より好ましくは15〜150Åの範囲である。Si−Nフィルムの厚さの不均一性に関する好ましい範囲は、すでに表1に示した。熱CVDにおける好ましい成膜温度は、約400〜約800℃、より好ましくは約400〜750℃、さらに好ましくは約450〜650℃の範囲である。
【0079】
好ましい実施の形態においては、Si−Nを、CVD反応容器に、窒素の前駆体、好ましくは原子状の窒素を連続的に導入するとともに、連続的又はパルス的、好ましくは1回以上のパルスでトリシランを導入することによって成膜する。極めて薄く、均一性に優れたSi−Nフィルムは、例えば、窒素の前駆体、トリシランなどの成分のそれぞれを、反応容器内に連続的又はパルス的に導入することによって得ることができることが分かった。しかし、原子状の窒素を連続的に導入する場合に、トリシランを1回以上パルス的に導入することによって、さらに優れた均一性を有するフィルムが得られることも確認された。好ましいSi−Nフィルムは、トリシランの代わりにシランを用いて成膜される比較のSi−Nフィルムよりも、厚さの均一性の高い度合いが高い。また、表面粗さは、約1μm2以上の表面積に対して、約10Årms以下、より好ましくは約約5Årms以下の量だけ、基板の表面粗さより大きい程度であることが望ましい。
【0080】
特に、低い温度で、トリシランとともに化学的前駆体として好ましい窒素の前駆体を用いることにより、フィルム中で最低限のN−Hボンド数を有するSi−N材料の成膜が可能になる。この場合の成膜速度は、シランなどの従来のSi源を用いるプロセスによって得られる速さに比べて、はるかに速い。450℃を超える成膜温度の場合、水素の含有量の範囲は、約4原子%以下、さらに好ましくは約2原子%以下、もっとも好ましくは約1原子%以下である。
【0081】
薄く、均一はSi−Nフィルムには、様々な用途がある。半導体製造の分野では、例えば、Si−N層は、エッチング停止層、化学的機械研磨(CMP)停止層、ハードマスク、バリア層、キャパシタ絶縁体、ゲート絶縁体などとして利用されることが多い。これらの用途ではどの場合でも、完全な連続性を有するできるだけ薄い層を形成するのが有利である。
【0082】
表面にSi含有フィルムを成膜するのに用いることができる装置を提供する。図8は、好ましい装置を示す模式的な構成図である。この装置800は、キャリアガスソース810、液体のトリシラン830を含む温度制御されたバブラ820、及びバブラ820とキャリアガスソース810とを動作可能に接続するガスライン840を含んで構成されている。排気ライン860を備えるCVD反応容器850は、供給ライン870によって、バブラ820に動作可能に接続されている。トリシランは、キャリアガスとともにバブラ820からCVD反応容器850に流れ、この流れは、バブラ820に近接して動作可能に配置された温度調節ソース880によって、サポートされていることが好ましい。温度調節ソース880は、トリシラン830の温度を、約10〜約70℃、好ましくは約20〜約52℃の範囲に維持し、それによって、トリシランの気化速度を制御する。
【0083】
CVD反応容器850は、枚葉式の水平ガス流反応器であることが好ましい。この装置800は、供給ライン870に動作可能に接続されたマニホールド(図示省略)を備えることが好ましい。このマニホールドは、バブラ820からCVD反応容器850へのトリシラン830の通路を制御するとともに、反応容器850内に配置された基板上のガス流れの均一性を、分離して調整することが可能な態様とするのがよい。ガスの供給ライン870は、トリシランの凝縮を防ぐために、約35〜70℃、好ましくは約40〜52℃の温度範囲に維持されることが好ましい。
【0084】
別の好ましい実施の形態では、以下に説明するように、Si含有フィルムを含んで構成された集積回路が提供される。Si含有フィルムから集積回路を製造する方法は、本技術に係る技術者にはよく知られている。トリシランを用いることにより、商業規模で意味のある成膜速度で、より薄いフィルムを制御して成膜することができるという理由によって、従来のデバイスの設計をより小さな臨界寸法に展開することができる。これらの集積回路は、本技術に係る技術者に知られている方法によって、コンピュータシステムに組み込むことができる。また、さらに好ましい実施の形態によれば、1つ又はそれ以上の集積回路で構成されたコンピュータシステムが提供される。本明細書で用いられる「コンピュータシステム」は、ディジタル形式の情報の計算及び/又は記憶をすることができるシリコンベースのデバイスを含んでいる。このように、「コンピュータシステム」は、集積回路が組み込まれたあらゆるデバイスを含んでいる。
【0085】
本発明に係る方法は、半導体デバイスの製造歩留まりを向上させることができる。半導体デバイスの製造では、通常、表面積が約300cm2以上、例えば、直径が200mm又は300mm以上のウェーハをスタート基板としている。そのウェーハにドーパントを注入し、数百又は数千の工程を施すことにより、最終的に数百万個の半導体デバイスを含むウェーハを製造する。次に、最終製品のウェーハを切断してそれぞれのデバイスに分離し、全数NTの完成した半導体デバイスを製造する。
【0086】
完成品の半導体デバイスのすべてが目標の性能特性を有するように、製造工程における各ステップは、できるだけ均一であることが強く要求されている。しかし、そのプロセスで、仕様に合った数NAのデバイス、すなわち、目標の性能仕様を満足するデバイスと、仕様を満足しない数NUのデバイスとを製造する場合がしばしばある。仕様を満足しないデバイスは、スクラップにしなければならないことが多いので、特定のプロセスに対するデバイスの歩留まりNA/NTは、製造者にとって、極めて重要なパラメータである。
【0087】
多くの半導体デバイスの製造プロセスは、シランを用いて基板上にSi含有フィルムを形成するステップを含んでいる。しかし、より大きなウェーハと、よりコンパクトなデバイスという方向に進んでいるので、シランを用いることに伴う前述の問題のために、デバイスの歩留まりを維持することが、ますます難しくなってきている。例えば、より薄いシリコン含有フィルムの成膜に関しては、ウェーハ、特に大型のウェーハの全面における厚さ及び/又は組成のばらつきを補償することができるように、プロセスを調整することがますます難しくなってきている。
【0088】
シランを用いる半導体デバイスの製造方法の歩留まりは、本明細書で説明したように、シランをトリシランで置き換えることによって改善することができる。この置き換えによって、様々なプロセスで歩留まりが改善される。そのプロセスは、厚さの平均値が約2000Å以下のSi含有フィルムを成膜する場合に特に有効であり、フィルムの厚さが薄くなるほどますます好ましいものとなる。このように、トリシランによるシランの置き換えは、成膜するフィルムの厚さが、約300Å以下の場合に効果的であり、約150Å以下の場合にさらに効果的であり、約100Å以下の場合には特に効果的である。同様に、上記の置き換えは、基板の表面積が約300cm2以上の場合に、特に歩留まり改善効果が大きく、表面積が約700cm2以上の場合にはさらに改善効果が大きい。
【0089】
1個の半導体デバイスの価格はかなり高いものが多いので、例え歩留まりの上昇が少しであっても、製造者にとっては大きなコスト節減という効果が得られる。トリシランによるシランの置き換えは、約2%以上、より好ましい場合は約5%以上の製品デバイスの歩留まり向上が得られる{(トリシランの場合の歩留まり−シランの場合の歩留まり)/シランの場合の歩留まり×100}。
【0090】
好ましい置き換え方法は、低温で、例えば、トリシランを用いる熱CVDについてすでに説明した温度パラメータの条件で、トリシランから成膜することが可能という長所を発揮させるために、CVD法を改善することを含んでいる。例えば、半導体製造プロセスが温度TSでシランを用いる熱CVDを含む場合に、トリシランでシランを置き換えると、成膜温度をTt(TS>Tt)まで低くすることができる。この温度低下により、好ましいことにエネルギ費が節約され、温度の低下率{(TS−Tt)/TS×100}は、好ましい場合は約10%以上、さらに好ましい場合は約20%以上となる。Ttは、約450〜約600℃の範囲が好ましく、約450〜約525℃の範囲がさらに好ましい。反応容器にシランを導入するプロセスを、トリシランを導入するプロセスに置き換える時には、すでに説明したように、室温においてトリシランが液体であるという性質を考慮して、例えば、バブラの使用、ガス供給ラインの加熱などの改善も行われる。
【実施例】
【0091】
以下の試験は、ASM Epsilon E2500TM水平フローリアクタシステムを用いて実施した。このシステムは、Bernoulliワンドウェーハ移送システム、パージ−オンリーロードロック、ウェーハが滑り落ちることを防止するために上面に溝を備えた凹型のサセプタ、四角形の予熱リング、調節可能なスポットランプ及び個別に調節できるガス注入インジェクタで構成されたものである。
(実施例1)
厚さ1000ÅのSiO2層を備えた直径8インチ(200mm)の<100>シリコンウェーハを反応容器に配置し、標準状態で20リットル/分(slm)の高純度水素ガス流の下、真空度40torr(5.2×103Pa)、温度450℃の条件で熱平衡に到達させた。次に、反応容器への供給ラインによって接続されたバブラ(トリシランが入った容器をウォータバスを用いて室温に維持)を使用して、液体のトリシラン中に高純度水素ガスを通過させることにより、トリシランを反応容器に導入した。次に、流量90sccm(インジェクト)のジボラン(100ppm、2slmの高純度水素ガスに混合された90sccm)とともに、流量180sccmの水素ガス/トリシラン混合物を、反応容器に4分間流した。全厚さ56Åで、表面粗さ約2Årms(下層のシリコン酸化物に匹敵)を有する連続した、ボロンがドープされた非晶質シリコンフィルムが、成膜速度14Å/分で、シリコン酸化物層上に形成された。次に、断面試料を調製しやすくするために、エポキシ樹脂層を形成した。
【0092】
図9は、得られた基板の断面を示す電子顕微鏡写真であり、下層のSiO2層("oxide")、成膜された非晶質シリコンフィルム("a-Si")及び被覆層のエポキシ層("epoxy")を示す図である。なお、酸化物上の核生成が非常に速いので、4分以下の時間、1〜100torr(1.3×102〜1.3×104Pa)の範囲の圧力、425〜525℃の範囲の温度においても成膜することが可能であった。
(実施例2:比較例)
トリシランの代わりにシランを使用し、その他の条件は実施例1と同じ条件で処理を行った。シランは試験条件下ではガス状であるので、バブラを用いずに、水素ガスとの混合ガスとして、直接反応容器にシランを導入した。30分後にも、有意な膜成長が観察されず、シランの流量190sccmでも、Si含有フィルムを得ることができなかった。
(実施例3)
流量228sccmとし、ジボランを用いずに、成膜時間を2分間としたこと以外の条件は、実施例1の場合と同じ条件で処理を行った。全厚さ28Åで、表面粗さ約2Årms(下層のシリコン酸化物に匹敵)を有する連続した非晶質シリコンフィルムが、成膜速度14Å/分で、シリコン酸化物層上に形成された。次に、断面試料を調製しやすくするために、エポキシ樹脂層を形成した。
【0093】
図10は、得られた基板の断面を示す電子顕微鏡写真であり、下層のSiO2層("oxide")、成膜された非晶質シリコンフィルム("a-Si")及び被覆層のエポキシ層("epoxy")を示す図である。この実施例では、極めて薄く、連続した、均一で、ドーパントやドーパントの前駆体がない非晶質シリコンフィルムの成膜が行われたことを示している。なお、酸化物上の核生成が非常に速いので、2分以下の時間、1〜100torr(1.3×102〜1.3×104Pa)の範囲の圧力、425〜525℃の範囲の温度においても成膜することが可能であった。
(実施例4)
厚さ1000ÅのSiO2層を備えた直径8インチ(200mm)の<100>シリコンウェーハを反応容器に配置し、標準状態で20リットル/分(slm)の高純度水素ガス流の下、真空度40torr(5.2×103Pa)、温度600℃の条件で熱平衡に到達させた。次に、反応容器への供給ラインによって接続されたバブラ(トリシランが入った容器をウォータバスを用いて室温に維持)を使用して、液体のトリシラン中に高純度水素ガスを通過させることにより、トリシランを反応容器に導入した。次に、流量90sccm(インジェクト)のジボラン(100ppm、2slmの高純度水素ガスに混合された90sccm)とともに、流量180sccmの水素ガス/トリシラン混合物を、反応容器に15秒間流した。厚さ100Åで、ボロンがドープされた非晶質シリコンフィルムが形成された。上記の条件下では、基板へのトリシランの供給速度は、0.1g/分で、成膜速度は400Å/分であった。
(実施例5)
全厚さ205Åのフィルムを形成するために30秒間成膜を行ったこと以外は、実施例4の場合と同じ条件で、ボロンがドープされた非晶質のシリコンフィルムを成膜した。成膜速度は、410Å/分であった。
(実施例6)
全厚さ409Åのフィルムを形成するために1分間成膜を行ったこと以外は、実施例4の場合と同じ条件で、ボロンがドープされた非晶質のシリコンフィルムを成膜した。成膜速度は、409Å/分であった。
【0094】
上記の実施例4〜6は、シリコンの薄いフィルムの成膜にトリシランを用いる場合には、成膜速度が実質的に一定であることを示している。
(実施例7:比較例)
トリシランの代わりにシランを使用したこと以外は、実施例4と同じ条件で、ボロンがドープされた非晶質シリコンフィルムの成膜を行った。シランは、ガス状で、100sccmの流量で供給した。なお、シランはこれらの条件下ではガス状であるので、バブラを使用しなかった。また、基板へのシランの供給速度は、約0.1g/分であり、実施例4〜6におけるトリシランの供給速度とほぼ同じとした。3分間成膜を行った結果、全厚さ16Åのフィルムが得られ、成膜速度は、5.3Å/分であった。
(実施例8:比較例)
5分間成膜を行い、全厚さ87Åのフィルムを形成したこと以外は、実施例7と同じ条件で、ボロンがドープされた非晶質シリコンフィルムの成膜を行った。成膜速度は、17.4Å/分であった。
(実施例9:比較例)
10分間成膜を行い、全厚さ284Åのフィルムを形成したこと以外は、実施例7と同じ条件で、ボロンがドープされた非晶質シリコンフィルムの成膜を行った。成膜速度は、28.4Å/分であった。
【0095】
実施例7〜9により、薄いフィルムの成膜にシランを用いると、成膜速度はフィルムの厚さに強く依存することが分かった。成膜速度は、フィルムの厚さが16Åから284Åに増加するのに伴って、5.3Å/分から28Å/分に変化した。その平均値は、17Å/分で、ばらつきは9.4rms(55%)であった。
【0096】
実施例4〜9から、シランの代わりにトリシランを用いることにより、高速での成膜が可能で、フィルムの均一性が大幅に改善されることが分かった。
(実施例10)
トリシランと原子状窒素を用いて、温度650℃、圧力3torr(4×102Pa)の条件で、p型シリコン<100>基板(HFエッチ、ベークなし)上に、厚さ約39Åの薄い、連続した均一なSi−Nフィルムを成膜した。原子状窒素は、市販されている800ワットのマイクロ波ラジカル発生器(MRG)を利用して、別の位置で発生させ、約6slmの窒素ガス流を使用してCVD反応容器に供給した。また、トリシランは、流量約50sccmの窒素キャリアガスとともに、バブラを介してCVD反応容器に供給した。また、トリシランは、原子状の窒素が連続的に導入されている間、約1分と30秒の間隔で、それぞれ6秒間続けて、6パルス連続して導入した。
【0097】
得られたSi−Nフィルムをエポキシ樹脂でコートし、断面試料を作製し、透過型電子顕微鏡(TEM)により、イメージ像を撮影した。図11に、TEM写真を示す。フィルムと基板の境界面には、実質的に酸化物の生成は認められず、境界面は、明らかに極めて均一で滑らかであった。
【0098】
本技術に係る専門家であれば、本発明の技術的範囲を逸脱しない範囲内で、上記の組成、プロセスに対して、様々な削除、追加及び改良を行うことができることが明らかである。そのような改良や変更も、特許請求の範囲に記載されているように、本発明の技術的範囲に属することはいうまでもない。
【図面の簡単な説明】
【0099】
図1〜11に示す図は、様々な実施の形態(スケールを含む)を限定するものではない。
【図1】本発明の実施の形態に係る、半導体基板上に成膜された薄いSi含有フィルムを示す模式的断面図である。
【図2】半導体基板上に成膜された薄いSi含有フィルムにおける厚さの不均一性を示す模式的断面図である。
【図3】半導体又は絶縁材材料に含まれるステップ(段差)上に形成された薄いSi含有フィルムを示す模式的断面図である。
【図4A】半導体基板に形成されているトレンチ(溝)上に形成された薄いSi含有フィルムを示す模式的断面図である。
【図4B】図4Aに示したSi含有フィルムをアニールすることによって形成されたHSGシリコンを示す模式的断面図である。
【図5】トランジスタのゲート電極におけるゲート絶縁体上への成膜に先だって、ウェッティング層(濡れ層)として、Si含有フィルムを使用することを示す模式的断面図である。
【図6】トランジスタのゲート電極成膜処理におけるウェッティング層(濡れ層)としてのSi含有フィルムの成膜を、連続して示す模式的断面図である。
【図7】Si含有フィルムを成膜し、アニールすることによる量子ドットの形成を、連続して示す模式的断面図である。
【図8】薄いSi含有フィルムの成膜に用いられる装置を示す模式的断面図である。
【図9】二酸化シリコン基板上に成膜されたシリコンフィルムの断面を示す顕微鏡写真である。
【図10】二酸化シリコン基板上に成膜されたシリコンフィルムの断面を示す顕微鏡写真である。
【図11】シリコン基板上に成膜されたSi−Nフィルムの断面を示す顕微鏡写真である。
Claims (54)
- 所定の表面粗さを有する基板が配置された反応容器内に、トリシランを含むガスを導入するステップと、
前記反応容器内において、トリシランの化学気相成長条件を確立するステップと、
前記基板上に、Si含有フィルムを成膜するステップとを含み、
成膜される前記Si含有フィルムが、約1平方μm以上の表面積において、厚さが10〜150Åの範囲であり、表面粗さが前記基板の表面粗さより約5Årms以下だけ大きいことを特徴とする薄いフィルムの成膜方法。 - 成膜される前記Si含有フィルムが、非晶質フィルムであることを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 成膜される前記Si含有フィルムが、エピタキシャルフィルムであることを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 成膜される前記Si含有フィルムが、多結晶フィルムであることを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムを、非単結晶材料上に、直接成膜することを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムを、絶縁体材料上に、直接成膜することを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記絶縁体材料が、シリコン酸化物、金属酸化物、金属シリケート、シリコン酸窒化物及びシリコン窒化物で構成されたグループから選ばれることを特徴とする請求項6に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムの表面粗さが、約3Årms以下であることを特徴とする請求項6に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルム上に、酸化物層を直接成膜するステップを、さらに含むことを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムをアニールすることにより、複数の量子ドットを形成するステップを、さらに含むことを特徴とする請求項9に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルム上に、ドープされたSi含有層を直接成膜するステップを、さらに含むことを特徴とする請求項6に記載の薄いフィルムの成膜方法。
- 前記ドープされたSi含有層が、さらにゲルマニウムを含むことを特徴とする請求項11に記載の薄いフィルムの成膜方法。
- 前記ドープされたSi含有層が、さらに炭素を含むことを特徴とする請求項12に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムの厚さの不均一性が、フィルムの平均厚さ100〜150Åの範囲に対して約10%以下、フィルムの平均厚さ50〜99Åの範囲に対して約15%以下、フィルムの平均厚さ50Å未満の範囲に対して約20%以下であることを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記基板が、ステップ(段差)又はトレンチ(溝)を含むことを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記非晶質のSi含有フィルムをアニールすることにより、半球形の粒状シリコンを形成するステップを、さらに含むことを特徴とする請求項15に記載の薄いフィルムの成膜方法。
- 前記ガスが、硼素、ヒ素、アンチモン、インジウム及び燐で構成されたグループから選ばれた1つのドーパント成分を、さらに含むことを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムが、拡散層であることを特徴とする請求項17に記載の薄いフィルムの成膜方法。
- 前記基板上に成膜された前記Si含有フィルムにおいて、該Si含有フィルムの全面にわたって、前記ドーパント元素が均一に含まれていることを特徴とする請求項17に記載の薄いフィルムの成膜方法。
- 前記トリシランの化学気相成長条件を確立するステップが、プラズマが存在しない条件下で、400〜750℃の範囲の温度に、前記基板を加熱する処理を含むことを特徴とする請求項2に記載の薄いフィルムの成膜方法。
- 前記トリシランの化学気相成長条件を確立するステップが、プラズマが存在しない条件下で、450〜650℃の範囲の温度に、前記基板を加熱する処理を含むことを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムが、Si−Nフィルムであることを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 前記ガスが、さらに窒素前駆体を含むことを特徴とする請求項22に記載の薄いフィルムの成膜方法。
- 前記窒素前駆体が、原子状窒素であることを特徴とする請求項23に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムの水素含有量が、約4原子%未満であることを特徴とする請求項23に記載の薄いフィルムの成膜方法。
- 前記トリシランを用いる成膜条件を確立するステップが、前記反応容器内の圧力を、約1〜100torr(1.3×102〜1.3×104Pa)に維持することを含むことを特徴とする請求項1に記載の薄いフィルムの成膜方法。
- 基板が配置された反応容器内に、トリシランを導入するステップと、
前記基板上に、熱CVD法により、連続した、非晶質のSi含有フィルムを成膜するステップとを含み、
成膜される前記Si含有フィルムの厚さが約100Å未満で、表面積が約1平方μm以上であることを特徴とする薄いフィルムの成膜方法。 - 前記基板が、非単結晶材料であることを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムが、前記非単結晶材料上に直接成膜され、該非単結晶材料が、シリコン酸化物、金属酸化物、金属シリケート、シリコン酸窒化物及びシリコン窒化物で構成されたグループから選ばれたものであることを特徴とする請求項28に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムの表面粗さが、約5Årms以下であることを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記基板が、ステップ(段差)又はトレンチ(溝)を有することを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムの厚さの不均一性が、フィルムの平均厚さ50〜99Åの範囲に対して約15%以下、フィルムの平均厚さ50Å未満の範囲に対して約20%以下であることを特徴とする請求項31に記載の薄いフィルムの成膜方法。
- 前記成膜を、約450〜約650℃の温度範囲で行うことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記成膜が、前記トリシランを対象とする管理下で、大量輸送又はそれに近い条件で行われることを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記連続した、非晶質のSi含有フィルムの表面積が、約5平方μm以上であることを特徴とする請求項34に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルム上に、酸化物層を成膜するステップを、さらに含むことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルムをアニールすることにより、複数の量子ドットを形成するステップを、さらに含むことを特徴とする請求項36に記載の薄いフィルムの成膜方法。
- 前記Si含有フィルム上に、直接ドープされたSi含有層を成膜するステップを、さらに含むことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記ドープされたSi含有層が、さらにゲルマニウムを含むことを特徴とする請求項38に記載の薄いフィルムの成膜方法。
- 前記ドープされたSi含有層が、さらに炭素を含むことを特徴とする請求項39に記載の薄いフィルムの成膜方法。
- 前記非晶質のSi含有フィルムをアニールすることにより、半球形の粒状シリコンを形成するステップを、さらに含むことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記成膜を、約425〜約700℃の温度範囲で行うことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記反応容器に、窒素の前駆体を導入することを含むことを特徴とする請求項27に記載の薄いフィルムの成膜方法。
- 前記トリシランを、前記反応容器に、1パルス以上導入することを特徴とする請求項43に記載の薄いフィルムの成膜方法。
- 前記窒素の前駆体が、原子状窒素であることを特徴とする請求項44に記載の薄いフィルムの成膜方法。
- 前記成膜を、約450〜約650℃の温度範囲で行うことを特徴とする請求項45に記載の薄いフィルムの成膜方法。
- シランを用いて、基板上にSi含有フィルムを成膜し、完成品の個数NT個で、その内仕様を満足するデバイスの個数がNA個、仕様を満足しないデバイスの個数がNU個であり、前記Si含有フィルムの平均厚さが約2000Å以下、前記基板の表面積が約300cm2以上、デバイスの製造歩留まりがNA/NTの条件で、半導体デバイスを製造するプロセスを特定すること、
前記半導体デバイス製造方法における前記シランをトリシランに置き換えることにより、デバイスの歩留まりを向上させることを含む、半導体製造におけるデバイスの製造歩留まりの向上方法。 - 前記半導体デバイスの製造方法が、シランを用いる温度TSでの熱CVDを含み、さらにトリシランを用いる温度Tt(ただし、TS>Tt)での熱CVDによる成膜を含むことを特徴とする請求項47に記載のデバイスの製造歩留まりの向上方法。
- 前記半導体デバイスの製造方法が、反応容器に前記トリシランを導入することを含み、さらに、バブラを使用して、前記トリシランを前記反応容器に導入することを含むことを特徴とする請求項47に記載のデバイスの製造歩留まりの向上方法。
- 前記バブラが、温度制御されていることを特徴とする請求項49に記載のデバイスの製造歩留まりの向上方法。
- 連続した、非晶質のSi含有フィルムを備える集積回路であって、
前記Si含有フィルムの厚さが15〜150Å、表面積が約1平方μm以上、フィルムの平均厚さ100〜150Åの範囲に対する厚さの不均一性が10%以下、フィルムの平均厚さ50〜99Åの範囲に対する厚さの不均一性が15%以下、フィルムの平均厚さ50Å未満の範囲に対する厚さの不均一性が20%以下であることを特徴とする集積回路。 - 前記Si含有フィルムの表面に接触する面積が、約0.5平方μm以上の絶縁体材料を、さらに含むことを特徴とする請求項51に記載の集積回路。
- 前記Si含有フィルムが、硼素、ヒ素及び燐で構成されたグループから選ばれた1つのドーパント元素を、さらに含むことを特徴とする請求項51に記載の集積回路。
- 前記ドーパント元素が、前記Si含有フィルム全体に、均一に分散していることを特徴とする請求項53に記載の集積回路。
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US26833701P | 2001-02-12 | 2001-02-12 | |
US27925601P | 2001-03-27 | 2001-03-27 | |
US31160901P | 2001-08-09 | 2001-08-09 | |
US32364901P | 2001-09-19 | 2001-09-19 | |
US33269601P | 2001-11-13 | 2001-11-13 | |
US33372401P | 2001-11-28 | 2001-11-28 | |
US34045401P | 2001-12-07 | 2001-12-07 | |
PCT/US2002/004751 WO2002064853A2 (en) | 2001-02-12 | 2002-02-12 | Thin films and methods of making them using trisilane |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2004523903A true JP2004523903A (ja) | 2004-08-05 |
Family
ID=27569531
Family Applications (8)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002578556A Expired - Lifetime JP4866534B2 (ja) | 2001-02-12 | 2002-02-01 | 半導体膜の改良された堆積方法 |
JP2002565340A Pending JP2004525509A (ja) | 2001-02-12 | 2002-02-12 | ドーパント前駆体を用いた製造方法 |
JP2002565348A Expired - Lifetime JP4224847B2 (ja) | 2001-02-12 | 2002-02-12 | 半導体膜の改良された堆積方法 |
JP2002565349A Expired - Lifetime JP4417625B2 (ja) | 2001-02-12 | 2002-02-12 | トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法 |
JP2002564165A Pending JP2004523903A (ja) | 2001-02-12 | 2002-02-12 | 薄膜及びトリシランを用いる薄膜の形成方法 |
JP2007328687A Expired - Lifetime JP5134358B2 (ja) | 2001-02-12 | 2007-12-20 | 半導体膜の改良された堆積方法 |
JP2008112166A Withdrawn JP2008252104A (ja) | 2001-02-12 | 2008-04-23 | ドーパント前駆体を用いた製造方法 |
JP2011127316A Withdrawn JP2011228724A (ja) | 2001-02-12 | 2011-06-07 | 半導体膜の改良された堆積方法 |
Family Applications Before (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002578556A Expired - Lifetime JP4866534B2 (ja) | 2001-02-12 | 2002-02-01 | 半導体膜の改良された堆積方法 |
JP2002565340A Pending JP2004525509A (ja) | 2001-02-12 | 2002-02-12 | ドーパント前駆体を用いた製造方法 |
JP2002565348A Expired - Lifetime JP4224847B2 (ja) | 2001-02-12 | 2002-02-12 | 半導体膜の改良された堆積方法 |
JP2002565349A Expired - Lifetime JP4417625B2 (ja) | 2001-02-12 | 2002-02-12 | トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法 |
Family Applications After (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007328687A Expired - Lifetime JP5134358B2 (ja) | 2001-02-12 | 2007-12-20 | 半導体膜の改良された堆積方法 |
JP2008112166A Withdrawn JP2008252104A (ja) | 2001-02-12 | 2008-04-23 | ドーパント前駆体を用いた製造方法 |
JP2011127316A Withdrawn JP2011228724A (ja) | 2001-02-12 | 2011-06-07 | 半導体膜の改良された堆積方法 |
Country Status (8)
Country | Link |
---|---|
US (15) | US6716751B2 (ja) |
EP (3) | EP1421607A2 (ja) |
JP (8) | JP4866534B2 (ja) |
KR (5) | KR101027485B1 (ja) |
AT (1) | ATE400060T1 (ja) |
AU (2) | AU2002306436A1 (ja) |
DE (2) | DE60227350D1 (ja) |
WO (5) | WO2002080244A2 (ja) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008218661A (ja) * | 2007-03-02 | 2008-09-18 | Fujitsu Ltd | 電界効果型半導体装置及びその製造方法 |
JP2008283101A (ja) * | 2007-05-14 | 2008-11-20 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JPWO2007077917A1 (ja) * | 2005-12-28 | 2009-06-11 | 株式会社日立国際電気 | 半導体装置の製造方法および基板処理装置 |
JP2014093345A (ja) * | 2012-11-01 | 2014-05-19 | Japan Advanced Institute Of Science & Technology Hokuriku | 複数の基板上へシリコン膜を一括して形成する方法 |
JP2015092630A (ja) * | 2010-05-20 | 2015-05-14 | 東京エレクトロン株式会社 | シリコン膜の形成方法およびその形成装置 |
KR20190062427A (ko) * | 2017-04-26 | 2019-06-05 | 클로즈드 조인트 스탁 컴퍼니 리서치-엔지니어링 센터 “인컴시스템” | 기재의 소수성화 방법 |
WO2024004998A1 (ja) * | 2022-06-29 | 2024-01-04 | 株式会社日本触媒 | シリコン膜の製造方法及びシリコン膜 |
Families Citing this family (779)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6143631A (en) * | 1998-05-04 | 2000-11-07 | Micron Technology, Inc. | Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
JP4029420B2 (ja) * | 1999-07-15 | 2008-01-09 | 独立行政法人科学技術振興機構 | ミリ波・遠赤外光検出器 |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
FR2812763B1 (fr) * | 2000-08-04 | 2002-11-01 | St Microelectronics Sa | Formation de boites quantiques |
KR20030074591A (ko) * | 2000-08-28 | 2003-09-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 유리 기판의 예비 폴리코팅 |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6830976B2 (en) * | 2001-03-02 | 2004-12-14 | Amberwave Systems Corproation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6750119B2 (en) | 2001-04-20 | 2004-06-15 | International Business Machines Corporation | Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD |
US6596643B2 (en) * | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
EP1403929A4 (en) * | 2001-06-05 | 2008-06-04 | Sony Corp | SEMICONDUCTOR SURFACE AND TRAINING METHOD THEREFOR AND SEMICONDUCTOR COMPONENT AND METHOD OF MANUFACTURING THEREOF |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
JP2003077845A (ja) * | 2001-09-05 | 2003-03-14 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および基板処理装置 |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US20030124818A1 (en) * | 2001-12-28 | 2003-07-03 | Applied Materials, Inc. | Method and apparatus for forming silicon containing films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
JP2003224204A (ja) * | 2002-01-29 | 2003-08-08 | Mitsubishi Electric Corp | キャパシタを有する半導体装置 |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) * | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US6825134B2 (en) * | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP3719998B2 (ja) * | 2002-04-01 | 2005-11-24 | 松下電器産業株式会社 | 半導体装置の製造方法 |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6720027B2 (en) * | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US7279432B2 (en) * | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
KR100448714B1 (ko) * | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7601225B2 (en) * | 2002-06-17 | 2009-10-13 | Asm International N.V. | System for controlling the sublimation of reactants |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
JP5005170B2 (ja) * | 2002-07-19 | 2012-08-22 | エーエスエム アメリカ インコーポレイテッド | 超高品質シリコン含有化合物層の形成方法 |
US7294582B2 (en) * | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
US6740568B2 (en) * | 2002-07-29 | 2004-05-25 | Infineon Technologies Ag | Method to enhance epitaxial regrowth in amorphous silicon contacts |
US7399500B2 (en) * | 2002-08-07 | 2008-07-15 | Schott Ag | Rapid process for the production of multilayer barrier layers |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
JP4358492B2 (ja) * | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US6833322B2 (en) * | 2002-10-17 | 2004-12-21 | Applied Materials, Inc. | Apparatuses and methods for depositing an oxide film |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
JP4065516B2 (ja) * | 2002-10-21 | 2008-03-26 | キヤノン株式会社 | 情報処理装置及び情報処理方法 |
US7092287B2 (en) * | 2002-12-18 | 2006-08-15 | Asm International N.V. | Method of fabricating silicon nitride nanodots |
WO2004057654A2 (en) * | 2002-12-20 | 2004-07-08 | Koninklijke Philips Electronics N.V. | Method of manufacturing a semiconductor device |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
WO2004064147A2 (en) * | 2003-01-07 | 2004-07-29 | Applied Materials, Inc. | Integration of ald/cvd barriers with porous low k materials |
US7422961B2 (en) * | 2003-03-14 | 2008-09-09 | Advanced Micro Devices, Inc. | Method of forming isolation regions for integrated circuits |
US6998305B2 (en) * | 2003-01-24 | 2006-02-14 | Asm America, Inc. | Enhanced selectivity for epitaxial deposition |
WO2004081986A2 (en) * | 2003-03-12 | 2004-09-23 | Asm America Inc. | Method to planarize and reduce defect density of silicon germanium |
US7238595B2 (en) * | 2003-03-13 | 2007-07-03 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
TWI336102B (en) * | 2003-03-13 | 2011-01-11 | Asm Inc | Epitaxial semiconductor deposition methods and structures |
US7682947B2 (en) * | 2003-03-13 | 2010-03-23 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7517768B2 (en) * | 2003-03-31 | 2009-04-14 | Intel Corporation | Method for fabricating a heterojunction bipolar transistor |
JP4954448B2 (ja) | 2003-04-05 | 2012-06-13 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | 有機金属化合物 |
JP4714422B2 (ja) | 2003-04-05 | 2011-06-29 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置 |
JP4689969B2 (ja) * | 2003-04-05 | 2011-06-01 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | Iva族およびvia族化合物の調製 |
US7005160B2 (en) * | 2003-04-24 | 2006-02-28 | Asm America, Inc. | Methods for depositing polycrystalline films with engineered grain structures |
EP1482069A1 (en) * | 2003-05-28 | 2004-12-01 | Interuniversitair Microelektronica Centrum Vzw | Method for producing polycrystalline silicon germanium suitable for micromachining |
US6909186B2 (en) * | 2003-05-01 | 2005-06-21 | International Business Machines Corporation | High performance FET devices and methods therefor |
US7074630B2 (en) * | 2003-05-20 | 2006-07-11 | United Microelectronics Corp. | Method of forming light emitter layer |
US20040241948A1 (en) * | 2003-05-29 | 2004-12-02 | Chun-Feng Nieh | Method of fabricating stacked gate dielectric layer |
JP4158607B2 (ja) * | 2003-06-09 | 2008-10-01 | 株式会社Sumco | 半導体基板の製造方法 |
US7153772B2 (en) * | 2003-06-12 | 2006-12-26 | Asm International N.V. | Methods of forming silicide films in semiconductor devices |
US7122408B2 (en) | 2003-06-16 | 2006-10-17 | Micron Technology, Inc. | Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation |
WO2004113585A2 (en) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
US7282738B2 (en) * | 2003-07-18 | 2007-10-16 | Corning Incorporated | Fabrication of crystalline materials over substrates |
EP1647046A2 (en) * | 2003-07-23 | 2006-04-19 | ASM America, Inc. | DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES |
KR20060039915A (ko) * | 2003-07-30 | 2006-05-09 | 에이에스엠 아메리카, 인코포레이티드 | 완화된 실리콘 게르마늄 층의 에피택셜 성장 |
US7202166B2 (en) * | 2003-08-04 | 2007-04-10 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
US9532994B2 (en) | 2003-08-29 | 2017-01-03 | The Regents Of The University Of California | Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins |
DE10341806B4 (de) * | 2003-09-10 | 2008-11-06 | Texas Instruments Deutschland Gmbh | Verfahren zur Herstellung einer epitaktischen Silizium-Germanium Basisschicht eines heterobipolaren pnp Transistors |
US7175966B2 (en) * | 2003-09-19 | 2007-02-13 | International Business Machines Corporation | Water and aqueous base soluble antireflective coating/hardmask materials |
US20050064629A1 (en) * | 2003-09-22 | 2005-03-24 | Chen-Hua Yu | Tungsten-copper interconnect and method for fabricating the same |
US8501594B2 (en) | 2003-10-10 | 2013-08-06 | Applied Materials, Inc. | Methods for forming silicon germanium layers |
US7132338B2 (en) | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
JP4655578B2 (ja) * | 2003-10-20 | 2011-03-23 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US6987055B2 (en) * | 2004-01-09 | 2006-01-17 | Micron Technology, Inc. | Methods for deposition of semiconductor material |
US7078302B2 (en) | 2004-02-23 | 2006-07-18 | Applied Materials, Inc. | Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal |
JP4982355B2 (ja) * | 2004-02-27 | 2012-07-25 | エーエスエム アメリカ インコーポレイテッド | ゲルマニウム膜の形成方法 |
US7098150B2 (en) * | 2004-03-05 | 2006-08-29 | Air Liquide America L.P. | Method for novel deposition of high-k MSiON dielectric films |
FR2868203B1 (fr) * | 2004-03-29 | 2006-06-09 | St Microelectronics Sa | Procede de fabrication d'un transistor bipolaire a base extrinseque monocristalline |
JP4874527B2 (ja) * | 2004-04-01 | 2012-02-15 | トヨタ自動車株式会社 | 炭化珪素半導体基板及びその製造方法 |
KR20070006852A (ko) * | 2004-04-23 | 2007-01-11 | 에이에스엠 아메리카, 인코포레이티드 | 인-시츄 도핑된 에피택셜 막 |
US7084040B2 (en) * | 2004-04-23 | 2006-08-01 | Northrop Grumman Corp. | Method for growth of group III-V semiconductor material on a dielectric |
US7202142B2 (en) * | 2004-05-03 | 2007-04-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for producing low defect density strained -Si channel MOSFETS |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8170404B2 (en) * | 2004-05-20 | 2012-05-01 | Akzo Nobel N.V. | Bubbler for constant vapor delivery of a solid chemical |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US7396743B2 (en) | 2004-06-10 | 2008-07-08 | Singh Kaushal K | Low temperature epitaxial growth of silicon-containing films using UV radiation |
KR101176668B1 (ko) * | 2004-06-10 | 2012-08-23 | 어플라이드 머티어리얼스, 인코포레이티드 | Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장 |
US7285503B2 (en) * | 2004-06-21 | 2007-10-23 | Applied Materials, Inc. | Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition |
JP3945519B2 (ja) * | 2004-06-21 | 2007-07-18 | 東京エレクトロン株式会社 | 被処理体の熱処理装置、熱処理方法及び記憶媒体 |
NZ552480A (en) | 2004-07-30 | 2010-01-29 | Rinat Neuroscience Corp | Antibodies directed against amyloid-beta peptide and methods of using same |
US8673410B2 (en) * | 2004-08-04 | 2014-03-18 | Tel Solar Ag | Adhesion layer for thin film transistors |
DE102004056170A1 (de) * | 2004-08-06 | 2006-03-16 | Aixtron Ag | Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz |
US7629270B2 (en) * | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US7253084B2 (en) | 2004-09-03 | 2007-08-07 | Asm America, Inc. | Deposition from liquid sources |
US20060051975A1 (en) * | 2004-09-07 | 2006-03-09 | Ashutosh Misra | Novel deposition of SiON dielectric films |
JP4428175B2 (ja) * | 2004-09-14 | 2010-03-10 | 株式会社Sumco | 気相エピタキシャル成長装置および半導体ウェーハの製造方法 |
US7309660B2 (en) * | 2004-09-16 | 2007-12-18 | International Business Machines Corporation | Buffer layer for selective SiGe growth for uniform nucleation |
US7966969B2 (en) * | 2004-09-22 | 2011-06-28 | Asm International N.V. | Deposition of TiN films in a batch reactor |
US7071125B2 (en) * | 2004-09-22 | 2006-07-04 | Intel Corporation | Precursors for film formation |
US7314513B1 (en) | 2004-09-24 | 2008-01-01 | Kovio, Inc. | Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions |
TW200619416A (en) * | 2004-09-30 | 2006-06-16 | Aviza Tech Inc | Method and apparatus for low temperature dielectric deposition using monomolecular precursors |
WO2006044268A1 (en) * | 2004-10-13 | 2006-04-27 | Dow Global Technologies Inc. | Catalysed diesel soot filter and process for its use |
JP5047486B2 (ja) * | 2004-10-13 | 2012-10-10 | アイメック | 半導体デバイスの製造方法 |
US7427571B2 (en) * | 2004-10-15 | 2008-09-23 | Asm International, N.V. | Reactor design for reduced particulate generation |
US7674726B2 (en) * | 2004-10-15 | 2010-03-09 | Asm International N.V. | Parts for deposition reactors |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
JP4945072B2 (ja) * | 2004-11-09 | 2012-06-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
US7682940B2 (en) * | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
JP2006176811A (ja) * | 2004-12-21 | 2006-07-06 | Rikogaku Shinkokai | 結晶性SiC膜の製造方法 |
KR100579860B1 (ko) * | 2004-12-23 | 2006-05-12 | 동부일렉트로닉스 주식회사 | 원자층 증착법(ald) 및 ⅲ족 중금속을 이용한 반도체소자의 p형 폴리실리콘막 형성 방법 |
US9640649B2 (en) * | 2004-12-30 | 2017-05-02 | Infineon Technologies Americas Corp. | III-nitride power semiconductor with a field relaxation feature |
US7704896B2 (en) * | 2005-01-21 | 2010-04-27 | Asm International, N.V. | Atomic layer deposition of thin films on germanium |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7687383B2 (en) | 2005-02-04 | 2010-03-30 | Asm America, Inc. | Methods of depositing electrically active doped crystalline Si-containing films |
JP2008532317A (ja) * | 2005-02-28 | 2008-08-14 | シリコン・ジェネシス・コーポレーション | レイヤ転送プロセス用の基板強化方法および結果のデバイス |
US7629267B2 (en) * | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
UY29504A1 (es) | 2005-04-29 | 2006-10-31 | Rinat Neuroscience Corp | Anticuerpos dirigidos contra el péptido amiloide beta y métodos que utilizan los mismos. |
US7875556B2 (en) * | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7473655B2 (en) * | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
CN101213322A (zh) * | 2005-06-29 | 2008-07-02 | 乔治洛德方法研究和开发液化空气有限公司 | 三元膜的沉积方法 |
EP1907599A2 (en) * | 2005-07-08 | 2008-04-09 | Aviza Technology, Inc. | Method for depositing silicon-containing films |
US20070010072A1 (en) * | 2005-07-09 | 2007-01-11 | Aviza Technology, Inc. | Uniform batch film deposition process and films so produced |
US7195934B2 (en) | 2005-07-11 | 2007-03-27 | Applied Materials, Inc. | Method and system for deposition tuning in an epitaxial film growth apparatus |
US7674687B2 (en) * | 2005-07-27 | 2010-03-09 | Silicon Genesis Corporation | Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process |
US20070029043A1 (en) * | 2005-08-08 | 2007-02-08 | Silicon Genesis Corporation | Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process |
US7166520B1 (en) * | 2005-08-08 | 2007-01-23 | Silicon Genesis Corporation | Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process |
US7427554B2 (en) * | 2005-08-12 | 2008-09-23 | Silicon Genesis Corporation | Manufacturing strained silicon substrates using a backing material |
US20070054048A1 (en) * | 2005-09-07 | 2007-03-08 | Suvi Haukka | Extended deposition range by hot spots |
TW200713455A (en) * | 2005-09-20 | 2007-04-01 | Applied Materials Inc | Method to form a device on a SOI substrate |
US20070065578A1 (en) * | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
DE102005047221B4 (de) * | 2005-10-01 | 2015-08-06 | APSOL GmbH | Halbleiterschichtstruktur, Bauelement mit einer solchen Halbleiterschichtstruktur, Halbleiterschichtstruktur-Scheiben und Verfahren zu deren Herstellung |
US7943721B2 (en) * | 2005-10-05 | 2011-05-17 | Kovio, Inc. | Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions |
US7294581B2 (en) * | 2005-10-17 | 2007-11-13 | Applied Materials, Inc. | Method for fabricating silicon nitride spacer structures |
US20070096091A1 (en) * | 2005-11-03 | 2007-05-03 | Chih-Chun Wang | Layer structure and removing method thereof and mehod of testing semiconductor machine |
US7651919B2 (en) * | 2005-11-04 | 2010-01-26 | Atmel Corporation | Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization |
TWI331770B (en) | 2005-11-04 | 2010-10-11 | Applied Materials Inc | Apparatus for plasma-enhanced atomic layer deposition |
US7300849B2 (en) * | 2005-11-04 | 2007-11-27 | Atmel Corporation | Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement |
US7439558B2 (en) | 2005-11-04 | 2008-10-21 | Atmel Corporation | Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement |
US8530934B2 (en) | 2005-11-07 | 2013-09-10 | Atmel Corporation | Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto |
US7465669B2 (en) * | 2005-11-12 | 2008-12-16 | Applied Materials, Inc. | Method of fabricating a silicon nitride stack |
US7416995B2 (en) * | 2005-11-12 | 2008-08-26 | Applied Materials, Inc. | Method for fabricating controlled stress silicon nitride films |
US20070116888A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
JP4792956B2 (ja) * | 2005-12-13 | 2011-10-12 | セイコーエプソン株式会社 | 半導体基板の製造方法及び半導体装置の製造方法 |
JP4792957B2 (ja) * | 2005-12-14 | 2011-10-12 | セイコーエプソン株式会社 | 半導体基板の製造方法及び半導体装置の製造方法 |
US7553516B2 (en) * | 2005-12-16 | 2009-06-30 | Asm International N.V. | System and method of reducing particle contamination of semiconductor substrates |
US7718518B2 (en) * | 2005-12-16 | 2010-05-18 | Asm International N.V. | Low temperature doped silicon layer formation |
US20070154637A1 (en) * | 2005-12-19 | 2007-07-05 | Rohm And Haas Electronic Materials Llc | Organometallic composition |
US7312154B2 (en) * | 2005-12-20 | 2007-12-25 | Corning Incorporated | Method of polishing a semiconductor-on-insulator structure |
KR20080089403A (ko) * | 2005-12-22 | 2008-10-06 | 에이에스엠 아메리카, 인코포레이티드 | 도핑된 반도체 물질들의 에피택시 증착 |
US20070148890A1 (en) * | 2005-12-27 | 2007-06-28 | Enicks Darwin G | Oxygen enhanced metastable silicon germanium film layer |
DE112007000092B4 (de) * | 2006-01-09 | 2014-07-24 | International Rectifier Corp. | Gruppe-III-Nitrid-Leistungshalbleiter mit einem Feld-Relaxations-Merkmal |
US20070178678A1 (en) * | 2006-01-28 | 2007-08-02 | Varian Semiconductor Equipment Associates, Inc. | Methods of implanting ions and ion sources used for same |
KR100745372B1 (ko) * | 2006-02-06 | 2007-08-02 | 삼성전자주식회사 | 반도체 제조설비의 개스플로우량 감시장치 및 그 방법 |
US9670244B2 (en) | 2006-02-27 | 2017-06-06 | The Regents Of The University Of California | Oxysterol compounds and the hedgehog pathway |
US7964514B2 (en) * | 2006-03-02 | 2011-06-21 | Applied Materials, Inc. | Multiple nitrogen plasma treatments for thin SiON dielectrics |
US7863157B2 (en) | 2006-03-17 | 2011-01-04 | Silicon Genesis Corporation | Method and structure for fabricating solar cells using a layer transfer process |
US7901968B2 (en) * | 2006-03-23 | 2011-03-08 | Asm America, Inc. | Heteroepitaxial deposition over an oxidized surface |
US7598153B2 (en) * | 2006-03-31 | 2009-10-06 | Silicon Genesis Corporation | Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species |
CN101512721A (zh) | 2006-04-05 | 2009-08-19 | 硅源公司 | 利用层转移工艺制造太阳能电池的方法和结构 |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
WO2007130916A2 (en) * | 2006-05-01 | 2007-11-15 | Applied Materials, Inc. | A method of ultra-shallow junction formation using si film alloyed with carbon |
DE102006020825A1 (de) * | 2006-05-04 | 2007-11-08 | Siltronic Ag | Verfahren zur Herstellung einer Schichtenstruktur |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
KR101499260B1 (ko) * | 2006-05-12 | 2015-03-05 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 상 변화 메모리 재료의 저온 증착 |
US7875312B2 (en) | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
US8530361B2 (en) | 2006-05-23 | 2013-09-10 | Air Products And Chemicals, Inc. | Process for producing silicon and oxide films from organoaminosilane precursors |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
US7691757B2 (en) | 2006-06-22 | 2010-04-06 | Asm International N.V. | Deposition of complex nitride films |
US7648853B2 (en) | 2006-07-11 | 2010-01-19 | Asm America, Inc. | Dual channel heterostructure |
US8153513B2 (en) * | 2006-07-25 | 2012-04-10 | Silicon Genesis Corporation | Method and system for continuous large-area scanning implantation process |
US7547621B2 (en) * | 2006-07-25 | 2009-06-16 | Applied Materials, Inc. | LPCVD gate hard mask |
JP5175285B2 (ja) * | 2006-07-31 | 2013-04-03 | アプライド マテリアルズ インコーポレイテッド | エピタキシャル層形成中の形態制御方法 |
JP5090451B2 (ja) | 2006-07-31 | 2012-12-05 | アプライド マテリアルズ インコーポレイテッド | 炭素含有シリコンエピタキシャル層の形成方法 |
KR100753546B1 (ko) * | 2006-08-22 | 2007-08-30 | 삼성전자주식회사 | 트랜지스터의 게이트 및 그 형성 방법. |
US7521379B2 (en) * | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
CN101528813B (zh) * | 2006-10-24 | 2011-10-26 | 陶氏康宁公司 | 含新戊硅烷的组合物及其制备方法 |
US7550758B2 (en) | 2006-10-31 | 2009-06-23 | Atmel Corporation | Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator |
CN102352488B (zh) | 2006-11-02 | 2016-04-06 | 诚实公司 | 对于金属薄膜的cvd/ald有用的锑及锗复合物 |
US7642150B2 (en) * | 2006-11-08 | 2010-01-05 | Varian Semiconductor Equipment Associates, Inc. | Techniques for forming shallow junctions |
US7741200B2 (en) * | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7837790B2 (en) * | 2006-12-01 | 2010-11-23 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080132039A1 (en) * | 2006-12-01 | 2008-06-05 | Yonah Cho | Formation and treatment of epitaxial layer containing silicon and carbon |
US7960236B2 (en) * | 2006-12-12 | 2011-06-14 | Applied Materials, Inc. | Phosphorus containing Si epitaxial layers in N-type source/drain junctions |
US20080138955A1 (en) * | 2006-12-12 | 2008-06-12 | Zhiyuan Ye | Formation of epitaxial layer containing silicon |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US8394196B2 (en) * | 2006-12-12 | 2013-03-12 | Applied Materials, Inc. | Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon |
US8110412B2 (en) * | 2006-12-22 | 2012-02-07 | Spansion Llc | Integrated circuit wafer system with control strategy |
US20080173239A1 (en) | 2007-01-24 | 2008-07-24 | Yuri Makarov | Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor |
US7901508B2 (en) * | 2007-01-24 | 2011-03-08 | Widetronix, Inc. | Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
ITMI20070271A1 (it) * | 2007-02-14 | 2008-08-15 | St Microelectronics Srl | Processo peer fabbricare un dispositivo tft con regioni di source e dain aventi un profilo di drogante graduale |
US8367548B2 (en) * | 2007-03-16 | 2013-02-05 | Asm America, Inc. | Stable silicide films and methods for making the same |
EP1973150A1 (en) * | 2007-03-20 | 2008-09-24 | S.O.I. Tec Silicon on Insulator Technologies S.A. | A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same |
US7456061B2 (en) * | 2007-03-30 | 2008-11-25 | Agere Systems Inc. | Method to reduce boron penetration in a SiGe bipolar device |
US20080246101A1 (en) * | 2007-04-05 | 2008-10-09 | Applied Materials Inc. | Method of poly-silicon grain structure formation |
US7629256B2 (en) * | 2007-05-14 | 2009-12-08 | Asm International N.V. | In situ silicon and titanium nitride deposition |
ES2331824B1 (es) * | 2007-06-18 | 2010-10-22 | Consejo Superior De Investigaciones Cientificas (Csic) | Microcabidades opticas y esponjas fotonicas, procedimiento de producc ion y sus aplicaciones en la fabricacion de dispositivos fotonicos. |
US8017182B2 (en) * | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
US8102694B2 (en) * | 2007-06-25 | 2012-01-24 | Sandisk 3D Llc | Nonvolatile memory device containing carbon or nitrogen doped diode |
US8072791B2 (en) * | 2007-06-25 | 2011-12-06 | Sandisk 3D Llc | Method of making nonvolatile memory device containing carbon or nitrogen doped diode |
CN101720507B (zh) * | 2007-06-25 | 2012-01-11 | 桑迪士克3D公司 | 含有碳或氮掺杂的二极管的非易失性存储器件及其制造和操作方法 |
KR100812089B1 (ko) * | 2007-06-26 | 2008-03-07 | 주식회사 동부하이텍 | 플래시 메모리 소자의 제조 방법 |
US7799376B2 (en) * | 2007-07-27 | 2010-09-21 | Dalsa Semiconductor Inc. | Method of controlling film stress in MEMS devices |
JP5164465B2 (ja) * | 2007-07-27 | 2013-03-21 | 株式会社アルバック | 樹脂基板 |
US7851307B2 (en) * | 2007-08-17 | 2010-12-14 | Micron Technology, Inc. | Method of forming complex oxide nanodots for a charge trap |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
US7972898B2 (en) * | 2007-09-26 | 2011-07-05 | Eastman Kodak Company | Process for making doped zinc oxide |
US20090206275A1 (en) * | 2007-10-03 | 2009-08-20 | Silcon Genesis Corporation | Accelerator particle beam apparatus and method for low contaminate processing |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7939447B2 (en) | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7772097B2 (en) * | 2007-11-05 | 2010-08-10 | Asm America, Inc. | Methods of selectively depositing silicon-containing films |
US8282735B2 (en) | 2007-11-27 | 2012-10-09 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
CA2707663C (en) | 2007-12-03 | 2017-05-30 | The Regents Of The University Of California | Oxysterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and wnt signaling |
US7655543B2 (en) | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
US7989360B2 (en) * | 2008-01-07 | 2011-08-02 | Micron Technology, Inc. | Semiconductor processing methods, and methods for forming silicon dioxide |
US8347814B2 (en) * | 2008-01-22 | 2013-01-08 | Raytheon Canada Limited | Method and apparatus for coating a curved surface |
US8318252B2 (en) | 2008-01-28 | 2012-11-27 | Air Products And Chemicals, Inc. | Antimony precursors for GST films in ALD/CVD processes |
US20090203197A1 (en) * | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US20090200494A1 (en) * | 2008-02-11 | 2009-08-13 | Varian Semiconductor Equipment Associates, Inc. | Techniques for cold implantation of carbon-containing species |
US8003957B2 (en) * | 2008-02-11 | 2011-08-23 | Varian Semiconductor Equipment Associates, Inc. | Ethane implantation with a dilution gas |
US20090258151A1 (en) * | 2008-04-10 | 2009-10-15 | Raytheon Company | Method and Apparatus for Coating Curved Surfaces |
US7720342B2 (en) * | 2008-04-15 | 2010-05-18 | Hewlett-Packard Development Company, L.P. | Optical device with a graded bandgap structure and methods of making and using the same |
US7947552B2 (en) * | 2008-04-21 | 2011-05-24 | Infineon Technologies Ag | Process for the simultaneous deposition of crystalline and amorphous layers with doping |
EP2279285B1 (en) * | 2008-04-25 | 2015-02-11 | ASM International N.V. | Synthesis and use of precursors for ald of tellurium and selenium thin films |
US20090267118A1 (en) * | 2008-04-29 | 2009-10-29 | International Business Machines Corporation | Method for forming carbon silicon alloy (csa) and structures thereof |
US8398776B2 (en) * | 2008-05-12 | 2013-03-19 | Raytheon Canada Limited | Method and apparatus for supporting workpieces in a coating apparatus |
WO2009148878A2 (en) * | 2008-05-29 | 2009-12-10 | Ndsu Research Foundation | Method of forming functionalized silanes |
US7943527B2 (en) * | 2008-05-30 | 2011-05-17 | The Board Of Trustees Of The University Of Illinois | Surface preparation for thin film growth by enhanced nucleation |
US8246748B2 (en) * | 2008-07-09 | 2012-08-21 | Raytheon Canada Limited | Method and apparatus for coating surfaces |
US8343583B2 (en) | 2008-07-10 | 2013-01-01 | Asm International N.V. | Method for vaporizing non-gaseous precursor in a fluidized bed |
JP5336956B2 (ja) * | 2008-07-31 | 2013-11-06 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8252112B2 (en) * | 2008-09-12 | 2012-08-28 | Ovshinsky Innovation, Llc | High speed thin film deposition via pre-selected intermediate |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8012876B2 (en) | 2008-12-02 | 2011-09-06 | Asm International N.V. | Delivery of vapor precursor from solid source |
US7833906B2 (en) | 2008-12-11 | 2010-11-16 | Asm International N.V. | Titanium silicon nitride deposition |
DE102008063402B4 (de) * | 2008-12-31 | 2013-10-17 | Advanced Micro Devices, Inc. | Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten |
US7749917B1 (en) * | 2008-12-31 | 2010-07-06 | Applied Materials, Inc. | Dry cleaning of silicon surface for solar cell applications |
US20100178758A1 (en) * | 2009-01-15 | 2010-07-15 | Macronix International Co., Ltd. | Methods for fabricating dielectric layer and non-volatile memory |
CN102362016B (zh) * | 2009-01-30 | 2014-10-22 | Amg艾迪卡斯特太阳能公司 | 晶种层和晶种层的制造方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8486191B2 (en) | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
DE102009002758A1 (de) * | 2009-04-30 | 2010-11-11 | Evonik Degussa Gmbh | Bandgap Tailoring von Solarzellen aus Flüssigsilan mittels Germanium-Zugabe |
US20100279479A1 (en) * | 2009-05-01 | 2010-11-04 | Varian Semiconductor Equipment Associates, Inc. | Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon |
DE102009032854B4 (de) * | 2009-07-13 | 2015-07-23 | Texas Instruments Deutschland Gmbh | Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess |
JP2011023718A (ja) * | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US20110020623A1 (en) * | 2009-07-22 | 2011-01-27 | Raytheon Company | Method and Apparatus for Repairing an Optical Component Substrate Through Coating |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8421162B2 (en) | 2009-09-30 | 2013-04-16 | Suvolta, Inc. | Advanced transistors with punch through suppression |
US8273617B2 (en) | 2009-09-30 | 2012-09-25 | Suvolta, Inc. | Electronic devices and systems, and methods for making and using the same |
KR101829380B1 (ko) | 2009-10-26 | 2018-02-19 | 에이에스엠 인터내셔널 엔.브이. | 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도 |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
CN102762497B (zh) | 2010-01-28 | 2015-11-25 | 北达科他州立大学研究基金会 | 产生环己硅烷化合物的方法 |
US20120142172A1 (en) * | 2010-03-25 | 2012-06-07 | Keith Fox | Pecvd deposition of smooth polysilicon films |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8709551B2 (en) * | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
US20130157466A1 (en) * | 2010-03-25 | 2013-06-20 | Keith Fox | Silicon nitride films for semiconductor device applications |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8530286B2 (en) | 2010-04-12 | 2013-09-10 | Suvolta, Inc. | Low power semiconductor transistor structure and method of fabrication thereof |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US8569128B2 (en) | 2010-06-21 | 2013-10-29 | Suvolta, Inc. | Semiconductor structure and method of fabrication thereof with mixed metal types |
US8759872B2 (en) | 2010-06-22 | 2014-06-24 | Suvolta, Inc. | Transistor with threshold voltage set notch and method of fabrication thereof |
US8466045B2 (en) * | 2010-07-02 | 2013-06-18 | Tokyo Electron Limited | Method of forming strained epitaxial carbon-doped silicon films |
US8759200B2 (en) | 2010-07-02 | 2014-06-24 | Matheson Tri-Gas, Inc. | Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material |
US8263988B2 (en) | 2010-07-16 | 2012-09-11 | Micron Technology, Inc. | Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing |
US9017486B2 (en) * | 2010-09-09 | 2015-04-28 | International Business Machines Corporation | Deposition chamber cleaning method including stressed cleaning layer |
KR20180104171A (ko) * | 2010-09-15 | 2018-09-19 | 프랙스에어 테크놀로지, 인코포레이티드 | 이온 소스의 수명 연장 방법 |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8377783B2 (en) | 2010-09-30 | 2013-02-19 | Suvolta, Inc. | Method for reducing punch-through in a transistor device |
JP5544343B2 (ja) * | 2010-10-29 | 2014-07-09 | 東京エレクトロン株式会社 | 成膜装置 |
SG190729A1 (en) * | 2010-11-30 | 2013-07-31 | Advanced Tech Materials | Ion implanter system including remote dopant source, and method comprising same |
US8404551B2 (en) | 2010-12-03 | 2013-03-26 | Suvolta, Inc. | Source/drain extension control for advanced transistors |
US8901537B2 (en) | 2010-12-21 | 2014-12-02 | Intel Corporation | Transistors with high concentration of boron doped germanium |
US9484432B2 (en) | 2010-12-21 | 2016-11-01 | Intel Corporation | Contact resistance reduction employing germanium overlayer pre-contact metalization |
EP2474643B1 (en) | 2011-01-11 | 2016-01-06 | Imec | Method for direct deposition of a germanium layer |
DE102011009963A1 (de) * | 2011-02-01 | 2012-08-02 | Linde Aktiengesellschaft | Verfahren zum Lichtbogenfügen und Schutzgasmischung |
DE102011009964A1 (de) * | 2011-02-01 | 2012-08-02 | Linde Aktiengesellschaft | Verfahren zum Weich-, Hart- und Hochtemperaturlöten |
US8461875B1 (en) | 2011-02-18 | 2013-06-11 | Suvolta, Inc. | Digital circuits having improved transistors, and methods therefor |
US8525271B2 (en) | 2011-03-03 | 2013-09-03 | Suvolta, Inc. | Semiconductor structure with improved channel stack and method for fabrication thereof |
US8400219B2 (en) | 2011-03-24 | 2013-03-19 | Suvolta, Inc. | Analog circuits having improved transistors, and methods therefor |
US8748270B1 (en) | 2011-03-30 | 2014-06-10 | Suvolta, Inc. | Process for manufacturing an improved analog transistor |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8796048B1 (en) | 2011-05-11 | 2014-08-05 | Suvolta, Inc. | Monitoring and measurement of thin film layers |
US8999861B1 (en) | 2011-05-11 | 2015-04-07 | Suvolta, Inc. | Semiconductor structure with substitutional boron and method for fabrication thereof |
US8811068B1 (en) | 2011-05-13 | 2014-08-19 | Suvolta, Inc. | Integrated circuit devices and methods |
US8569156B1 (en) | 2011-05-16 | 2013-10-29 | Suvolta, Inc. | Reducing or eliminating pre-amorphization in transistor manufacture |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US8771807B2 (en) | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US8735987B1 (en) | 2011-06-06 | 2014-05-27 | Suvolta, Inc. | CMOS gate stack structures and processes |
US10043934B2 (en) * | 2011-06-08 | 2018-08-07 | International Business Machines Corporation | Silicon-containing heterojunction photovoltaic element and device |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US8995204B2 (en) | 2011-06-23 | 2015-03-31 | Suvolta, Inc. | Circuit devices and methods having adjustable transistor body bias |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8629016B1 (en) | 2011-07-26 | 2014-01-14 | Suvolta, Inc. | Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer |
US8748986B1 (en) | 2011-08-05 | 2014-06-10 | Suvolta, Inc. | Electronic device with controlled threshold voltage |
WO2013022753A2 (en) | 2011-08-05 | 2013-02-14 | Suvolta, Inc. | Semiconductor devices having fin structures and fabrication methods thereof |
US8778811B2 (en) * | 2011-08-18 | 2014-07-15 | Intermolecular, Inc. | Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation |
US8614128B1 (en) | 2011-08-23 | 2013-12-24 | Suvolta, Inc. | CMOS structures and processes based on selective thinning |
US8645878B1 (en) | 2011-08-23 | 2014-02-04 | Suvolta, Inc. | Porting a circuit design from a first semiconductor process to a second semiconductor process |
US8713511B1 (en) | 2011-09-16 | 2014-04-29 | Suvolta, Inc. | Tools and methods for yield-aware semiconductor manufacturing process target generation |
US8841742B2 (en) | 2011-09-27 | 2014-09-23 | Soitec | Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods |
JP5741382B2 (ja) * | 2011-09-30 | 2015-07-01 | 東京エレクトロン株式会社 | 薄膜の形成方法及び成膜装置 |
US9236466B1 (en) | 2011-10-07 | 2016-01-12 | Mie Fujitsu Semiconductor Limited | Analog circuits having improved insulated gate transistors, and methods therefor |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8895327B1 (en) | 2011-12-09 | 2014-11-25 | Suvolta, Inc. | Tipless transistors, short-tip transistors, and methods and circuits therefor |
US8819603B1 (en) | 2011-12-15 | 2014-08-26 | Suvolta, Inc. | Memory circuits and methods of making and designing the same |
US8883600B1 (en) | 2011-12-22 | 2014-11-11 | Suvolta, Inc. | Transistor having reduced junction leakage and methods of forming thereof |
US8599623B1 (en) | 2011-12-23 | 2013-12-03 | Suvolta, Inc. | Circuits and methods for measuring circuit elements in an integrated circuit device |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8877619B1 (en) | 2012-01-23 | 2014-11-04 | Suvolta, Inc. | Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom |
US8970289B1 (en) | 2012-01-23 | 2015-03-03 | Suvolta, Inc. | Circuits and devices for generating bi-directional body bias voltages, and methods therefor |
US9093550B1 (en) | 2012-01-31 | 2015-07-28 | Mie Fujitsu Semiconductor Limited | Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US9406567B1 (en) | 2012-02-28 | 2016-08-02 | Mie Fujitsu Semiconductor Limited | Method for fabricating multiple transistor devices on a substrate with varying threshold voltages |
US9127345B2 (en) | 2012-03-06 | 2015-09-08 | Asm America, Inc. | Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent |
US8863064B1 (en) | 2012-03-23 | 2014-10-14 | Suvolta, Inc. | SRAM cell layout structure and devices therefrom |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
KR102025441B1 (ko) | 2012-04-06 | 2019-09-25 | 노벨러스 시스템즈, 인코포레이티드 | 증착 후 소프트 어닐링 |
CN104395331B (zh) | 2012-05-07 | 2016-11-02 | 加利福尼亚大学董事会 | 诱导骨生成和hedgehog 信号传导且抑制脂肪形成的氧固醇类似物氧固醇化合物133 |
US9117668B2 (en) * | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
US8889529B2 (en) * | 2012-05-24 | 2014-11-18 | International Business Machines Corporation | Heterojunction bipolar transistors with thin epitaxial contacts |
US9064924B2 (en) * | 2012-05-24 | 2015-06-23 | International Business Machines Corporation | Heterojunction bipolar transistors with intrinsic interlayers |
US9299698B2 (en) | 2012-06-27 | 2016-03-29 | Mie Fujitsu Semiconductor Limited | Semiconductor structure with multiple transistors having various threshold voltages |
US9064694B2 (en) * | 2012-07-12 | 2015-06-23 | Tokyo Electron Limited | Nitridation of atomic layer deposited high-k dielectrics using trisilylamine |
US9388491B2 (en) | 2012-07-23 | 2016-07-12 | Novellus Systems, Inc. | Method for deposition of conformal films with catalysis assisted low temperature CVD |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8637955B1 (en) | 2012-08-31 | 2014-01-28 | Suvolta, Inc. | Semiconductor structure with reduced junction leakage and method of fabrication thereof |
US9171715B2 (en) | 2012-09-05 | 2015-10-27 | Asm Ip Holding B.V. | Atomic layer deposition of GeO2 |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9112057B1 (en) | 2012-09-18 | 2015-08-18 | Mie Fujitsu Semiconductor Limited | Semiconductor devices with dopant migration suppression and method of fabrication thereof |
US9041126B2 (en) | 2012-09-21 | 2015-05-26 | Mie Fujitsu Semiconductor Limited | Deeply depleted MOS transistors having a screening layer and methods thereof |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US8946035B2 (en) | 2012-09-27 | 2015-02-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost |
CN104641453B (zh) | 2012-10-12 | 2018-03-30 | 住友电气工业株式会社 | Iii族氮化物复合衬底及其制造方法以及制造iii族氮化物半导体器件的方法 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
WO2014071049A2 (en) | 2012-10-31 | 2014-05-08 | Suvolta, Inc. | Dram-type device with low variation transistor peripheral circuits, and related methods |
US8816754B1 (en) | 2012-11-02 | 2014-08-26 | Suvolta, Inc. | Body bias circuits and methods |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US9093997B1 (en) | 2012-11-15 | 2015-07-28 | Mie Fujitsu Semiconductor Limited | Slew based process and bias monitors and related methods |
US9512519B2 (en) * | 2012-12-03 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition apparatus and method |
US9070477B1 (en) | 2012-12-12 | 2015-06-30 | Mie Fujitsu Semiconductor Limited | Bit interleaved low voltage static random access memory (SRAM) and related methods |
US9112484B1 (en) | 2012-12-20 | 2015-08-18 | Mie Fujitsu Semiconductor Limited | Integrated circuit process and bias monitors and related methods |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
CN103107095A (zh) * | 2013-01-25 | 2013-05-15 | 京东方科技集团股份有限公司 | 薄膜晶体管及其制作方法、阵列基板、显示装置 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9268885B1 (en) | 2013-02-28 | 2016-02-23 | Mie Fujitsu Semiconductor Limited | Integrated circuit device methods and models with predicted device metric variations |
US8994415B1 (en) | 2013-03-01 | 2015-03-31 | Suvolta, Inc. | Multiple VDD clock buffer |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8988153B1 (en) | 2013-03-09 | 2015-03-24 | Suvolta, Inc. | Ring oscillator with NMOS or PMOS variation insensitivity |
US9299801B1 (en) | 2013-03-14 | 2016-03-29 | Mie Fujitsu Semiconductor Limited | Method for fabricating a transistor device with a tuned dopant profile |
US9449967B1 (en) | 2013-03-15 | 2016-09-20 | Fujitsu Semiconductor Limited | Transistor array structure |
US9112495B1 (en) | 2013-03-15 | 2015-08-18 | Mie Fujitsu Semiconductor Limited | Integrated circuit device body bias circuits and methods |
US9214630B2 (en) | 2013-04-11 | 2015-12-15 | Air Products And Chemicals, Inc. | Method of making a multicomponent film |
WO2014179756A1 (en) | 2013-05-02 | 2014-11-06 | The Regents Of The University Of California | Bone-selective osteogenic oxysterol-bone targeting agents |
US9478571B1 (en) | 2013-05-24 | 2016-10-25 | Mie Fujitsu Semiconductor Limited | Buried channel deeply depleted channel transistor |
US8895415B1 (en) | 2013-05-31 | 2014-11-25 | Novellus Systems, Inc. | Tensile stressed doped amorphous silicon |
CN105164300A (zh) * | 2013-07-12 | 2015-12-16 | 惠普发展公司,有限责任合伙企业 | 非晶薄金属膜 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US8976575B1 (en) | 2013-08-29 | 2015-03-10 | Suvolta, Inc. | SRAM performance monitor |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9920077B2 (en) | 2013-09-27 | 2018-03-20 | L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150171321A1 (en) | 2013-12-13 | 2015-06-18 | Micron Technology, Inc. | Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces |
US9218963B2 (en) | 2013-12-19 | 2015-12-22 | Asm Ip Holding B.V. | Cyclical deposition of germanium |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
KR102195139B1 (ko) | 2014-02-20 | 2020-12-24 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP2014166957A (ja) * | 2014-04-24 | 2014-09-11 | Sumitomo Electric Ind Ltd | 炭化珪素半導体およびその製造方法と製造装置 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9710006B2 (en) | 2014-07-25 | 2017-07-18 | Mie Fujitsu Semiconductor Limited | Power up body bias circuits and methods |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10177310B2 (en) | 2014-07-30 | 2019-01-08 | Hewlett Packard Enterprise Development Lp | Amorphous metal alloy electrodes in non-volatile device applications |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US20170226640A1 (en) * | 2014-08-01 | 2017-08-10 | 3M Innovative Properties Company | Substrate with amorphous, covalently-bonded layer and method of making the same |
US9319013B2 (en) | 2014-08-19 | 2016-04-19 | Mie Fujitsu Semiconductor Limited | Operational amplifier input offset correction with transistor threshold voltage adjustment |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
CN105609406B (zh) * | 2014-11-19 | 2018-09-28 | 株式会社日立国际电气 | 半导体器件的制造方法、衬底处理装置、气体供给系统 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9390925B1 (en) | 2014-12-17 | 2016-07-12 | GlobalFoundries, Inc. | Silicon—germanium (SiGe) fin formation |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US11124876B2 (en) | 2015-03-30 | 2021-09-21 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9777025B2 (en) | 2015-03-30 | 2017-10-03 | L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10403744B2 (en) * | 2015-06-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10876206B2 (en) | 2015-09-01 | 2020-12-29 | Silcotek Corp. | Thermal chemical vapor deposition coating |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US20170211180A1 (en) * | 2016-01-22 | 2017-07-27 | Silcotek Corp. | Diffusion-rate-limited thermal chemical vapor deposition coating |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
JP6575433B2 (ja) * | 2016-05-23 | 2019-09-18 | 株式会社デンソー | 半導体装置の製造方法 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
CN109923644B (zh) | 2016-10-03 | 2024-03-19 | 应用材料公司 | 多通道流量比例控制器与处理腔室 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
WO2018125141A1 (en) * | 2016-12-29 | 2018-07-05 | Intel Corporation | Methods for incorporating stabilized carbon into silicon nitride films |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10460932B2 (en) * | 2017-03-31 | 2019-10-29 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP2018199863A (ja) * | 2017-05-02 | 2018-12-20 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | タングステン柱を形成する方法 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US11161324B2 (en) | 2017-09-13 | 2021-11-02 | Silcotek Corp. | Corrosion-resistant coated article and thermal chemical vapor deposition coating process |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
FR3073665B1 (fr) * | 2017-11-15 | 2019-11-29 | Centre National De La Recherche Scientifique | Procede de fabrication de couche mince transferable |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US20210040617A1 (en) * | 2018-03-28 | 2021-02-11 | Applied Materials, Inc. | Remote capacitively coupled plasma deposition of amorphous silicon |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
KR102501287B1 (ko) | 2018-07-30 | 2023-02-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법 |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11562903B2 (en) * | 2019-01-17 | 2023-01-24 | Ramesh kumar Harjivan Kakkad | Method of fabricating thin, crystalline silicon film and thin film transistors |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
US11791159B2 (en) | 2019-01-17 | 2023-10-17 | Ramesh kumar Harjivan Kakkad | Method of fabricating thin, crystalline silicon film and thin film transistors |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11589572B2 (en) | 2019-05-23 | 2023-02-28 | Scott A. Butz | Moving decoy support system |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
WO2020252306A1 (en) | 2019-06-14 | 2020-12-17 | Silcotek Corp. | Nano-wire growth |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11649560B2 (en) | 2019-06-20 | 2023-05-16 | Applied Materials, Inc. | Method for forming silicon-phosphorous materials |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210035449A (ko) | 2019-09-24 | 2021-04-01 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
CN111074217A (zh) * | 2019-12-24 | 2020-04-28 | 江苏杰太光电技术有限公司 | 一种掺杂非晶硅的靶材及太阳能电池制备方法 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11551926B2 (en) * | 2021-01-22 | 2023-01-10 | Micron Technology, Inc. | Methods of forming a microelectronic device, and related systems and additional methods |
KR20230170068A (ko) * | 2021-04-21 | 2023-12-18 | 엔테그리스, 아이엔씨. | 규소 전구체 화합물 및 규소 함유 막의 형성 방법 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TWI838011B (zh) * | 2021-12-23 | 2024-04-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法 |
FR3131332A1 (fr) * | 2021-12-23 | 2023-06-30 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt |
EP4215649A1 (en) | 2022-01-24 | 2023-07-26 | Ivan Timokhin | Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface |
Family Cites Families (351)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US117956A (en) * | 1871-08-08 | Improvement in barrel-pitching machines | ||
US495218A (en) * | 1893-04-11 | Elastic tire | ||
US2002A (en) * | 1841-03-12 | Tor and planter for plowing | ||
US1217956A (en) * | 1916-11-18 | 1917-03-06 | Pittsburgh Plate Glass Co | Pot for the manufacture of plate-glass, and the method of making the same. |
US1268064A (en) * | 1917-06-19 | 1918-05-28 | Johnson & Johnson | First-aid packet. |
US2155225A (en) * | 1936-04-11 | 1939-04-18 | Westinghouse Air Brake Co | Empty and load apparatus |
US3185817A (en) * | 1954-09-30 | 1965-05-25 | North American Aviation Inc | Gyroscope filtering and computing system |
US3091239A (en) * | 1958-08-25 | 1963-05-28 | Moeller Wilhelm | Apparatus for intravasal injection of gaseous and liquid media |
US3187215A (en) * | 1961-10-02 | 1965-06-01 | Bendix Corp | Spark gap device |
US3292741A (en) * | 1964-10-27 | 1966-12-20 | Bendix Corp | Parking mechanism for dual brake |
DE2023992A1 (de) | 1970-05-15 | 1971-12-02 | Siemens Ag | Verfahren zum Dotieren von Silicium- oder Germaniumkristallen mit Antimon und/ oder Wismut im Einzonenofen |
US3900597A (en) * | 1973-12-19 | 1975-08-19 | Motorola Inc | System and process for deposition of polycrystalline silicon with silane in vacuum |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
JPS6047202B2 (ja) | 1976-01-13 | 1985-10-21 | 東北大学金属材料研究所長 | 超硬高純度の配向多結晶質窒化珪素 |
GB1573154A (en) * | 1977-03-01 | 1980-08-13 | Pilkington Brothers Ltd | Coating glass |
US4217374A (en) * | 1978-03-08 | 1980-08-12 | Energy Conversion Devices, Inc. | Amorphous semiconductors equivalent to crystalline semiconductors |
US4200666A (en) | 1978-08-02 | 1980-04-29 | Texas Instruments Incorporated | Single component monomer for silicon nitride deposition |
US4223048A (en) | 1978-08-07 | 1980-09-16 | Pacific Western Systems | Plasma enhanced chemical vapor processing of semiconductive wafers |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4237150A (en) | 1979-04-18 | 1980-12-02 | The United States Of America As Represented By The United States Department Of Energy | Method of producing hydrogenated amorphous silicon film |
FR2464478A1 (fr) * | 1979-09-04 | 1981-03-06 | Suisse Horlogerie | Detecteur d'avance d'un moteur pas a pas |
US4411729A (en) * | 1979-09-29 | 1983-10-25 | Fujitsu Limited | Method for a vapor phase growth of a compound semiconductor |
US4363828A (en) | 1979-12-12 | 1982-12-14 | International Business Machines Corp. | Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas |
US4379020A (en) * | 1980-06-16 | 1983-04-05 | Massachusetts Institute Of Technology | Polycrystalline semiconductor processing |
US4444812A (en) * | 1980-07-28 | 1984-04-24 | Monsanto Company | Combination gas curtains for continuous chemical vapor deposition production of silicon bodies |
JPS57209810A (en) | 1981-06-17 | 1982-12-23 | Asahi Chem Ind Co Ltd | Preparation of silicon nitride |
US4452875A (en) | 1982-02-15 | 1984-06-05 | Canon Kabushiki Kaisha | Amorphous photoconductive member with α-Si interlayers |
JPH0635323B2 (ja) | 1982-06-25 | 1994-05-11 | 株式会社日立製作所 | 表面処理方法 |
US4737379A (en) | 1982-09-24 | 1988-04-12 | Energy Conversion Devices, Inc. | Plasma deposited coatings, and low temperature plasma method of making same |
JPS5958819A (ja) * | 1982-09-29 | 1984-04-04 | Hitachi Ltd | 薄膜形成方法 |
JPS5978918A (ja) | 1982-10-26 | 1984-05-08 | Mitsui Toatsu Chem Inc | 広バンドギャップアモルファスシリコン膜の形成方法 |
JPS5978919A (ja) | 1982-10-26 | 1984-05-08 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
JPS5989407A (ja) | 1982-11-15 | 1984-05-23 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
JPS6043485B2 (ja) | 1982-12-08 | 1985-09-28 | 豊田株式会社 | 高速道路の安全対策装置車 |
JPS6043485A (ja) | 1983-08-19 | 1985-03-08 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
US4557794A (en) | 1984-05-07 | 1985-12-10 | Rca Corporation | Method for forming a void-free monocrystalline epitaxial layer on a mask |
US4578142A (en) * | 1984-05-10 | 1986-03-25 | Rca Corporation | Method for growing monocrystalline silicon through mask layer |
US4634605A (en) * | 1984-05-23 | 1987-01-06 | Wiesmann Harold J | Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof |
US4592933A (en) * | 1984-06-29 | 1986-06-03 | International Business Machines Corporation | High efficiency homogeneous chemical vapor deposition |
US4707197A (en) | 1984-08-02 | 1987-11-17 | American Telephone And Telegraph Company, At&T Bell Laboratories | Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method |
US4631804A (en) | 1984-12-10 | 1986-12-30 | At&T Bell Laboratories | Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer |
JPS61153277A (ja) | 1984-12-27 | 1986-07-11 | Agency Of Ind Science & Technol | 微結晶シリコン薄膜の製造方法 |
JPS61191015A (ja) * | 1985-02-20 | 1986-08-25 | Hitachi Ltd | 半導体の気相成長方法及びその装置 |
US4615762A (en) | 1985-04-30 | 1986-10-07 | Rca Corporation | Method for thinning silicon |
US4695331A (en) | 1985-05-06 | 1987-09-22 | Chronar Corporation | Hetero-augmentation of semiconductor materials |
JPS61291410A (ja) | 1985-06-17 | 1986-12-22 | Mitsubishi Chem Ind Ltd | ケイ素の製造方法 |
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
JPH0650730B2 (ja) | 1985-09-30 | 1994-06-29 | 三井東圧化学株式会社 | 半導体薄膜の製造方法 |
JPS6276812A (ja) | 1985-09-30 | 1987-04-08 | Toshiba Corp | ヒステリシス回路 |
JPS6277612A (ja) | 1985-10-01 | 1987-04-09 | Nippon Atom Ind Group Co Ltd | プラント異常診断方法 |
US4891092A (en) * | 1986-01-13 | 1990-01-02 | General Electric Company | Method for making a silicon-on-insulator substrate |
EG18056A (en) | 1986-02-18 | 1991-11-30 | Solarex Corp | Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices |
JPS62253771A (ja) | 1986-04-28 | 1987-11-05 | Hitachi Ltd | 薄膜形成方法 |
US4755481A (en) * | 1986-05-15 | 1988-07-05 | General Electric Company | Method of making a silicon-on-insulator transistor |
US4747367A (en) | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
JPS633414A (ja) | 1986-06-24 | 1988-01-08 | Agency Of Ind Science & Technol | シリコン膜の製造方法 |
JP2592238B2 (ja) | 1986-06-24 | 1997-03-19 | セイコー電子工業株式会社 | 薄膜トランジスタの製造方法 |
EP0254651B1 (en) | 1986-06-28 | 1991-09-04 | Nihon Shinku Gijutsu Kabushiki Kaisha | Method and apparatus for chemical vapor deposition |
US4684542A (en) * | 1986-08-11 | 1987-08-04 | International Business Machines Corporation | Low pressure chemical vapor deposition of tungsten silicide |
US4720395A (en) | 1986-08-25 | 1988-01-19 | Anicon, Inc. | Low temperature silicon nitride CVD process |
US5082696A (en) * | 1986-10-03 | 1992-01-21 | Dow Corning Corporation | Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes |
KR900007686B1 (ko) | 1986-10-08 | 1990-10-18 | 후지쓰 가부시끼가이샤 | 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법 |
US4854263B1 (en) | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
EP0305143B1 (en) * | 1987-08-24 | 1993-12-08 | Fujitsu Limited | Method of selectively forming a conductor layer |
JPH01134932A (ja) | 1987-11-19 | 1989-05-26 | Oki Electric Ind Co Ltd | 基板清浄化方法及び基板清浄化装置 |
JP2534525B2 (ja) * | 1987-12-19 | 1996-09-18 | 富士通株式会社 | β−炭化シリコン層の製造方法 |
DE3803895C1 (ja) * | 1988-02-09 | 1989-04-13 | Degussa Ag, 6000 Frankfurt, De | |
JPH01217958A (ja) | 1988-02-26 | 1989-08-31 | Toshiba Corp | 寄生電流誤動作防止回路 |
JP2835723B2 (ja) | 1988-02-26 | 1998-12-14 | 富士通株式会社 | キャパシタ及びキャパシタの製造方法 |
EP0332101B1 (en) * | 1988-03-11 | 1997-06-04 | Fujitsu Limited | Semiconductor device having a region doped to a level exceeding the solubility limit |
EP0337445A3 (en) * | 1988-04-13 | 1991-01-16 | Hitachi, Ltd. | Laminar structure comprising organic material and inorganic material, methods for producing it and its use |
JPH01268064A (ja) | 1988-04-20 | 1989-10-25 | Hitachi Ltd | 多結晶シリコン薄膜の形成方法 |
US4933206A (en) | 1988-08-17 | 1990-06-12 | Intel Corporation | UV-vis characteristic writing in silicon nitride and oxynitride films |
US5091761A (en) * | 1988-08-22 | 1992-02-25 | Hitachi, Ltd. | Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
JPH02225399A (ja) | 1988-11-11 | 1990-09-07 | Fujitsu Ltd | エピタキシャル成長方法および成長装置 |
JPH02155225A (ja) | 1988-12-08 | 1990-06-14 | Mitsui Toatsu Chem Inc | 非晶質半導体薄膜の形成方法 |
JPH02235327A (ja) * | 1989-03-08 | 1990-09-18 | Fujitsu Ltd | 半導体成長装置および半導体成長方法 |
US4963506A (en) | 1989-04-24 | 1990-10-16 | Motorola Inc. | Selective deposition of amorphous and polycrystalline silicon |
US5194398A (en) | 1989-06-28 | 1993-03-16 | Mitsui Toatsu Chemicals, Inc. | Semiconductor film and process for its production |
JPH03193880A (ja) * | 1989-08-03 | 1991-08-23 | Mikakutou Seimitsu Kogaku Kenkyusho:Kk | 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置 |
JP2947828B2 (ja) | 1989-09-04 | 1999-09-13 | 株式会社日立製作所 | 半導体装置の製造方法 |
US5214002A (en) * | 1989-10-25 | 1993-05-25 | Agency Of Industrial Science And Technology | Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step |
US5068124A (en) | 1989-11-17 | 1991-11-26 | International Business Machines Corporation | Method for depositing high quality silicon dioxide by pecvd |
US5198387A (en) * | 1989-12-01 | 1993-03-30 | Texas Instruments Incorporated | Method and apparatus for in-situ doping of deposited silicon |
JPH03187215A (ja) | 1989-12-15 | 1991-08-15 | Sharp Corp | シリコン薄膜の製造方法 |
JPH03185817A (ja) | 1989-12-15 | 1991-08-13 | Seiko Epson Corp | 半導体膜の形成方法 |
US4992299A (en) | 1990-02-01 | 1991-02-12 | Air Products And Chemicals, Inc. | Deposition of silicon nitride films from azidosilane sources |
JP3469251B2 (ja) | 1990-02-14 | 2003-11-25 | 株式会社東芝 | 半導体装置の製造方法 |
JP2917392B2 (ja) | 1990-04-10 | 1999-07-12 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US5316844A (en) * | 1990-04-16 | 1994-05-31 | Hoya Electronics Corporation | Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer |
US5250452A (en) | 1990-04-27 | 1993-10-05 | North Carolina State University | Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
EP0465264B1 (en) * | 1990-07-06 | 1998-12-09 | Kazuo Tsubouchi | Metal film forming method |
JPH0485818A (ja) | 1990-07-26 | 1992-03-18 | Fujitsu Ltd | 半導体装置の製造方法 |
JP3193402B2 (ja) | 1990-08-31 | 2001-07-30 | 株式会社日立製作所 | 半導体装置の製造方法 |
KR100209856B1 (ko) * | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
US5080933A (en) * | 1990-09-04 | 1992-01-14 | Motorola, Inc. | Selective deposition of polycrystalline silicon |
US5504704A (en) * | 1990-10-29 | 1996-04-02 | Nec Corporation | Semiconductor memory device |
WO1992007525A1 (en) * | 1990-10-31 | 1992-05-14 | Baxter International Inc. | Close vascularization implant material |
US6893906B2 (en) * | 1990-11-26 | 2005-05-17 | Semiconductor Energy Laboratory Co., Ltd. | Electro-optical device and driving method for the same |
JPH10223911A (ja) | 1990-11-16 | 1998-08-21 | Seiko Epson Corp | 薄膜半導体装置 |
US5372958A (en) | 1990-11-16 | 1994-12-13 | Seiko Epson Corporation | Process for fabricating a thin film semiconductor device |
US5849601A (en) * | 1990-12-25 | 1998-12-15 | Semiconductor Energy Laboratory Co., Ltd. | Electro-optical device and method for manufacturing the same |
US5110757A (en) | 1990-12-19 | 1992-05-05 | North American Philips Corp. | Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition |
JPH0691249B2 (ja) * | 1991-01-10 | 1994-11-14 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 変調ドープ形misfet及びその製造方法 |
JP3091239B2 (ja) | 1991-01-28 | 2000-09-25 | 三菱レイヨン株式会社 | プラスチック光ファイバコード |
US5112773A (en) * | 1991-04-10 | 1992-05-12 | Micron Technology, Inc. | Methods for texturizing polysilicon utilizing gas phase nucleation |
JP2907403B2 (ja) * | 1991-03-22 | 1999-06-21 | キヤノン株式会社 | 堆積膜形成装置 |
JP2794499B2 (ja) * | 1991-03-26 | 1998-09-03 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JPH04299515A (ja) | 1991-03-27 | 1992-10-22 | Shin Etsu Chem Co Ltd | X線リソグラフィ−マスク用x線透過膜およびその製造方法 |
JP3200863B2 (ja) * | 1991-04-23 | 2001-08-20 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
JPH04332115A (ja) | 1991-05-02 | 1992-11-19 | Shin Etsu Chem Co Ltd | X線リソグラフィ−マスク用x線透過膜 |
EP0584252B1 (en) | 1991-05-17 | 1998-03-04 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
JP2508948B2 (ja) * | 1991-06-21 | 1996-06-19 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH07187892A (ja) * | 1991-06-28 | 1995-07-25 | Internatl Business Mach Corp <Ibm> | シリコン及びその形成方法 |
JPH0521385A (ja) * | 1991-07-10 | 1993-01-29 | Nippon Steel Corp | アルミニウム合金薄膜の製造方法 |
WO1993002468A1 (en) * | 1991-07-16 | 1993-02-04 | Seiko Epson Corporation | Chemical vapor deposition apparatus, method of semiconductor film formation, and method of producing thin film semiconductor device |
US5695819A (en) * | 1991-08-09 | 1997-12-09 | Applied Materials, Inc. | Method of enhancing step coverage of polysilicon deposits |
US5225032A (en) | 1991-08-09 | 1993-07-06 | Allied-Signal Inc. | Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade |
JP3121131B2 (ja) * | 1991-08-09 | 2000-12-25 | アプライド マテリアルズ インコーポレイテッド | 低温高圧のシリコン蒸着方法 |
US5614257A (en) | 1991-08-09 | 1997-03-25 | Applied Materials, Inc | Low temperature, high pressure silicon deposition method |
JP3181357B2 (ja) * | 1991-08-19 | 2001-07-03 | 株式会社東芝 | 半導体薄膜の形成方法および半導体装置の製造方法 |
JP2845303B2 (ja) * | 1991-08-23 | 1999-01-13 | 株式会社 半導体エネルギー研究所 | 半導体装置とその作製方法 |
JPH0562811A (ja) | 1991-09-03 | 1993-03-12 | Matsushita Electric Ind Co Ltd | 機能トリミング方法 |
JPH0562911A (ja) * | 1991-09-04 | 1993-03-12 | Fujitsu Ltd | 半導体超格子の製造方法 |
JP3118037B2 (ja) * | 1991-10-28 | 2000-12-18 | キヤノン株式会社 | 堆積膜形成方法および堆積膜形成装置 |
US5231056A (en) * | 1992-01-15 | 1993-07-27 | Micron Technology, Inc. | Tungsten silicide (WSix) deposition process for semiconductor manufacture |
US5352636A (en) * | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5485019A (en) | 1992-02-05 | 1996-01-16 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for forming the same |
US5324684A (en) * | 1992-02-25 | 1994-06-28 | Ag Processing Technologies, Inc. | Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure |
JPH05315269A (ja) * | 1992-03-11 | 1993-11-26 | Central Glass Co Ltd | 薄膜の製膜方法 |
JP2951146B2 (ja) * | 1992-04-15 | 1999-09-20 | キヤノン株式会社 | 光起電力デバイス |
JP3156878B2 (ja) | 1992-04-30 | 2001-04-16 | 株式会社東芝 | 半導体装置およびその製造方法 |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5242847A (en) | 1992-07-27 | 1993-09-07 | North Carolina State University At Raleigh | Selective deposition of doped silion-germanium alloy on semiconductor substrate |
US5461250A (en) | 1992-08-10 | 1995-10-24 | International Business Machines Corporation | SiGe thin film or SOI MOSFET and method for making the same |
JP2740087B2 (ja) * | 1992-08-15 | 1998-04-15 | 株式会社東芝 | 半導体集積回路装置の製造方法 |
JP3200197B2 (ja) | 1992-09-24 | 2001-08-20 | コマツ電子金属株式会社 | 気相成長装置及びその排気管 |
US6004683A (en) | 1992-11-04 | 1999-12-21 | C. A. Patents, L.L.C. | Plural layered metal repair tape |
WO1994014154A1 (en) * | 1992-12-10 | 1994-06-23 | Westinghouse Electric Corporation | Increased brightness drive system for an electroluminescent display panel |
US5563093A (en) | 1993-01-28 | 1996-10-08 | Kawasaki Steel Corporation | Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes |
JPH06302526A (ja) | 1993-04-16 | 1994-10-28 | Kokusai Electric Co Ltd | アモルファスシリコン膜の形成方法 |
JPH06310493A (ja) | 1993-04-23 | 1994-11-04 | Kawasaki Steel Corp | 半導体装置の製造装置 |
JP2508581B2 (ja) | 1993-05-28 | 1996-06-19 | 日本電気株式会社 | 化学気相成長法 |
DE4419074C2 (de) | 1993-06-03 | 1998-07-02 | Micron Semiconductor Inc | Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung |
US5648293A (en) | 1993-07-22 | 1997-07-15 | Nec Corporation | Method of growing an amorphous silicon film |
US5385869A (en) * | 1993-07-22 | 1995-01-31 | Motorola, Inc. | Semiconductor chip bonded to a substrate and method of making |
US5471330A (en) * | 1993-07-29 | 1995-11-28 | Honeywell Inc. | Polysilicon pixel electrode |
JP2641385B2 (ja) * | 1993-09-24 | 1997-08-13 | アプライド マテリアルズ インコーポレイテッド | 膜形成方法 |
US5360986A (en) | 1993-10-05 | 1994-11-01 | Motorola, Inc. | Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method |
US6083810A (en) * | 1993-11-15 | 2000-07-04 | Lucent Technologies | Integrated circuit fabrication process |
US5413813A (en) | 1993-11-23 | 1995-05-09 | Enichem S.P.A. | CVD of silicon-based ceramic materials on internal surface of a reactor |
US5656531A (en) * | 1993-12-10 | 1997-08-12 | Micron Technology, Inc. | Method to form hemi-spherical grain (HSG) silicon from amorphous silicon |
JPH07249618A (ja) * | 1994-03-14 | 1995-09-26 | Fujitsu Ltd | 半導体装置の製造方法 |
US6162667A (en) | 1994-03-28 | 2000-12-19 | Sharp Kabushiki Kaisha | Method for fabricating thin film transistors |
JP3494467B2 (ja) * | 1994-04-28 | 2004-02-09 | 沖電気工業株式会社 | 半導体薄膜の形成方法 |
JP2630257B2 (ja) | 1994-06-03 | 1997-07-16 | 日本電気株式会社 | 半導体装置の製造方法 |
CN1052116C (zh) * | 1994-06-15 | 2000-05-03 | 精工爱普生株式会社 | 薄膜半导体器件的制造方法 |
US20020009827A1 (en) * | 1997-08-26 | 2002-01-24 | Masud Beroz | Microelectronic unit forming methods and materials |
US6121081A (en) | 1994-11-15 | 2000-09-19 | Micron Technology, Inc. | Method to form hemi-spherical grain (HSG) silicon |
US5656819A (en) * | 1994-11-16 | 1997-08-12 | Sandia Corporation | Pulsed ion beam source |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
JPH08213343A (ja) | 1995-01-31 | 1996-08-20 | Sony Corp | 半導体装置およびその製造方法 |
US5677236A (en) | 1995-02-24 | 1997-10-14 | Mitsui Toatsu Chemicals, Inc. | Process for forming a thin microcrystalline silicon semiconductor film |
KR0180779B1 (ko) | 1995-02-27 | 1999-03-20 | 김주용 | 반도체소자의 캐패시터 제조방법 |
US5698771A (en) * | 1995-03-30 | 1997-12-16 | The United States Of America As Represented By The United States National Aeronautics And Space Administration | Varying potential silicon carbide gas sensor |
JPH08306688A (ja) | 1995-04-28 | 1996-11-22 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
JP3169337B2 (ja) | 1995-05-30 | 2001-05-21 | キヤノン株式会社 | 光起電力素子及びその製造方法 |
US5654589A (en) | 1995-06-06 | 1997-08-05 | Advanced Micro Devices, Incorporated | Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application |
US5976989A (en) | 1995-08-04 | 1999-11-02 | Seiko Epson Corporation | Thin film transistor fabrication method, active matrix substrate fabrication method, and liquid crystal display device |
US6161498A (en) * | 1995-09-14 | 2000-12-19 | Tokyo Electron Limited | Plasma processing device and a method of plasma process |
JP3305929B2 (ja) | 1995-09-14 | 2002-07-24 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP3432059B2 (ja) | 1995-09-25 | 2003-07-28 | キヤノン株式会社 | 光起電力素子の形成方法 |
US5893949A (en) * | 1995-12-26 | 1999-04-13 | Xerox Corporation | Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates |
JPH09191117A (ja) * | 1996-01-09 | 1997-07-22 | Mitsui Toatsu Chem Inc | 半導体薄膜 |
US5869389A (en) * | 1996-01-18 | 1999-02-09 | Micron Technology, Inc. | Semiconductor processing method of providing a doped polysilicon layer |
JP3109570B2 (ja) | 1996-01-27 | 2000-11-20 | 株式会社半導体エネルギー研究所 | 半導体装置作製方法 |
US5786027A (en) * | 1996-02-14 | 1998-07-28 | Micron Technology, Inc. | Method for depositing polysilicon with discontinuous grain boundaries |
JP3841910B2 (ja) | 1996-02-15 | 2006-11-08 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US5789030A (en) | 1996-03-18 | 1998-08-04 | Micron Technology, Inc. | Method for depositing doped amorphous or polycrystalline silicon on a substrate |
JP4093604B2 (ja) * | 1996-03-25 | 2008-06-04 | 純一 半那 | 導電性パターンの形成方法 |
JPH09270421A (ja) | 1996-04-01 | 1997-10-14 | Mitsubishi Electric Corp | 表面処理装置および表面処理方法 |
US5863598A (en) * | 1996-04-12 | 1999-01-26 | Applied Materials, Inc. | Method of forming doped silicon in high aspect ratio openings |
JP2795313B2 (ja) | 1996-05-08 | 1998-09-10 | 日本電気株式会社 | 容量素子及びその製造方法 |
TW393521B (en) * | 1996-05-23 | 2000-06-11 | Ebara Corp | Vaporizer apparatus and film deposition apparatus therewith |
US6013155A (en) | 1996-06-28 | 2000-01-11 | Lam Research Corporation | Gas injection system for plasma processing |
US5930106A (en) * | 1996-07-11 | 1999-07-27 | Micron Technology, Inc. | DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films |
US5913921A (en) * | 1996-07-12 | 1999-06-22 | Glenayre Electronics, Inc. | System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative |
JPH1041321A (ja) | 1996-07-26 | 1998-02-13 | Sony Corp | バイポーラトランジスタの製造方法 |
US5731238A (en) | 1996-08-05 | 1998-03-24 | Motorola Inc. | Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JP2954039B2 (ja) * | 1996-09-05 | 1999-09-27 | 日本電気株式会社 | SiGe薄膜の成膜方法 |
US5763021A (en) | 1996-12-13 | 1998-06-09 | Cypress Semiconductor Corporation | Method of forming a dielectric film |
KR100236069B1 (ko) | 1996-12-26 | 1999-12-15 | 김영환 | 캐패시터 및 그 제조방법 |
TW471031B (en) * | 1997-01-08 | 2002-01-01 | Ebara Corp | Vapor feed supply system |
JPH10203895A (ja) * | 1997-01-20 | 1998-08-04 | Sony Corp | シリコンゲルマニウム混晶の成膜方法 |
JP3050152B2 (ja) | 1997-01-23 | 2000-06-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
JP3084395B2 (ja) * | 1997-05-15 | 2000-09-04 | 工業技術院長 | 半導体薄膜の堆積方法 |
US6351039B1 (en) | 1997-05-28 | 2002-02-26 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US6069068A (en) | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity |
JP3408401B2 (ja) | 1997-05-30 | 2003-05-19 | シャープ株式会社 | 半導体記憶素子およびその製造方法 |
FR2765394B1 (fr) * | 1997-06-25 | 1999-09-24 | France Telecom | Procede d'obtention d'un transistor a grille en silicium-germanium |
JPH1174485A (ja) | 1997-06-30 | 1999-03-16 | Toshiba Corp | 半導体装置およびその製造方法 |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US6100184A (en) | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
JPH1197692A (ja) * | 1997-09-18 | 1999-04-09 | Toshiba Corp | 多結晶および液晶表示装置 |
JPH1197667A (ja) | 1997-09-24 | 1999-04-09 | Sharp Corp | 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子 |
JP3727449B2 (ja) * | 1997-09-30 | 2005-12-14 | シャープ株式会社 | 半導体ナノ結晶の製造方法 |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US6228181B1 (en) * | 1997-10-02 | 2001-05-08 | Shigeo Yamamoto | Making epitaxial semiconductor device |
US6027760A (en) * | 1997-12-08 | 2000-02-22 | Gurer; Emir | Photoresist coating process control with solvent vapor sensor |
KR100268936B1 (ko) | 1997-12-16 | 2000-10-16 | 김영환 | 반도체 소자의 양자점 형성 방법 |
EP0928015A3 (en) | 1997-12-31 | 2003-07-02 | Texas Instruments Incorporated | Method of preventing boron penetration |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US6027705A (en) | 1998-01-08 | 2000-02-22 | Showa Denko K.K. | Method for producing a higher silane |
US6042654A (en) | 1998-01-13 | 2000-03-28 | Applied Materials, Inc. | Method of cleaning CVD cold-wall chamber and exhaust lines |
US5933761A (en) | 1998-02-09 | 1999-08-03 | Lee; Ellis | Dual damascene structure and its manufacturing method |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
JP4208281B2 (ja) * | 1998-02-26 | 2009-01-14 | キヤノン株式会社 | 積層型光起電力素子 |
JP3854731B2 (ja) | 1998-03-30 | 2006-12-06 | シャープ株式会社 | 微細構造の製造方法 |
US6181012B1 (en) | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
JPH11330463A (ja) * | 1998-05-15 | 1999-11-30 | Sony Corp | 半導体装置および半導体装置の製造方法 |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6048790A (en) | 1998-07-10 | 2000-04-11 | Advanced Micro Devices, Inc. | Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient |
EP1097473A1 (en) | 1998-07-10 | 2001-05-09 | Applied Materials, Inc. | Plasma process to deposit silicon nitride with high film quality and low hydrogen content |
JP2000038679A (ja) * | 1998-07-21 | 2000-02-08 | Canon Inc | 堆積膜形成方法および堆積膜形成装置 |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6188134B1 (en) | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
US6077775A (en) | 1998-08-20 | 2000-06-20 | The United States Of America As Represented By The Secretary Of The Navy | Process for making a semiconductor device with barrier film formation using a metal halide and products thereof |
US6144050A (en) | 1998-08-20 | 2000-11-07 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with strontium barrier film and process for making same |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
JP3259690B2 (ja) | 1998-08-26 | 2002-02-25 | 日本電気株式会社 | 電界効果型トランジスタ及びその製造方法 |
US6027975A (en) * | 1998-08-28 | 2000-02-22 | Lucent Technologies Inc. | Process for fabricating vertical transistors |
JP2000077658A (ja) | 1998-08-28 | 2000-03-14 | Toshiba Corp | 半導体装置の製造方法 |
US6319782B1 (en) | 1998-09-10 | 2001-11-20 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method of fabricating the same |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
TW382787B (en) | 1998-10-02 | 2000-02-21 | United Microelectronics Corp | Method of fabricating dual damascene |
US6268068B1 (en) * | 1998-10-06 | 2001-07-31 | Case Western Reserve University | Low stress polysilicon film and method for producing same |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
JP2000150647A (ja) | 1998-11-11 | 2000-05-30 | Sony Corp | 配線構造およびその製造方法 |
US6107147A (en) * | 1998-12-18 | 2000-08-22 | Texas Instruments Incorporated | Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths |
WO2000042231A2 (en) * | 1999-01-15 | 2000-07-20 | The Regents Of The University Of California | Polycrystalline silicon germanium films for forming micro-electromechanical systems |
KR100363083B1 (ko) * | 1999-01-20 | 2002-11-30 | 삼성전자 주식회사 | 반구형 그레인 커패시터 및 그 형성방법 |
US6235568B1 (en) | 1999-01-22 | 2001-05-22 | Intel Corporation | Semiconductor device having deposited silicon regions and a method of fabrication |
JP3754568B2 (ja) * | 1999-01-29 | 2006-03-15 | シャープ株式会社 | 量子細線の製造方法 |
JP3869572B2 (ja) | 1999-02-10 | 2007-01-17 | シャープ株式会社 | 量子細線の製造方法 |
JP4731655B2 (ja) | 1999-02-12 | 2011-07-27 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JP2000243831A (ja) * | 1999-02-18 | 2000-09-08 | Sony Corp | 半導体装置とその製造方法 |
JPH11317530A (ja) * | 1999-02-22 | 1999-11-16 | Semiconductor Energy Lab Co Ltd | 半導体装置 |
US6153541A (en) | 1999-02-23 | 2000-11-28 | Vanguard International Semiconductor Corporation | Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current |
US6281559B1 (en) * | 1999-03-03 | 2001-08-28 | Advanced Micro Devices, Inc. | Gate stack structure for variable threshold voltage |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
EP1036807B1 (en) | 1999-03-18 | 2007-12-12 | Kaneka Corporation | Curable composition |
US6365465B1 (en) * | 1999-03-19 | 2002-04-02 | International Business Machines Corporation | Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques |
JP3443379B2 (ja) | 1999-03-23 | 2003-09-02 | 松下電器産業株式会社 | 半導体膜の成長方法及び半導体装置の製造方法 |
US6207567B1 (en) | 1999-04-12 | 2001-03-27 | United Microelectronics Corp. | Fabricating method of glue layer and barrier layer |
US6197669B1 (en) * | 1999-04-15 | 2001-03-06 | Taiwan Semicondcutor Manufacturing Company | Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process |
US6037258A (en) | 1999-05-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method of forming a smooth copper seed layer for a copper damascene structure |
US6346732B1 (en) | 1999-05-14 | 2002-02-12 | Kabushiki Kaisha Toshiba | Semiconductor device with oxide mediated epitaxial layer |
JP2000323420A (ja) | 1999-05-14 | 2000-11-24 | Sony Corp | 半導体装置の製造方法 |
US6146517A (en) | 1999-05-19 | 2000-11-14 | Infineon Technologies North America Corp. | Integrated circuits with copper metallization for interconnections |
JP2000340684A (ja) | 1999-05-31 | 2000-12-08 | Sony Corp | 半導体装置の製造方法 |
KR20010001543A (ko) | 1999-06-05 | 2001-01-05 | 김기범 | 구리 배선 구조를 가지는 반도체 소자 제조 방법 |
JP2001007301A (ja) | 1999-06-17 | 2001-01-12 | Sony Corp | 半導体装置およびその製造方法 |
WO2000079576A1 (en) | 1999-06-19 | 2000-12-28 | Genitech, Inc. | Chemical deposition reactor and method of forming a thin film using the same |
EP1065728B1 (en) | 1999-06-22 | 2009-04-22 | Panasonic Corporation | Heterojunction bipolar transistors and corresponding fabrication methods |
JP2001015736A (ja) | 1999-06-29 | 2001-01-19 | Sony Corp | 半導体装置の製造方法 |
KR100306812B1 (ko) * | 1999-06-29 | 2001-11-01 | 박종섭 | 반도체 소자의 게이트 형성방법 |
JP3324573B2 (ja) * | 1999-07-19 | 2002-09-17 | 日本電気株式会社 | 半導体装置の製造方法および製造装置 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
JP4192353B2 (ja) * | 1999-09-21 | 2008-12-10 | 株式会社デンソー | 炭化珪素半導体装置及びその製造方法 |
US6727169B1 (en) | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR20010047128A (ko) | 1999-11-18 | 2001-06-15 | 이경수 | 액체원료 기화방법 및 그에 사용되는 장치 |
US6373112B1 (en) * | 1999-12-02 | 2002-04-16 | Intel Corporation | Polysilicon-germanium MOSFET gate electrodes |
US6252284B1 (en) * | 1999-12-09 | 2001-06-26 | International Business Machines Corporation | Planarized silicon fin device |
US6720262B2 (en) | 1999-12-15 | 2004-04-13 | Genitech, Inc. | Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst |
US6184128B1 (en) | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
TW408653U (en) | 2000-02-03 | 2000-10-11 | Hu Hou Fei | Ratcheting tool |
CN1365515A (zh) * | 2000-03-23 | 2002-08-21 | 松下电器产业株式会社 | 半导体晶体的制造方法 |
US6348373B1 (en) * | 2000-03-29 | 2002-02-19 | Sharp Laboratories Of America, Inc. | Method for improving electrical properties of high dielectric constant films |
JP2001284340A (ja) * | 2000-03-30 | 2001-10-12 | Hitachi Kokusai Electric Inc | 半導体製造装置および半導体装置の製造方法 |
WO2001078123A1 (en) | 2000-04-11 | 2001-10-18 | Genitech Co., Ltd. | Method of forming metal interconnects |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6630413B2 (en) * | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
WO2001093338A1 (en) | 2000-05-26 | 2001-12-06 | Amberwave Systems Corporation | Buried channel strained silicon fet using an ion implanted doped layer |
US6342448B1 (en) | 2000-05-31 | 2002-01-29 | Taiwan Semiconductor Manufacturing Company | Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process |
WO2001099166A1 (en) | 2000-06-08 | 2001-12-27 | Genitech Inc. | Thin film forming method |
US6444512B1 (en) | 2000-06-12 | 2002-09-03 | Motorola, Inc. | Dual metal gate transistors for CMOS process |
US6252295B1 (en) * | 2000-06-19 | 2001-06-26 | International Business Machines Corporation | Adhesion of silicon carbide films |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US20020011612A1 (en) * | 2000-07-31 | 2002-01-31 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
US6274463B1 (en) * | 2000-07-31 | 2001-08-14 | Hewlett-Packard Company | Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method |
WO2002013262A2 (en) | 2000-08-07 | 2002-02-14 | Amberwave Systems Corporation | Gate technology for strained surface channel and strained buried channel mosfet devices |
US6403981B1 (en) * | 2000-08-07 | 2002-06-11 | Advanced Micro Devices, Inc. | Double gate transistor having a silicon/germanium channel region |
JP4710187B2 (ja) * | 2000-08-30 | 2011-06-29 | ソニー株式会社 | 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法 |
US6365479B1 (en) | 2000-09-22 | 2002-04-02 | Conexant Systems, Inc. | Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure |
JP4044276B2 (ja) * | 2000-09-28 | 2008-02-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
US6372559B1 (en) * | 2000-11-09 | 2002-04-16 | International Business Machines Corporation | Method for self-aligned vertical double-gate MOSFET |
US6613695B2 (en) * | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
US6444495B1 (en) | 2001-01-11 | 2002-09-03 | Honeywell International, Inc. | Dielectric films for narrow gap-fill applications |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6482705B1 (en) * | 2001-04-03 | 2002-11-19 | Advanced Micro Devices, Inc. | Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed |
US6770134B2 (en) * | 2001-05-24 | 2004-08-03 | Applied Materials, Inc. | Method for fabricating waveguides |
US6905542B2 (en) * | 2001-05-24 | 2005-06-14 | Arkadii V. Samoilov | Waveguides such as SiGeC waveguides and method of fabricating the same |
AU2002305733A1 (en) * | 2001-05-30 | 2002-12-09 | Asm America, Inc | Low temperature load and bake |
US6858196B2 (en) * | 2001-07-19 | 2005-02-22 | Asm America, Inc. | Method and apparatus for chemical synthesis |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
JP2003068654A (ja) | 2001-08-27 | 2003-03-07 | Hoya Corp | 化合物単結晶の製造方法 |
DE10211312A1 (de) | 2002-03-14 | 2003-10-02 | Wacker Siltronic Halbleitermat | Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe |
US7335545B2 (en) | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US7307273B2 (en) | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
US7077388B2 (en) * | 2002-07-19 | 2006-07-18 | Asm America, Inc. | Bubbler for substrate processing |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US20040142558A1 (en) | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
US7238595B2 (en) * | 2003-03-13 | 2007-07-03 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7005160B2 (en) | 2003-04-24 | 2006-02-28 | Asm America, Inc. | Methods for depositing polycrystalline films with engineered grain structures |
US7537662B2 (en) | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
US7601223B2 (en) | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7208362B2 (en) | 2003-06-25 | 2007-04-24 | Texas Instruments Incorporated | Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel |
TWI270986B (en) | 2003-07-29 | 2007-01-11 | Ind Tech Res Inst | Strained SiC MOSFET |
US7208427B2 (en) | 2003-08-18 | 2007-04-24 | Advanced Technology Materials, Inc. | Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing |
US7156380B2 (en) * | 2003-09-29 | 2007-01-02 | Asm International, N.V. | Safe liquid source containers |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7132338B2 (en) | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
KR20070006852A (ko) | 2004-04-23 | 2007-01-11 | 에이에스엠 아메리카, 인코포레이티드 | 인-시츄 도핑된 에피택셜 막 |
US7253084B2 (en) * | 2004-09-03 | 2007-08-07 | Asm America, Inc. | Deposition from liquid sources |
US7332439B2 (en) | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7687383B2 (en) | 2005-02-04 | 2010-03-30 | Asm America, Inc. | Methods of depositing electrically active doped crystalline Si-containing films |
US7396415B2 (en) | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
US8105908B2 (en) | 2005-06-23 | 2012-01-31 | Applied Materials, Inc. | Methods for forming a transistor and modulating channel stress |
JP2007188976A (ja) | 2006-01-11 | 2007-07-26 | Shinko Electric Ind Co Ltd | 発光装置の製造方法 |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
JP2007319735A (ja) | 2006-05-30 | 2007-12-13 | Fuji Xerox Co Ltd | マイクロリアクター装置及び微小流路の洗浄方法 |
US20080026149A1 (en) | 2006-05-31 | 2008-01-31 | Asm America, Inc. | Methods and systems for selectively depositing si-containing films using chloropolysilanes |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
-
2002
- 2002-02-01 AU AU2002306436A patent/AU2002306436A1/en not_active Abandoned
- 2002-02-01 EP EP02757761A patent/EP1421607A2/en not_active Withdrawn
- 2002-02-01 WO PCT/US2002/002921 patent/WO2002080244A2/en active Application Filing
- 2002-02-01 KR KR1020037010622A patent/KR101027485B1/ko active IP Right Grant
- 2002-02-01 KR KR1020097009274A patent/KR101050377B1/ko active IP Right Grant
- 2002-02-01 JP JP2002578556A patent/JP4866534B2/ja not_active Expired - Lifetime
- 2002-02-11 US US10/074,149 patent/US6716751B2/en not_active Expired - Lifetime
- 2002-02-11 US US10/074,564 patent/US6962859B2/en not_active Expired - Lifetime
- 2002-02-11 US US10/074,563 patent/US6821825B2/en not_active Expired - Lifetime
- 2002-02-11 US US10/074,633 patent/US6900115B2/en not_active Expired - Lifetime
- 2002-02-11 US US10/074,534 patent/US6958253B2/en not_active Expired - Lifetime
- 2002-02-12 WO PCT/US2002/004743 patent/WO2002065508A2/en active Application Filing
- 2002-02-12 JP JP2002565340A patent/JP2004525509A/ja active Pending
- 2002-02-12 EP EP02706308A patent/EP1374290B1/en not_active Expired - Lifetime
- 2002-02-12 KR KR1020087027835A patent/KR100934169B1/ko active IP Right Grant
- 2002-02-12 JP JP2002565348A patent/JP4224847B2/ja not_active Expired - Lifetime
- 2002-02-12 WO PCT/US2002/004746 patent/WO2002065516A2/en active Application Filing
- 2002-02-12 WO PCT/US2002/004751 patent/WO2002064853A2/en active Application Filing
- 2002-02-12 JP JP2002565349A patent/JP4417625B2/ja not_active Expired - Lifetime
- 2002-02-12 JP JP2002564165A patent/JP2004523903A/ja active Pending
- 2002-02-12 DE DE60227350T patent/DE60227350D1/de not_active Expired - Lifetime
- 2002-02-12 EP EP02721028A patent/EP1374291B1/en not_active Expired - Lifetime
- 2002-02-12 AT AT02706308T patent/ATE400060T1/de not_active IP Right Cessation
- 2002-02-12 KR KR10-2003-7010623A patent/KR20030076676A/ko not_active Application Discontinuation
- 2002-02-12 KR KR1020037010624A patent/KR100870507B1/ko active IP Right Grant
- 2002-02-12 AU AU2002240403A patent/AU2002240403A1/en not_active Abandoned
- 2002-02-12 WO PCT/US2002/004750 patent/WO2002065517A2/en active IP Right Grant
- 2002-02-12 DE DE60223662T patent/DE60223662T2/de not_active Expired - Lifetime
- 2002-11-13 US US10/294,235 patent/US6716713B2/en not_active Expired - Lifetime
- 2002-11-13 US US10/294,233 patent/US6743738B2/en not_active Expired - Lifetime
-
2004
- 2004-08-12 US US10/918,547 patent/US7273799B2/en not_active Expired - Lifetime
- 2004-10-12 US US10/963,043 patent/US7585752B2/en not_active Expired - Lifetime
-
2005
- 2005-05-06 US US11/124,340 patent/US7186582B2/en not_active Expired - Lifetime
- 2005-07-12 US US11/179,256 patent/US7285500B2/en not_active Expired - Lifetime
-
2006
- 2006-12-20 US US11/642,167 patent/US8067297B2/en not_active Expired - Lifetime
-
2007
- 2007-08-22 US US11/843,552 patent/US7547615B2/en not_active Expired - Lifetime
- 2007-09-12 US US11/854,163 patent/US7893433B2/en not_active Expired - Lifetime
- 2007-12-20 JP JP2007328687A patent/JP5134358B2/ja not_active Expired - Lifetime
-
2008
- 2008-04-23 JP JP2008112166A patent/JP2008252104A/ja not_active Withdrawn
-
2009
- 2009-07-16 US US12/504,269 patent/US8360001B2/en not_active Expired - Lifetime
-
2011
- 2011-06-07 JP JP2011127316A patent/JP2011228724A/ja not_active Withdrawn
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPWO2007077917A1 (ja) * | 2005-12-28 | 2009-06-11 | 株式会社日立国際電気 | 半導体装置の製造方法および基板処理装置 |
JP2008218661A (ja) * | 2007-03-02 | 2008-09-18 | Fujitsu Ltd | 電界効果型半導体装置及びその製造方法 |
JP2008283101A (ja) * | 2007-05-14 | 2008-11-20 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2015092630A (ja) * | 2010-05-20 | 2015-05-14 | 東京エレクトロン株式会社 | シリコン膜の形成方法およびその形成装置 |
JP2014093345A (ja) * | 2012-11-01 | 2014-05-19 | Japan Advanced Institute Of Science & Technology Hokuriku | 複数の基板上へシリコン膜を一括して形成する方法 |
KR20190062427A (ko) * | 2017-04-26 | 2019-06-05 | 클로즈드 조인트 스탁 컴퍼니 리서치-엔지니어링 센터 “인컴시스템” | 기재의 소수성화 방법 |
JP2019533763A (ja) * | 2017-04-26 | 2019-11-21 | クローズド ジョイント ストック カンパニー リサーチ−エンジニアリング センター “インコムシステム”Closed Joint Stock Company Research−Engineering Center Incomsystem | 基材を疎水化する方法 |
KR102155554B1 (ko) * | 2017-04-26 | 2020-09-14 | 클로즈드 조인트 스탁 컴퍼니 리서치-엔지니어링 센터 “인컴시스템” | 기재의 소수성화 방법 |
WO2024004998A1 (ja) * | 2022-06-29 | 2024-01-04 | 株式会社日本触媒 | シリコン膜の製造方法及びシリコン膜 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2004523903A (ja) | 薄膜及びトリシランを用いる薄膜の形成方法 | |
US7370848B2 (en) | Bubbler for substrate processing | |
EP1866956A2 (en) | Single wafer thermal cvd processes for hemispherical grained silicon and nano-crystalline grain-sized polysilicon |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050117 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070829 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071127 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071204 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080226 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080402 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080728 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080905 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20080910 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20081017 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20090807 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20090807 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20091209 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20091209 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100303 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100309 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100408 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100413 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100506 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100512 |