• Gozzi G, Fiorito M, Curzel S, Barone C, Castellana V, Minutoli M, Tumeo A and Ferrandi F. (2024). SPARTA: High-Level Synthesis of Parallel Multi-Threaded Accelerators. ACM Transactions on Reconfigurable Technology and Systems. 18:1. (1-30). Online publication date: 31-Mar-2025.

    https://doi.org/10.1145/3677035

  • (2024). The Road Ahead. Edge Computing Acceleration. 10.1002/9781119813873.ch9. (241-262). Online publication date: 12-Dec-2024.

    https://onlinelibrary.wiley.com/doi/10.1002/9781119813873.ch9

  • Li X, Song Z, Ausavarungnirun R, Liu X, Liu X, Zhang X, Wang X, Ling J, Li G, Jing N and Liang X. Janus: A Flexible Processing-in-Memory Graph Accelerator Toward Sparsity. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2024.3405395. 43:12. (4813-4826).

    https://ieeexplore.ieee.org/document/10540086/

  • Theodorakopoulos L, Karras A, Theodoropoulou A and Kampiotis G. (2024). Benchmarking Big Data Systems: Performance and Decision-Making Implications in Emerging Technologies. Technologies. 10.3390/technologies12110217. 12:11. (217).

    https://www.mdpi.com/2227-7080/12/11/217

  • Li Y, Tian B, Ren Y and Gao M. (2024). Stream-Based Data Placement for Near-Data Processing with Extended Memory 2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO61859.2024.00120. 979-8-3503-5057-9. (1648-1662).

    https://ieeexplore.ieee.org/document/10764709/

  • Ji H, Vanavasam S, Zhou Y, Xia Q, Huang J, Yuan Y, Wang R, Gupta P, Chitlur B, Jeong I and Kim N. (2024). Demystifying a CXL Type-2 Device: A Heterogeneous Cooperative Computing Perspective 2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO61859.2024.00110. 979-8-3503-5057-9. (1504-1517).

    https://ieeexplore.ieee.org/document/10764537/

  • Lee D, Hyun B, Kim T and Rhu M. (2024). PIM-MMU: A Memory Management Unit for Accelerating Data Transfers in Commercial PIM Systems 2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO61859.2024.00053. 979-8-3503-5057-9. (627-642).

    https://ieeexplore.ieee.org/document/10764703/

  • Ham H, Hong J, Park G, Shin Y, Woo O, Yang W, Bae J, Park E, Sung H, Lim E and Kim G. (2024). Low-Overhead General-Purpose Near-Data Processing in CXL Memory Expanders 2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO61859.2024.00051. 979-8-3503-5057-9. (594-611).

    https://ieeexplore.ieee.org/document/10764494/

  • Yin L, Gandham S, Lin M and Zheng H. (2024). SCALE: A Structure-Centric Accelerator for Message Passing Graph Neural Networks 2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO61859.2024.00050. 979-8-3503-5057-9. (580-593).

    https://ieeexplore.ieee.org/document/10764549/

  • Wang H, Zhang S, Fan X, Yang Z and Zhang M. NDPGNN: A Near-Data Processing Architecture for GNN Training and Inference Acceleration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2024.3446871. 43:11. (3997-4008).

    https://ieeexplore.ieee.org/document/10745796/

  • Jangra P and Duhan M. (2024). In-memory computing: characteristics, spintronics, and neural network applications insights. Multiscale and Multidisciplinary Modeling, Experiments and Design. 10.1007/s41939-024-00517-0. 7:6. (5005-5029). Online publication date: 1-Nov-2024.

    https://link.springer.com/10.1007/s41939-024-00517-0

  • Yang W, Yang Y, Ji S, Jiang J, Jing N, Wang Q, Mao Z and Sheng W. RecPIM: Efficient In-Memory Processing for Personalized Recommendation Inference Using Near-Bank Architecture. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2024.3386117. 43:10. (2854-2867).

    https://ieeexplore.ieee.org/document/10494538/

  • Alsop J, Aga S, Ibrahim M, Islam M, Jayasena N and McCrabb A. PIM-Potential: Broadening the Acceleration Reach of PIM Architectures. Proceedings of the International Symposium on Memory Systems. (1-12).

    https://doi.org/10.1145/3695794.3695795

  • Li J and Kang Y. (2024). GraphSER: Distance-Aware Stream-Based Edge Repartition for Many-Core Systems. ACM Transactions on Architecture and Code Optimization. 21:3. (1-25). Online publication date: 30-Sep-2024.

    https://doi.org/10.1145/3661998

  • Hua Y, Zheng S, Kong W, Zhou C, Huang K, Ma R and Huang L. RADAR: A Skew-Resistant and Hotness-Aware Ordered Index Design for Processing-in-Memory Systems. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2024.3424853. 35:9. (1598-1614).

    https://ieeexplore.ieee.org/document/10591454/

  • Lyu B, Wang S, Wen S, Shi K, Yang Y, Zeng L and Huang T. AutoGMap: Learning to Map Large-Scale Sparse Graphs on Memristive Crossbars. IEEE Transactions on Neural Networks and Learning Systems. 10.1109/TNNLS.2023.3265383. 35:9. (12888-12898).

    https://ieeexplore.ieee.org/document/10103915/

  • Lyu B, Wen S, Yang Y, Chang X, Sun J, Chen Y and Huang T. Designing Efficient Bit-Level Sparsity-Tolerant Memristive Networks. IEEE Transactions on Neural Networks and Learning Systems. 10.1109/TNNLS.2023.3250437. 35:9. (11979-11988).

    https://ieeexplore.ieee.org/document/10075408/

  • Zhang S, Wang X, Zhao W and Jin Y. (2024). CRISP: Triangle Counting Acceleration via Content Addressable Memory-Integrated 3D-Stacked Memory 2024 IEEE International Test Conference in Asia (ITC-Asia). 10.1109/ITC-Asia62534.2024.10661308. 979-8-3315-4033-3. (1-6).

    https://ieeexplore.ieee.org/document/10661308/

  • Zhao X, Chen S and Kang Y. (2024). Load Balanced PIM-Based Graph Processing. ACM Transactions on Design Automation of Electronic Systems. 29:4. (1-22). Online publication date: 31-Jul-2024.

    https://doi.org/10.1145/3659951

  • Xue R, Han D, Yan M, Zou M, Yang X, Wang D, Li W, Tang Z, Kim J, Ye X and Fan D. HiHGNN: Accelerating HGNNs Through Parallelism and Data Reusability Exploitation. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2024.3394841. 35:7. (1122-1138).

    https://ieeexplore.ieee.org/document/10510500/

  • Lyu B, Yang Y, Cao Y, Shi T, Chen Y, Huang T and Wen S. (2024). A memristive all-inclusive hypernetwork for parallel analog deployment of full search space architectures. Neural Networks. 10.1016/j.neunet.2024.106312. 175. (106312). Online publication date: 1-Jul-2024.

    https://linkinghub.elsevier.com/retrieve/pii/S0893608024002363

  • Tian B, Li Y, Jiang L, Cai S and Gao M. (2024). NDPBridge: Enabling Cross-Bank Coordination in Near-DRAM-Bank Processing Architectures 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA59077.2024.00052. 979-8-3503-2658-1. (628-643).

    https://ieeexplore.ieee.org/document/10609679/

  • Baek D, Hwang S and Huh J. (2024). pSyncPIM: Partially Synchronous Execution of Sparse Matrix Operations for All-Bank PIM Architectures 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA59077.2024.00034. 979-8-3503-2658-1. (354-367).

    https://ieeexplore.ieee.org/document/10609593/

  • Sun J, Li Z, Jiang M and Sun Y. (2024). Efficient Data Transfer and Multi-Bit Multiplier Design in Processing in Memory. Micromachines. 10.3390/mi15060770. 15:6. (770).

    https://www.mdpi.com/2072-666X/15/6/770

  • Kang C, Park C, Lee M, Kang J, Jang M and Chung H. (2024). Large-scale photonic inverse design: computational challenges and breakthroughs. Nanophotonics. 10.1515/nanoph-2024-0127.

    https://www.degruyter.com/document/doi/10.1515/nanoph-2024-0127/html

  • Jang J, Choi H, Bae H, Lee S, Kwon M and Jung M. (2024). Bridging Software-Hardware for CXL Memory Disaggregation in Billion-Scale Nearest Neighbor Search. ACM Transactions on Storage. 20:2. (1-30). Online publication date: 31-May-2024.

    https://doi.org/10.1145/3639471

  • Jamet A, Vavouliotis G, Jiménez D, Alvarez L and Casas M. (2024). Practically Tackling Memory Bottlenecks of Graph-Processing Workloads 2024 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS57955.2024.00096. 979-8-3503-8711-7. (1034-1045).

    https://ieeexplore.ieee.org/document/10579233/

  • Orenes-Vera M, Tureci E, Martonosi M and Wentzlaff D. (2024). MuchiSim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems 2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS61541.2024.00015. 979-8-3503-7638-8. (48-60).

    https://ieeexplore.ieee.org/document/10590024/

  • Choi J, Ha Y, Cha H, Lee S, Lee S, Lee J, Kang S, Kim B, Jung H, Kim H and Kim Y. (2024). MPC-Wrapper: Fully Harnessing the Potential of Samsung Aquabolt-XL HBM2-PIM on FPGAs 2024 IEEE 32nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 10.1109/FCCM60383.2024.00027. 979-8-3503-7243-4. (162-172).

    https://ieeexplore.ieee.org/document/10653651/

  • Wang R, Hu A, Zheng L, Wang Q, Yuan J, Liu H, Yu L, Liao X and Jin H. An Efficient GCNs Accelerator Using 3D-Stacked Processing-in-Memory Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2023.3341753. 43:5. (1360-1373).

    https://ieeexplore.ieee.org/document/10354068/

  • Heo G, Lee S, Cho J, Choi H, Lee S, Ham H, Kim G, Mahajan D and Park J. NeuPIMs: NPU-PIM Heterogeneous Acceleration for Batched LLM Inferencing. Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3. (722-737).

    https://doi.org/10.1145/3620666.3651380

  • Li C, Zhou Z, Zheng S, Zhang J, Liang Y and Sun G. SpecPIM: Accelerating Speculative Inference on PIM-Enabled System via Architecture-Dataflow Co-Exploration. Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3. (950-965).

    https://doi.org/10.1145/3620666.3651352

  • Block C, Gerogiannis G, Mendis C, Azad A and Torrellas J. Two-Face: Combining Collective and One-Sided Communication for Efficient Distributed SpMM. Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2. (1200-1217).

    https://doi.org/10.1145/3620665.3640427

  • Li C, Zhou Z, Wang Y, Yang F, Cao T, Yang M, Liang Y and Sun G. PIM-DL: Expanding the Applicability of Commodity DRAM-PIMs for Deep Learning via Algorithm-System Co-Optimization. Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2. (879-896).

    https://doi.org/10.1145/3620665.3640376

  • Jamet A, Vavouliotis G, Jiménez D, Alvarez L and Casas M. (2024). A Two Level Neural Approach Combining Off-Chip Prediction with Adaptive Prefetch Filtering 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00046. 979-8-3503-9313-2. (528-542).

    https://ieeexplore.ieee.org/document/10476485/

  • Fu G, Xia T, Luo Z, Chen R, Zhao W and Ren P. (2024). Differential-Matching Prefetcher for Indirect Memory Access 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00040. 979-8-3503-9313-2. (439-453).

    https://ieeexplore.ieee.org/document/10476460/

  • Hyun B, Kim T, Lee D and Rhu M. (2024). Pathfinding Future PIM Architectures by Demystifying a Commercial PIM Technology 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00029. 979-8-3503-9313-2. (263-279).

    https://ieeexplore.ieee.org/document/10476411/

  • Besta M, Gerstenberger R, Peter E, Fischer M, Podstawski M, Barthels C, Alonso G and Hoefler T. (2023). Demystifying Graph Databases: Analysis and Taxonomy of Data Organization, System Designs, and Graph Queries. ACM Computing Surveys. 56:2. (1-40). Online publication date: 29-Feb-2024.

    https://doi.org/10.1145/3604932

  • Jonatan G, Cho H, Son H, Wu X, Livesay N, Mora E, Shivdikar K, Abellán J, Joshi A, Kaeli D and Kim J. (2024). Scalability Limitations of Processing-in-Memory using Real System Evaluations. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 8:1. (1-28). Online publication date: 16-Feb-2024.

    https://doi.org/10.1145/3639046

  • Wu D, Chen P, Bandara T, Li Z and Mitra T. (2023). Flip: Data-centric Edge CGRA Accelerator. ACM Transactions on Design Automation of Electronic Systems. 29:1. (1-25). Online publication date: 31-Jan-2024.

    https://doi.org/10.1145/3631118

  • Aflalo N, Yalon E and Kvatinsky S. (2024). Bitwise Logic Using Phase Change Memory Devices Based on the Pinatubo Architecture 2024 37th International Conference on VLSI Design and 2024 23rd International Conference on Embedded Systems (VLSID). 10.1109/VLSID60093.2024.00103. 979-8-3503-8440-6. (583-586).

    https://ieeexplore.ieee.org/document/10483472/

  • Patel M, Shahroodi T, Manglik A, Giray Yağlıkçı A, Olgun A, Luo H and Mutlu O. Rethinking the Producer-Consumer Relationship in Modern DRAM-Based Systems. IEEE Access. 10.1109/ACCESS.2024.3514377. 12. (196207-196239).

    https://ieeexplore.ieee.org/document/10794671/

  • Cheng J, Guan Z, Fu J, Xu H and Ke J. Advanced Integration-Inspired Process-in-Memory: A Comprehensive Review of Design, Challenges, and Future Prospects. IEEE Access. 10.1109/ACCESS.2024.3486297. 12. (160957-160972).

    https://ieeexplore.ieee.org/document/10735198/

  • Marchesin A, Naclerio A, Riente F and Graziano M. Beyond Von Neumann Architectures: Exploring Algorithmic Opportunities via Octantis. IEEE Access. 10.1109/ACCESS.2024.3450105. 12. (120005-120022).

    https://ieeexplore.ieee.org/document/10648684/

  • Orosa L, Koppula S, Kanellopoulos K, Yağlıkçı A and Mutlu O. (2024). Using Approximate DRAM for Enabling Energy-Efficient, High-Performance Deep Neural Network Inference. Embedded Machine Learning for Cyber-Physical, IoT, and Edge Computing. 10.1007/978-3-031-19568-6_10. (275-314).

    https://link.springer.com/10.1007/978-3-031-19568-6_10

  • Frederiksen N, L. Møller E, Tuxen J, E. O’Neill S and Boesen M. (2023). Rapid Scaling of a Danish Public Health System Under COVID-19 36th Bled eConference – Digital Economy and Society: The Balancing Act for Digital Innovation in Times of Instability. 10.18690/um.fov.6.2023.46. 9789612868048. (723-730).

    https://press.um.si/index.php/ump/catalog/book/834

  • Resch S, Cılasun H, Zabihi M, Chowdhury Z, Zhao Z, Wang J, Sapatnekar S and Karpuzcu U. (2023). PimCity: A Compute in Memory Substrate featuring both Row and Column Parallel Computing 2023 IEEE International Conference on Rebooting Computing (ICRC). 10.1109/ICRC60800.2023.10386151. 979-8-3503-8204-4. (1-10).

    https://ieeexplore.ieee.org/document/10386151/

  • Chen L, Zhu J, Peng G, Liu M, Wei S and Liu L. GEM: Ultra-Efficient Near-Memory Reconfigurable Acceleration for Read Mapping by Dividing and Predictive Scattering. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2023.3309462. 34:12. (3059-3072).

    https://ieeexplore.ieee.org/document/10233117/

  • Pellauer M, Clemons J, Balaji V, Crago N, Jaleel A, Lee D, O’Connor M, Parashar A, Treichler S, Tsai P, Keckler S and Emer J. (2023). Symphony: Orchestrating Sparse and Dense Tensors with Hierarchical Heterogeneous Processing. ACM Transactions on Computer Systems. 41:1-4. (1-30). Online publication date: 30-Nov-2023.

    https://doi.org/10.1145/3630007

  • Seo D, Kang S, Ryou H, Shin M and Hwang W. (2023). Wide-Range Synaptic Current Responses with a Liquid Ga Electrode via a Surface Redox Reaction in a NaOH Solution at Different Molar Concentrations. ACS Omega. 10.1021/acsomega.3c05352. 8:44. (41495-41501). Online publication date: 7-Nov-2023.

    https://pubs.acs.org/doi/10.1021/acsomega.3c05352

  • Ferraz O, Falevoz Y, Silva V and Falcao G. (2023). Unlocking the Potential of LDPC Decoders with PiM Acceleration 2023 57th Asilomar Conference on Signals, Systems, and Computers. 10.1109/IEEECONF59524.2023.10476816. 979-8-3503-2574-4. (1579-1583).

    https://ieeexplore.ieee.org/document/10476816/

  • McCrabb A, Ahmed A and Bertacco V. ACRE: Accelerating Random Forests for Explainability. Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture. (1016-1028).

    https://doi.org/10.1145/3613424.3623788

  • Nguyen T, Nguyen T, Nguyen T, Yin H, Nguyen T, Jo J and Nguyen Q. (2023). Isomorphic Graph Embedding for Progressive Maximal Frequent Subgraph Mining. ACM Transactions on Intelligent Systems and Technology. 0:0.

    https://doi.org/10.1145/3630635

  • Dornaika F. (2023). One-step Multi-view Clustering with Consensus Graph and Data Representation Convolution. ACM Transactions on Intelligent Systems and Technology. 0:0.

    https://doi.org/10.1145/3630634

  • Kandemir M, Akbulut G, Choi W and Karakoy M. Architecture-Aware Currying. Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques. (250-264).

    https://doi.org/10.1109/PACT58117.2023.00029

  • Chen J, Gómez-Luna J, El Hajj I, Guo Y and Mutlu O. SimplePIM: A Software Framework for Productive and Efficient Processing-in-Memory. Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques. (99-111).

    https://doi.org/10.1109/PACT58117.2023.00017

  • Vasireddy P, Kavi K, Weaver A and Mehta G. Streaming Sparse Data on Architectures with Vector Extensions using Near Data Processing. Proceedings of the International Symposium on Memory Systems. (1-12).

    https://doi.org/10.1145/3631882.3631898

  • Zhang C, Sun H, Li S, Wang Y, Chen H and Liu H. A Survey of Memory-Centric Energy Efficient Computer Architecture. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2023.3297595. 34:10. (2657-2670).

    https://ieeexplore.ieee.org/document/10190135/

  • Gupta H, Kabra M, Gómez-Luna J, Kanellopoulos K and Mutlu O. (2023). Evaluating Homomorphic Operations on a Real-World Processing-In-Memory System 2023 IEEE International Symposium on Workload Characterization (IISWC). 10.1109/IISWC59245.2023.00030. 979-8-3503-0317-9. (211-215).

    https://ieeexplore.ieee.org/document/10289253/

  • Jin H, Chen D, Zheng L, Huang Y, Yao P, Zhao J, Liao X and Jiang W. Accelerating Graph Convolutional Networks Through a PIM-Accelerated Approach. IEEE Transactions on Computers. 10.1109/TC.2023.3257514. 72:9. (2628-2640).

    https://ieeexplore.ieee.org/document/10070790/

  • Nam Y, Zhou M, Gupta S, De Micheli G, Cammarota R, Wilkerson C, Micciancio D and Rosing T. (2023). Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED58423.2023.10244262. 979-8-3503-1175-4. (1-6).

    https://ieeexplore.ieee.org/document/10244262/

  • YANG Y, YU H, ZHAO J, ZHANG Y, LIAO X, JIANG X, JIN H, LIU H, MAO F, ZHANG J and WANG B. (2023). An efficient hardware accelerator for monotonic graph algorithms on dynamic directed graphs. SCIENTIA SINICA Informationis. 10.1360/SSI-2022-0191. 53:8. (1575). Online publication date: 1-Aug-2023.

    https://engine.scichina.com/doi/10.1360/SSI-2022-0191

  • Choi D, Jeong T, Yeom J and Chung E. Operand-Oriented Virtual Memory Support for Near-Memory Processing. IEEE Transactions on Computers. 10.1109/TC.2023.3243881. 72:8. (2250-2263).

    https://ieeexplore.ieee.org/document/10045641/

  • Mutlu O. (2023). Lightning Talk: Memory-Centric Computing 2023 60th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC56929.2023.10247896. 979-8-3503-2348-1. (1-2).

    https://ieeexplore.ieee.org/document/10247896/

  • Fatima A, Liu S, Seemakhupt K, Ausavarungnirun R and Khan S. (2023). vPIM: Efficient Virtual Address Translation for Scalable Processing-in-Memory Architectures 2023 60th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC56929.2023.10247745. 979-8-3503-2348-1. (1-6).

    https://ieeexplore.ieee.org/document/10247745/

  • Ramchandani D, Asgari B and Kim H. (2023). Spica: Exploring FPGA Optimizations to Enable an Efficient SpMV Implementation for Computations at Edge 2023 IEEE International Conference on Edge Computing and Communications (EDGE). 10.1109/EDGE60047.2023.00018. 979-8-3503-0483-1. (36-42).

    https://ieeexplore.ieee.org/document/10234304/

  • Shahroodi T, Miao M, Zahedi M, Wong S and Hamdioui S. (2023). SieveMem: A Computation-in-Memory Architecture for Fast and Accurate Pre-Alignment 2023 IEEE 34th International Conference on Application-specific Systems, Architectures and Processors (ASAP). 10.1109/ASAP57973.2023.00035. 979-8-3503-4685-5. (156-164).

    https://ieeexplore.ieee.org/document/10265723/

  • Chen D, He H, Jin H, Zheng L, Huang Y, Shen X and Liao X. MetaNMP: Leveraging Cartesian-Like Product to Accelerate HGNNs with Near-Memory Processing. Proceedings of the 50th Annual International Symposium on Computer Architecture. (1-13).

    https://doi.org/10.1145/3579371.3589091

  • Wang D, Lou J, Jin N, Mascarenhas E, Mahapatra R, Kinzer S, Ghodrati S, Yazdanbakhsh A, Esmaeilzadeh H and Kim N. MESA: Microarchitecture Extensions for Spatial Architecture Generation. Proceedings of the 50th Annual International Symposium on Computer Architecture. (1-14).

    https://doi.org/10.1145/3579371.3589084

  • Kang H, Zhao Y, Blelloch G, Dhulipala L, Gu Y, McGuffey C and Gibbons P. PIM-trie: A Skew-resistant Trie for Processing-in-Memory. Proceedings of the 35th ACM Symposium on Parallelism in Algorithms and Architectures. (1-14).

    https://doi.org/10.1145/3558481.3591070

  • Zhao C, Chamberlain R and Zhang X. SuperCut. Proceedings of the 20th ACM International Conference on Computing Frontiers. (42-51).

    https://doi.org/10.1145/3587135.3592209

  • Zhang B, Du H, Chen S and Kang Y. GGPA. Proceedings of the 20th ACM International Conference on Computing Frontiers. (33-41).

    https://doi.org/10.1145/3587135.3592198

  • Seneviratne Y, Seemakhupt K, Liu S and Khan S. NearPM: A Near-Data Processing System for Storage-Class Applications. Proceedings of the Eighteenth European Conference on Computer Systems. (751-767).

    https://doi.org/10.1145/3552326.3587456

  • Miao J, Bai J, Huang B, Li J, Bao K and Xu W. (2023). eBFP: A Processing-in-Memory Storage Method with Parallel Computing and Low Latency 2023 8th International Conference on Computer and Communication Systems (ICCCS). 10.1109/ICCCS57501.2023.10151335. 978-1-6654-5612-8. (744-749).

    https://ieeexplore.ieee.org/document/10151335/

  • Zahedi M, Custers G, Shahroodi T, Gaydadjiev G, Wong S and Hamdioui S. (2023). SparseMEM: Energy-efficient Design for In-memory Sparse-based Graph Processing 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE56975.2023.10137303. . (1-6).

    https://ieeexplore.ieee.org/document/10137303/

  • Lyu B, Hamdi M, Yang Y, Cao Y, Yan Z, Li K, Wen S and Huang T. Efficient Spectral Graph Convolutional Network Deployment on Memristive Crossbars. IEEE Transactions on Emerging Topics in Computational Intelligence. 10.1109/TETCI.2022.3210998. 7:2. (415-425).

    https://ieeexplore.ieee.org/document/9918532/

  • Ghiasi N, Vijaykumar N, Oliveira G, Orosa L, Fernandez I, Sadrosadati M, Kanellopoulos K, Hajinazar N, Luna J and Mutlu O. ALP: Alleviating CPU-Memory Data Movement Overheads in Memory-Centric Systems. IEEE Transactions on Emerging Topics in Computing. 10.1109/TETC.2022.3226132. 11:2. (388-403).

    https://ieeexplore.ieee.org/document/9992268/

  • Item M, Oliveira G, Gómez-Luna J, Sadrosadati M, Guo Y and Mutlu O. (2023). TransPimLib: Efficient Transcendental Functions for Processing-in-Memory Systems 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS57527.2023.00031. 979-8-3503-9739-0. (235-247).

    https://ieeexplore.ieee.org/document/10158230/

  • Gómez-Luna J, Guo Y, Brocard S, Legriel J, Cimadomo R, Oliveira G, Singh G and Mutlu O. (2023). Evaluating Machine LearningWorkloads on Memory-Centric Computing Systems 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS57527.2023.00013. 979-8-3503-9739-0. (35-49).

    https://ieeexplore.ieee.org/document/10158216/

  • Iskandar V, Ghany M and Göhringer D. (2022). Near-memory Computing on FPGAs with 3D-stacked Memories: Applications, Architectures, and Optimizations. ACM Transactions on Reconfigurable Technology and Systems. 16:1. (1-32). Online publication date: 31-Mar-2023.

    https://doi.org/10.1145/3547658

  • Tian B, Chen Q and Gao M. ABNDP: Co-optimizing Data Access and Load Balance in Near-Data Processing. Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3. (3-17).

    https://doi.org/10.1145/3582016.3582026

  • Luo L, Kang W, Liu J, Zhang H, Zhang Y, Liu D and Ouyang P. A Reconfigurable Spatial Architecture for Energy-Efficient Inception Neural Networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2023.3243619. 13:1. (7-20).

    https://ieeexplore.ieee.org/document/10041147/

  • Garzón E, Lanuzza M, Teman A and Yavits L. AM 4 : MRAM Crossbar Based CAM/TCAM/ACAM/AP for In-Memory Computing . IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2023.3243222. 13:1. (408-421).

    https://ieeexplore.ieee.org/document/10040683/

  • Yoo M, Song J, Lee J, Kim N, Kim Y and Lee J. (2023). SGCN: Exploiting Compressed-Sparse Features in Deep Graph Convolutional Network Accelerators 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071102. 978-1-6654-7652-2. (1-14).

    https://ieeexplore.ieee.org/document/10071102/

  • Orenes-Vera M, Tureci E, Wentzlaff D and Martonosi M. (2023). Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071089. 978-1-6654-7652-2. (718-730).

    https://ieeexplore.ieee.org/document/10071089/

  • Al-Hawaj K, Ta T, Cebry N, Agwa S, Afuye O, Hall E, Golden C, Apsel A and Batten C. (2023). EVE: Ephemeral Vector Engines 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071074. 978-1-6654-7652-2. (691-704).

    https://ieeexplore.ieee.org/document/10071074/

  • Peng X, Wang Y and Yang M. (2023). CHOPPER: A Compiler Infrastructure for Programmable Bit-serial SIMD Processing Using Memory in DRAM 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071070. 978-1-6654-7652-2. (1275-1288).

    https://ieeexplore.ieee.org/document/10071070/

  • Zhou Z, Li C, Yang F and Sun G. (2023). DIMM-Link: Enabling Efficient Inter-DIMM Communication for Near-Memory Processing 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071005. 978-1-6654-7652-2. (302-316).

    https://ieeexplore.ieee.org/document/10071005/

  • Iskandar V, Abd El Ghany M and Goehringer D. (2023). NDP-RANK: Prediction and ranking of NDP systems performance using machine learning. Microprocessors and Microsystems. 10.1016/j.micpro.2022.104707. 96. (104707). Online publication date: 1-Feb-2023.

    https://linkinghub.elsevier.com/retrieve/pii/S014193312200237X

  • Yang Y, Yang W, Wang Q, Jing N, Jiang J, Mao Z and Sheng W. An Efficient near-Bank Processing Architecture for Personalized Recommendation System. Proceedings of the 28th Asia and South Pacific Design Automation Conference. (122-127).

    https://doi.org/10.1145/3566097.3567857

  • Denzler A, Oliveira G, Hajinazar N, Bera R, Singh G, Gómez-Luna J and Mutlu O. Casper: Accelerating Stencil Computations Using Near-Cache Processing. IEEE Access. 10.1109/ACCESS.2023.3252002. 11. (22136-22154).

    https://ieeexplore.ieee.org/document/10058509/

  • Santos P, Forlin B, Alves M and Carro L. (2023). Plug N’ PIM: An integration strategy for Processing-in-Memory accelerators. Integration. 10.1016/j.vlsi.2022.09.016. 88. (185-195). Online publication date: 1-Jan-2023.

    https://linkinghub.elsevier.com/retrieve/pii/S0167926022001304

  • Fakhry D, Abdelsalam M, El-Kharashi M and Safar M. (2023). An HBM3 Processing-In-Memory Architecture for Security and Data Integrity: Case Study. Green Sustainability: Towards Innovative Digital Transformation. 10.1007/978-981-99-4764-5_18. (281-293).

    https://link.springer.com/10.1007/978-981-99-4764-5_18

  • Liu L, Wei S, Zhu J and Deng C. (2023). Current Application Fields. Software Defined Chips. 10.1007/978-981-19-7636-0_4. (167-277).

    https://link.springer.com/10.1007/978-981-19-7636-0_4

  • Mutlu O, Ghose S, Gómez-Luna J and Ausavarungnirun R. (2023). A Modern Primer on Processing in Memory. Emerging Computing: From Devices to Systems. 10.1007/978-981-16-7487-7_7. (171-243).

    https://link.springer.com/10.1007/978-981-16-7487-7_7

  • Shahroodi T, Wong S and Hamdioui S. (2023). A Case for Genome Analysis Where Genomes Reside. Embedded Computer Systems: Architectures, Modeling, and Simulation. 10.1007/978-3-031-46077-7_30. (453-458).

    https://link.springer.com/10.1007/978-3-031-46077-7_30

  • Hosseinzadeh S, Parvaresh A and Fey D. (2023). Optimization of OLAP In-Memory Database Management Systems with Processing-In-Memory Architecture. Architecture of Computing Systems. 10.1007/978-3-031-42785-5_18. (264-278).

    https://link.springer.com/10.1007/978-3-031-42785-5_18

  • Moura R and Carro L. (2023). Exploiting Heterogeneity in PIM Architectures for Data-Intensive Applications. Designing Modern Embedded Systems: Software, Hardware, and Applications. 10.1007/978-3-031-34214-1_5. (53-64).

    https://link.springer.com/10.1007/978-3-031-34214-1_5

  • Tan L, Yan M, Wang D, Li W, Ye X and Fan D. (2023). MatGraph: An Energy-Efficient and Flexible CGRA Engine for Matrix-Based Graph Analytics. Algorithms and Architectures for Parallel Processing. 10.1007/978-3-031-22677-9_19. (351-372).

    https://link.springer.com/10.1007/978-3-031-22677-9_19

  • Kim D and Kim J. (2023). PIM Software Stack. Processing-in-Memory for AI. 10.1007/978-3-030-98781-7_7. (143-160).

    https://link.springer.com/10.1007/978-3-030-98781-7_7

  • Kang H, Zhao Y, Blelloch G, Dhulipala L, Gu Y, McGuffey C and Gibbons P. (2022). PIM-Tree. Proceedings of the VLDB Endowment. 16:4. (946-958). Online publication date: 1-Dec-2022.

    https://doi.org/10.14778/3574245.3574275

  • Yang Z, Pan K, Zhou N and Wei L. Scalable 2T2R Logic Computation Structure: Design From Digital Logic Circuits to 3-D Stacked Memory Arrays. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits. 10.1109/JXCDC.2022.3206778. 8:2. (84-92).

    https://ieeexplore.ieee.org/document/9893161/

  • Ghasemi S, Jahannia B and Farbeh H. (2022). GraphA. Journal of Systems Architecture: the EUROMICRO Journal. 133:C. Online publication date: 1-Dec-2022.

    https://doi.org/10.1016/j.sysarc.2022.102755

  • Sokulski R, Santos P, dos Santos S and Alves M. (2022). SAPIVe: Simple AVX to PIM Vectorizer 2022 XII Brazilian Symposium on Computing Systems Engineering (SBESC). 10.1109/SBESC56799.2022.9964539. 978-1-6654-7425-2. (1-8).

    https://ieeexplore.ieee.org/document/9964539/

  • Oliveira G, Gomez-Luna J, Ghose S, Boroumand A and Mutlu O. Accelerating Neural Network Inference With Processing-in-DRAM: From the Edge to the Cloud. IEEE Micro. 10.1109/MM.2022.3202350. 42:6. (25-38).

    https://ieeexplore.ieee.org/document/9869326/

  • Li X, Ausavarungnirun R, Liu X, Liu X, Zhang X, Lu H, Song Z, Jing N and Liang X. Gzippo. Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design. (1-9).

    https://doi.org/10.1145/3508352.3549372

  • Tian W, Li B, Li Z, Cui H, Shi J, Wang Y and Zhao J. (2022). Using Chiplet Encapsulation Technology to Achieve Processing-in-Memory Functions. Micromachines. 10.3390/mi13101790. 13:10. (1790).

    https://www.mdpi.com/2072-666X/13/10/1790

  • Zhou Z, Li C, Wei X, Wang X and Sun G. GNNear. Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. (54-68).

    https://doi.org/10.1145/3559009.3569670

  • Park J, Azizi R, Oliveira G, Sadrosadati M, Nadig R, Novo D, Gomez-Luna J, Kim M and Mutlu O. (2022). Flash-Cosmos: In-Flash Bulk Bitwise Operations Using Inherent Computation Capability of NAND Flash Memory 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO56248.2022.00069. 978-1-6654-6272-3. (937-955).

    https://ieeexplore.ieee.org/document/9923835/

  • Ferreira J, Falcao G, Gomez-Luna J, Alser M, Orosa L, Sadrosadati M, Kim J, Oliveira G, Shahroodi T, Nori A and Mutlu O. (2022). pLUTo: Enabling Massively Parallel Computation in DRAM via Lookup Tables 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO56248.2022.00067. 978-1-6654-6272-3. (900-919).

    https://ieeexplore.ieee.org/document/9923887/

  • Yazdanbakhsh A, Moradifirouzabadi A, Li Z and Kang M. (2022). Sparse Attention Acceleration with Synergistic In-Memory Pruning and On-Chip Recomputation 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO56248.2022.00059. 978-1-6654-6272-3. (744-762).

    https://ieeexplore.ieee.org/document/9923809/

  • Park J and Kang S. (2022). CPR: Crossbar-grain Pruning for an RRAM-based Accelerator with Coordinate-based Weight Mapping 2022 IEEE 40th International Conference on Computer Design (ICCD). 10.1109/ICCD56317.2022.00056. 978-1-6654-6186-3. (336-343).

    https://ieeexplore.ieee.org/document/9978481/

  • Resch S, Khatamifard S, Chowdhury Z, Zabihi M, Zhao Z, Cilasun H, Wang J, Sapatnekar S and Karpuzcu U. (2022). Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions. ACM Transactions on Embedded Computing Systems. 21:5. (1-36). Online publication date: 30-Sep-2022.

    https://doi.org/10.1145/3520130

  • Ramezani M, Kandemir M and Sivasubramaniam A. GraphGuess: Approximate Graph Processing System with Adaptive Correction. Euro-Par 2022: Parallel Processing. (285-300).

    https://doi.org/10.1007/978-3-031-12597-3_18

  • Tian T, Wang X, Zhao L, Wu W, Zhang X, Lu F, Wang T and Jin X. (2022). G-NMP: Accelerating Graph Neural Networks with DIMM-based Near-Memory Processing. Journal of Systems Architecture. 10.1016/j.sysarc.2022.102602. 129. (102602). Online publication date: 1-Aug-2022.

    https://linkinghub.elsevier.com/retrieve/pii/S1383762122001382

  • zhang l, shen l, Powell W and Tolba A. (2022). PIM-HBMSim: a processing in memory simulator based on high bandwidth memory International Conference on Cloud Computing, Internet of Things, and Computer Applications. 10.1117/12.2642064. 9781510656673. (10).

    https://www.spiedigitallibrary.org/conference-proceedings-of-spie/12303/2642064/PIM-HBMSim--a-processing-in-memory-simulator-based-on/10.1117/12.2642064.full

  • Choe J, Crotty A, Moreshet T, Herlihy M and Bahar R. HybriDS. Proceedings of the 34th ACM Symposium on Parallelism in Algorithms and Architectures. (321-332).

    https://doi.org/10.1145/3490148.3538591

  • Li Z, Chen X and Han Y. GraphRing. Proceedings of the 59th ACM/IEEE Design Automation Conference. (1063-1068).

    https://doi.org/10.1145/3489517.3530571

  • Fariborz M, Samani M, O'Neill T, Lowe-Power J, Yoo S and Akella V. A Model for Scalable and Balanced Accelerators for Graph Processing. IEEE Computer Architecture Letters. 10.1109/LCA.2022.3215489. 21:2. (149-152).

    https://ieeexplore.ieee.org/document/9928529/

  • Gomez-Luna J, Guo Y, Brocard S, Legriel J, Cimadomo R, Oliveira G, Singh G and Mutlu O. (2022). Machine Learning Training on a Real Processing-in-Memory System 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI54635.2022.00064. 978-1-6654-6605-9. (292-295).

    https://ieeexplore.ieee.org/document/9912072/

  • Fernandez I, Quislant R, Giannoula C, Alser M, Gomez-Luna J, Gutierrez E, Plata O and Mutlu O. (2022). Exploiting Near-Data Processing to Accelerate Time Series Analysis 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI54635.2022.00061. 978-1-6654-6605-9. (279-282).

    https://ieeexplore.ieee.org/document/9912017/

  • Oliveira G, Boroumand A, Ghose S, Gomez-Luna J and Mutlu O. (2022). Heterogeneous Data-Centric Architectures for Modern Data-Intensive Applications: Case Studies in Machine Learning and Databases 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI54635.2022.00060. 978-1-6654-6605-9. (273-278).

    https://ieeexplore.ieee.org/document/9912106/

  • Joshi R, Zaman M and Katkoori S. (2022). Fast Sobel Edge Detection for IoT Edge Devices. SN Computer Science. 10.1007/s42979-022-01165-2. 3:4. Online publication date: 1-Jul-2022.

    https://link.springer.com/10.1007/s42979-022-01165-2

  • Vijaykumar N, Olgun A, Kanellopoulos K, Bostanci F, Hassan H, Lotfi M, Gibbons P and Mutlu O. (2022). MetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizations. ACM Transactions on Architecture and Code Optimization. 19:2. (1-29). Online publication date: 30-Jun-2022.

    https://doi.org/10.1145/3505250

  • Talati N, Ye H, Yang Y, Belayneh L, Chen K, Blaauw D, Mudge T and Dreslinski R. NDMiner. Proceedings of the 49th Annual International Symposium on Computer Architecture. (146-159).

    https://doi.org/10.1145/3470496.3527437

  • Lin J, Liang L, Qu Z, Ahmad I, Liu L, Tu F, Gupta T, Ding Y and Xie Y. INSPIRE. Proceedings of the 49th Annual International Symposium on Computer Architecture. (102-115).

    https://doi.org/10.1145/3470496.3527433

  • Feng S, He X, Chen K, Ke L, Zhang X, Blaauw D, Mudge T and Dreslinski R. MeNDA. Proceedings of the 49th Annual International Symposium on Computer Architecture. (245-258).

    https://doi.org/10.1145/3470496.3527432

  • Zhao J, Yang Y, Zhang Y, Liao X, Gu L, He L, He B, Jin H, Liu H, Jiang X and Yu H. TDGraph. Proceedings of the 49th Annual International Symposium on Computer Architecture. (116-129).

    https://doi.org/10.1145/3470496.3527409

  • Dai G, Zhu Z, Fu T, Wei C, Wang B, Li X, Xie Y, Yang H and Wang Y. DIMMining. Proceedings of the 49th Annual International Symposium on Computer Architecture. (130-145).

    https://doi.org/10.1145/3470496.3527388

  • Choi J, Lee H and Rhee C. ADC-PIM: Accelerating Convolution on the GPU via In-Memory Approximate Data Comparison. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2022.3167391. 12:2. (458-471).

    https://ieeexplore.ieee.org/document/9757235/

  • Kim D, Yu C, Xie S, Chen Y, Kim J, Kim B, Kulkarni J and Kim T. An Overview of Processing-in-Memory Circuits for Artificial Intelligence and Machine Learning. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2022.3160455. 12:2. (338-353).

    https://ieeexplore.ieee.org/document/9737485/

  • Tabrizchi S, Angizi S and Roohi A. (2022). SCiMA: A Generic Single-Cycle Compute-in-Memory Acceleration Scheme for Matrix Computations 2022 IEEE International Symposium on Circuits and Systems (ISCAS). 10.1109/ISCAS48785.2022.9937332. 978-1-6654-8485-5. (576-580).

    https://ieeexplore.ieee.org/document/9937332/

  • Song L, Chi Y and Cong J. (2022). PYXIS: An Open-Source Performance Dataset Of Sparse Accelerators ICASSP 2022 - 2022 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). 10.1109/ICASSP43922.2022.9746473. 978-1-6654-0540-9. (76-80).

    https://ieeexplore.ieee.org/document/9746473/

  • Garzón E, Yavits L, Lanuzza M and Teman A. (2022). Emerging Memory Structures for VLSI Circuits. Wiley Encyclopedia of Electrical and Electronics Engineering. 10.1002/047134608X.W8438. (1-28).

    https://onlinelibrary.wiley.com/doi/10.1002/047134608X.W8438

  • Niu F, Yue J, Shen J, Liao X, Liu H and Jin H. (2022). FlashWalker: An In-Storage Accelerator for Graph Random Walks 2022 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS53621.2022.00107. 978-1-6654-8106-9. (1063-1073).

    https://ieeexplore.ieee.org/document/9820661/

  • Boroumand A, Ghose S, Oliveira G and Mutlu O. (2022). Polynesia: Enabling High-Performance and Energy-Efficient Hybrid Transactional/Analytical Databases with Hardware/Software Co-Design 2022 IEEE 38th International Conference on Data Engineering (ICDE). 10.1109/ICDE53745.2022.00270. 978-1-6654-0883-7. (2997-3011).

    https://ieeexplore.ieee.org/document/9835628/

  • Ronen R, Eliahu A, Leitersdorf O, Peled N, Korgaonkar K, Chattopadhyay A, Perach B and Kvatinsky S. (2022). The Bitlet Model: A Parameterized Analytical Model to Compare PIM and CPU Systems. ACM Journal on Emerging Technologies in Computing Systems. 18:2. (1-29). Online publication date: 30-Apr-2022.

    https://doi.org/10.1145/3465371

  • 尹 勋, 岳 金, 黄 庆, 李 超, 蔡 嘉, 杨 泽, 卓 成 and 刘 明. (2022). Computing-in-memory circuits and cross-layer integrated design and optimization: from SRAM to FeFET. SCIENTIA SINICA Informationis. 10.1360/SSI-2021-0420. 52:4. (612). Online publication date: 1-Apr-2022.

    https://engine.scichina.com/doi/10.1360/SSI-2021-0420

  • Zhang Y, Jia Z, Du H, Xue R, Shen Z and Shao Z. A Practical Highly Paralleled ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2021.3071116. 41:4. (922-935).

    https://ieeexplore.ieee.org/document/9395497/

  • Bostanci F, Olgun A, Orosa L, Yaglikci A, Kim J, Hassan H, Ergin O and Mutlu O. (2022). DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA53966.2022.00087. 978-1-6654-2027-3. (1141-1155).

    https://ieeexplore.ieee.org/document/9773248/

  • Chen S, Jiang Y, Delimitrou C and Martinez J. (2022). PIMCloud: QoS-Aware Resource Management of Latency-Critical Applications in Clouds with Processing-in-Memory 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA53966.2022.00083. 978-1-6654-2027-3. (1086-1099).

    https://ieeexplore.ieee.org/document/9773228/

  • Zhou M, Xu W, Kang J and Rosing T. (2022). TransPIM: A Memory-based Acceleration via Software-Hardware Co-Design for Transformer 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA53966.2022.00082. 978-1-6654-2027-3. (1071-1085).

    https://ieeexplore.ieee.org/document/9773212/

  • Wang Z, Weng J, Liu S and Nowatzki T. (2022). Near-Stream Computing: General and Transparent Near-Cache Acceleration 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA53966.2022.00032. 978-1-6654-2027-3. (331-345).

    https://ieeexplore.ieee.org/document/9773240/

  • Xiong W, Ke L, Jankov D, Kounavis M, Wang X, Northup E, Yang J, Acun B, Wu C, Peter Tang P, Edward Suh G, Zhang X and Lee H. (2022). SecNDP: Secure Near-Data Processing with Untrusted Memory 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA53966.2022.00026. 978-1-6654-2027-3. (244-258).

    https://ieeexplore.ieee.org/document/9773244/

  • Wei Y, Zhou M, Liu S, Seemakhupt K, Rosing T and Khan S. (2022). PIMProf: An Automated Program Profiler for Processing-in-Memory Offloading Decisions 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE54114.2022.9774560. 978-3-9819263-6-1. (855-860).

    https://ieeexplore.ieee.org/document/9774560/

  • Lee J, Amornpaisannon B, Mitra T and Carlson T. (2022). GraphWave: A Highly-Parallel Compute-at-Memory Graph Processing Accelerator 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE54114.2022.9774535. 978-3-9819263-6-1. (256-261).

    https://ieeexplore.ieee.org/document/9774535/

  • Minutoli M, Castellana V, Saporetti N, Devecchi S, Lattuada M, Fezzardi P, Tumeo A and Ferrandi F. Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics. IEEE Transactions on Computers. 10.1109/TC.2021.3057860. 71:3. (520-533).

    https://ieeexplore.ieee.org/document/9350228/

  • Song L, Chi Y, Sohrabizadeh A, Choi Y, Lau J and Cong J. Sextans: A Streaming Accelerator for General-Purpose Sparse-Matrix Dense-Matrix Multiplication. Proceedings of the 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. (65-77).

    https://doi.org/10.1145/3490422.3502357

  • Choi K and Hwang T. (2022). Study on Processing-in-Memory Technology based on Dataflow Architecture 2022 International Conference on Electronics, Information, and Communication (ICEIC). 10.1109/ICEIC54506.2022.9748255. 978-1-6654-0934-6. (1-2).

    https://ieeexplore.ieee.org/document/9748255/

  • Yavits L, Kaplan R and Ginosar R. GIRAF: General Purpose In-Storage Resistive Associative Framework. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2021.3065448. 33:2. (276-287).

    https://ieeexplore.ieee.org/document/9376647/

  • Cai W, Wang M, Cao K, Yang H, Peng S, Li H and Zhao W. (2021). Stateful implication logic based on perpendicular magnetic tunnel junctions. Science China Information Sciences. 10.1007/s11432-020-3189-x. 65:2. Online publication date: 1-Feb-2022.

    https://link.springer.com/10.1007/s11432-020-3189-x

  • Li Z, Chen X and Han Y. Optimal Data Allocation for Graph Processing in Processing-in-Memory Systems. Proceedings of the 27th Asia and South Pacific Design Automation Conference. (238-243).

    https://doi.org/10.1109/ASP-DAC52403.2022.9712587

  • Arafin M. (2022). Computation-in-Memory Accelerators for Secure Graph Database: Opportunities and Challenges 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASP-DAC52403.2022.9712502. 978-1-6654-2135-5. (31-36).

    https://ieeexplore.ieee.org/document/9712502/

  • Jin H, Qi H, Zhao J, Jiang X, Huang Y, Gui C, Wang Q, Shen X, Zhang Y, Hu A, Chen D, Liu C, Liu H, He H, Ye X, Wang R, Yuan J, Yao P, Zhang Y, Zheng L and Liao X. (2022). Software Systems Implementation and Domain-Specific Architectures towards Graph Analytics. Intelligent Computing. 10.34133/2022/9806758. 2022. Online publication date: 1-Jan-2022.

    https://spj.science.org/doi/10.34133/2022/9806758

  • Duy K and Lee H. SE-PIM: In-Memory Acceleration of Data-Intensive Confidential Computing. IEEE Transactions on Cloud Computing. 10.1109/TCC.2022.3207145. (1-18).

    https://ieeexplore.ieee.org/document/9906059/

  • Song X, Zhi T, Fan Z, Zhang Z, Zeng X, Li W, Hu X, Du Z, Guo Q and Chen Y. Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2021.3052138. 41:1. (116-128).

    https://ieeexplore.ieee.org/document/9326339/

  • Sun G, Yan M, Wang D, Li H, Li W, Ye X, Fan D and Xie Y. Multi-node Acceleration for Large-scale GCNs. IEEE Transactions on Computers. 10.1109/TC.2022.3207127. (1-12).

    https://ieeexplore.ieee.org/document/9893364/

  • Gomez-Luna J, Hajj I, Fernandez I, Giannoula C, Oliveira G and Mutlu O. Benchmarking a New Paradigm: Experimental Analysis and Characterization of a Real Processing-in-Memory System. IEEE Access. 10.1109/ACCESS.2022.3174101. 10. (52565-52608).

    https://ieeexplore.ieee.org/document/9771457/

  • Mohammed Siyad B and Mohan R. (2022). Barriers to the Widespread Adoption of Processing-in-Memory Architectures. Inventive Systems and Control. 10.1007/978-981-19-1012-8_36. (537-551).

    https://link.springer.com/10.1007/978-981-19-1012-8_36

  • Manocha A, Sorensen T, Tureci E, Matthews O, Aragón J and Martonosi M. (2021). GraphAttack. ACM Transactions on Architecture and Code Optimization. 18:4. (1-26). Online publication date: 31-Dec-2022.

    https://doi.org/10.1145/3469846

  • Zhou M, Guo Y, Xu W, Li B, Eliceiri K and Rosing T. (2021). MAT: Processing In-Memory Acceleration for Long-Sequence Attention 2021 58th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC18074.2021.9586212. 978-1-6654-3274-0. (25-30).

    https://ieeexplore.ieee.org/document/9586212/

  • Stevens J, Das D, Avancha S, Kaul B and Raghunathan A. (2021). GNNerator: A Hardware/Software Framework for Accelerating Graph Neural Networks 2021 58th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC18074.2021.9586122. 978-1-6654-3274-0. (955-960).

    https://ieeexplore.ieee.org/document/9586122/

  • Sayyah Ensan S, Nagarajan K, Khan M and Ghosh S. SCARE: Side Channel Attack on In-Memory Computing for Reverse Engineering. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2021.3110744. 29:12. (2040-2051).

    https://ieeexplore.ieee.org/document/9600458/

  • Zhao J, Zhang Y, Liao X, He L, He B, Jin H and Liu H. LCCG. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-14).

    https://doi.org/10.1145/3458817.3480854

  • Chen H, Shen M, Xiao N and Lu Y. Krill. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-16).

    https://doi.org/10.1145/3458817.3476159

  • Cho B, Jung J and Erez M. Accelerating bandwidth-bound deep learning inference with main-memory accelerators. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-14).

    https://doi.org/10.1145/3458817.3476146

  • Kim Y, Imani M, Gupta S, Zhou M and Rosing T. (2021). Massively Parallel Big Data Classification on a Programmable Processing In-Memory Architecture 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD). 10.1109/ICCAD51958.2021.9643480. 978-1-6654-4507-8. (1-9).

    https://ieeexplore.ieee.org/document/9643480/

  • Nag A and Balasubramonian R. OrderLight: Lightweight Memory-Ordering Primitive for Efficient Fine-Grained PIM Computations. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. (298-310).

    https://doi.org/10.1145/3466752.3480103

  • Liu L, Lin J, Qu Z, Ding Y and Xie Y. ENMC: Extreme Near-Memory Classification via Approximate Screening. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. (1309-1322).

    https://doi.org/10.1145/3466752.3480090

  • Gomez-Luna J, El Hajj I, Fernandez I, Giannoula C, Oliveira G and Mutlu O. (2021). Benchmarking Memory-Centric Computing Systems: Analysis of Real Processing-In-Memory Hardware 2021 12th International Green and Sustainable Computing Conference (IGSC). 10.1109/IGSC54211.2021.9651614. 978-1-6654-7851-9. (1-7).

    https://ieeexplore.ieee.org/document/9651614/

  • Barbone M, Wetscherek A, Yung T, Oelfke U, Luk W and Gaydadjiev G. (2021). Efficient Online 4D Magnetic Resonance Imaging 2021 IEEE 33rd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). 10.1109/SBAC-PAD53543.2021.00029. 978-1-6654-4301-2. (177-187).

    https://ieeexplore.ieee.org/document/9651604/

  • Dave S, Baghdadi R, Nowatzki T, Avancha S, Shrivastava A and Li B. Hardware Acceleration of Sparse and Irregular Tensor Computations of ML Models: A Survey and Insights. Proceedings of the IEEE. 10.1109/JPROC.2021.3098483. 109:10. (1706-1752).

    https://ieeexplore.ieee.org/document/9507542/

  • Wu Y, Wang Q, Zheng L, Liao X, Jin H, Jiang W, Zheng R and Hu K. (2021). FDGLib: A Communication Library for Efficient Large-Scale Graph Processing in FPGA-Accelerated Data Centers. Journal of Computer Science and Technology. 10.1007/s11390-021-1242-y. 36:5. (1051-1070). Online publication date: 1-Oct-2021.

    https://link.springer.com/10.1007/s11390-021-1242-y

  • Zhou M, Moshiri N, Wu L, Skadron K, Li M and Rosing T. Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing. Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques. (199-212).

    https://doi.org/10.1109/PACT52795.2021.00022

  • Zhou M, Clien G, Imani M, Gupta S, Zhang W and Rosing T. PIM-DL: Boosting DNN Inference on Digital Processing In-Memory Architectures via Data Layout Optimizations. Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques. (186-198).

    https://doi.org/10.1109/PACT52795.2021.00021

  • Iskandar V, Abd El Ghany M and Goehringer D. (2021). Near-Data-Processing Architectures Performance Estimation and Ranking using Machine Learning Predictors 2021 24th Euromicro Conference on Digital System Design (DSD). 10.1109/DSD53832.2021.00033. 978-1-6654-2703-6. (158-165).

    https://ieeexplore.ieee.org/document/9556318/

  • Grodowitz M, Pena L, Dunham C, Zhong D, Shamis P and Poole S. (2021). Two-Chains: High Performance Framework for Function Injection and Execution 2021 IEEE International Conference on Cluster Computing (CLUSTER). 10.1109/Cluster48925.2021.00049. 978-1-7281-9666-4. (377-387).

    https://ieeexplore.ieee.org/document/9556003/

  • Asri M, Malhotra D, Wang J, Biros G, John L and Gerstlauer A. Hardware Accelerator Integration Tradeoffs for High-Performance Computing: A Case Study of GEMM Acceleration in N-Body Methods. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2021.3056045. 32:8. (2035-2048).

    https://ieeexplore.ieee.org/document/9343690/

  • Zhang K, Ou D, Jiang C, Qiu Y and Yan L. (2021). Power and Performance Evaluation of Memory-Intensive Applications. Energies. 10.3390/en14144089. 14:14. (4089).

    https://www.mdpi.com/1996-1073/14/14/4089

  • Elbtity M, Singh A, Reidy B, Guo X and Zand R. (2021). An In-Memory Analog Computing Co-Processor for Energy-Efficient CNN Inference on Mobile Devices 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI51109.2021.00043. 978-1-6654-3946-6. (188-193).

    https://ieeexplore.ieee.org/document/9516756/

  • Kaushik A, Pekhimenko G and Patel H. (2021). Gretch. ACM Transactions on Architecture and Code Optimization. 18:2. (1-25). Online publication date: 30-Jun-2021.

    https://doi.org/10.1145/3439803

  • Kandemir M, Tang X, Zhao H, Ryoo J and Karakoy M. Distance-in-time versus distance-in-space. Proceedings of the 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation. (665-680).

    https://doi.org/10.1145/3453483.3454069

  • Brahmakshatriya A, Furst E, Ying V, Hsu C, Hong C, Ruttenberg M, Zhang Y, Jung D, Richmond D, Taylor M, Shun J, Oskin M, Sanchez D and Amarasinghe S. Taming the zoo. Proceedings of the 48th Annual International Symposium on Computer Architecture. (429-442).

    https://doi.org/10.1109/ISCA52012.2021.00041

  • Huang J, Majumder P, Kim S, Fulton T, Puli R, Yum K and Kim E. Computing En-Route for Near-Data Processing. IEEE Transactions on Computers. 10.1109/TC.2021.3063378. 70:6. (906-921).

    https://ieeexplore.ieee.org/document/9369037/

  • Yang Y, Emer J and Sanchez D. (2021). SpZip: Architectural Support for Effective Data Compression In Irregular Applications 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00087. 978-1-6654-3333-4. (1069-1082).

    https://ieeexplore.ieee.org/document/9499902/

  • Olgun A, Patel M, Yaglikci A, Luo H, Kim J, Nisa Bostanci F, Vijaykumar N, Ergin O and Mutlu O. (2021). QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM Chips 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00078. 978-1-6654-3333-4. (944-957).

    https://ieeexplore.ieee.org/document/9499795/

  • Dadu V, Liu S and Nowatzki T. (2021). PolyGraph: Exposing the Value of Flexibility for Graph Processing Accelerators 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00053. 978-1-6654-3333-4. (595-608).

    https://ieeexplore.ieee.org/document/9499835/

  • Orosa L, Wang Y, Sadrosadati M, Kim J, Patel M, Puddu I, Luo H, Razavi K, Gomez-Luna J, Hassan H, Mansouri-Ghiasi N, Ghose S and Mutlu O. (2021). CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00045. 978-1-6654-3333-4. (484-497).

    https://ieeexplore.ieee.org/document/9499751/

  • Wu L, Sharifi R, Lenjani M, Skadron K and Venkat A. (2021). Sieve: Scalable In-situ DRAM-based Accelerator Designs for Massively Parallel k-mer Matching 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00028. 978-1-6654-3333-4. (251-264).

    https://ieeexplore.ieee.org/document/9499937/

  • Sun W, Li Z, Yin S, Wei S and Liu L. (2021). ABC-DIMM: Alleviating the Bottleneck of Communication in DIMM-based Near-Memory Processing with Inter-DIMM Broadcast 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00027. 978-1-6654-3333-4. (237-250).

    https://ieeexplore.ieee.org/document/9499805/

  • Lee S, Kang S, Lee J, Kim H, Lee E, Seo S, Yoon H, Lee S, Lim K, Shin H, Kim J, Seongil O, Iyer A, Wang D, Sohn K and Kim N. (2021). Hardware Architecture and Software Stack for PIM Based on Commercial DRAM Technology : Industrial Product 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA52012.2021.00013. 978-1-6654-3333-4. (43-56).

    https://ieeexplore.ieee.org/document/9499894/

  • Chang L, Li C, Zhang Z, Xiao J, Liu Q, Zhu Z, Li W, Zhu Z, Yang S and Zhou J. (2021). Energy-efficient computing-in-memory architecture for AI processor: device, circuit, architecture perspective. Science China Information Sciences. 10.1007/s11432-021-3234-0. 64:6. Online publication date: 1-Jun-2021.

    https://link.springer.com/10.1007/s11432-021-3234-0

  • Zou X, Xu S, Chen X, Yan L and Han Y. (2021). Breaking the von Neumann bottleneck: architecture-level processing-in-memory technology. Science China Information Sciences. 10.1007/s11432-020-3227-1. 64:6. Online publication date: 1-Jun-2021.

    https://link.springer.com/10.1007/s11432-020-3227-1

  • Rai S, Sivasubramaniam A, Kumar A, Rengasamy P, Narayanan V, Akel A and Eilert S. Design space for scaling-in general purpose computing within the DDR DRAM hierarchy for map-reduce workloads. Proceedings of the 18th ACM International Conference on Computing Frontiers. (113-123).

    https://doi.org/10.1145/3457388.3458661

  • Xu W, Song H, Hou L, Zheng H, Zhang X, Zhang C, Hu W, Wang Y and Liu B. (2021). SODA: Similar 3D Object Detection Accelerator at Network Edge for Autonomous Driving IEEE INFOCOM 2021 - IEEE Conference on Computer Communications. 10.1109/INFOCOM42981.2021.9488833. 978-1-6654-0325-2. (1-10).

    https://ieeexplore.ieee.org/document/9488833/

  • Liu C and Li X. (2021). LooPIM: A Loop-Oriented Acceleration Framework for Processing-in-Memory. Journal of Physics: Conference Series. 10.1088/1742-6596/1914/1/012023. 1914:1. (012023). Online publication date: 1-May-2021.

    https://iopscience.iop.org/article/10.1088/1742-6596/1914/1/012023

  • Hajinazar N, Oliveira G, Gregorio S, Ferreira J, Ghiasi N, Patel M, Alser M, Ghose S, Gómez-Luna J and Mutlu O. SIMDRAM: a framework for bit-serial SIMD processing using DRAM. Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. (329-345).

    https://doi.org/10.1145/3445814.3446749

  • Han J, Torres-Castro K, West R, Swami N and Stan M. (2021). Thermal Analysis of Microfluidic cooling in Processing-in-3D-Stacked Memory 2021 22nd International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE). 10.1109/EuroSimE52062.2021.9410836. 978-1-6654-1373-2. (1-6).

    https://ieeexplore.ieee.org/document/9410836/

  • Han J, West R, Torres-Castro K, Swami N, Khan S and Stan M. (2021). Power and Thermal Modeling of In-3D-Memory Computing 2021 International Symposium on Devices, Circuits and Systems (ISDCS). 10.1109/ISDCS52006.2021.9397913. 978-1-6654-1478-4. (1-4).

    https://ieeexplore.ieee.org/document/9397913/

  • Kandemir M, Ryoo J, Tang X and Karakoy M. Compiler support for near data computing. Proceedings of the 26th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming. (90-104).

    https://doi.org/10.1145/3437801.3441600

  • Mutlu O. (2021). Intelligent Architectures for Intelligent Computing Systems 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE51398.2021.9474073. 978-3-9819263-5-4. (318-323).

    https://ieeexplore.ieee.org/document/9474073/

  • Zhou M, Li M, Imani M and Rosing T. (2021). HyGraph: Accelerating Graph Processing with Hybrid Memory-centric Computing 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE51398.2021.9473227. 978-3-9819263-5-4. (330-335).

    https://ieeexplore.ieee.org/document/9473227/

  • Asgari B, Hadidi R, Cao J, Shim D, Lim S and Kim H. (2021). FAFNIR: Accelerating Sparse Gathering by Using Efficient Near-Memory Intelligent Reduction 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00080. 978-1-6654-2235-2. (908-920).

    https://ieeexplore.ieee.org/document/9407100/

  • Talati N, May K, Behroozi A, Yang Y, Kaszyk K, Vasiladiotis C, Verma T, Li L, Nguyen B, Sun J, Morton J, Ahmadi A, Austin T, O'Boyle M, Mahlke S, Mudge T and Dreslinski R. (2021). Prodigy: Improving the Memory Latency of Data-Indirect Irregular Workloads Using Hardware-Software Co-Design 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00061. 978-1-6654-2235-2. (654-667).

    https://ieeexplore.ieee.org/document/9407222/

  • Prasad A, Rezaalipour M, Dehyadegari M and Bojnordi M. (2021). Memristive Data Ranking 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00045. 978-1-6654-2235-2. (440-452).

    https://ieeexplore.ieee.org/document/9407200/

  • Giannoula C, Vijaykumar N, Papadopoulou N, Karakostas V, Fernandez I, Gomez-Luna J, Orosa L, Koziris N, Goumas G and Mutlu O. (2021). SynCron: Efficient Synchronization Support for Near-Data-Processing Architectures 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00031. 978-1-6654-2235-2. (263-276).

    https://ieeexplore.ieee.org/document/9407213/

  • Kim H, Park H, Kim T, Cho K, Lee E, Ryu S, Lee H, Choi K and Lee J. (2021). GradPIM: A Practical Processing-in-DRAM Architecture for Gradient Descent 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00030. 978-1-6654-2235-2. (249-262).

    https://ieeexplore.ieee.org/document/9407195/

  • Santos P, de Lima J, de Moura R, Alves M, Beck A and Carro L. (2021). Enabling Near-Data Accelerators Adoption by Through Investigation of Datapath Solutions. International Journal of Parallel Programming. 10.1007/s10766-020-00674-y.

    http://link.springer.com/10.1007/s10766-020-00674-y

  • Shen T, Gao D, Zhang L, Zhao J and Zhuo C. A Physical-Aware Framework for Memory Network Design Space Exploration. Proceedings of the 26th Asia and South Pacific Design Automation Conference. (865-871).

    https://doi.org/10.1145/3394885.3431636

  • Feliu J, Naithani A, Sahuquillo J, Petit S, Qureshi M and Eeckhout L. VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors. IEEE Transactions on Computers. 10.1109/TC.2021.3086069. (1-1).

    https://ieeexplore.ieee.org/document/9445600/

  • Yu C, Liu S and Khan S. MultiPIM: A Detailed and Configurable Multi-Stack Processing-In-Memory Simulator. IEEE Computer Architecture Letters. 10.1109/LCA.2021.3061905. 20:1. (54-57).

    https://ieeexplore.ieee.org/document/9362242/

  • Kim M, Kim J, Yoon S and Kim S. Functionality-Based Processing-in-Memory Accelerator for Deep Convolutional Neural Networks. IEEE Access. 10.1109/ACCESS.2021.3122818. 9. (145098-145108).

    https://ieeexplore.ieee.org/document/9585579/

  • Oliveira G, Gomez-Luna J, Orosa L, Ghose S, Vijaykumar N, Fernandez I, Sadrosadati M and Mutlu O. DAMOV: A New Methodology and Benchmark Suite for Evaluating Data Movement Bottlenecks. IEEE Access. 10.1109/ACCESS.2021.3110993. 9. (134457-134502).

    https://ieeexplore.ieee.org/document/9530719/

  • Lee Y and Han T. Task Parallelism-Aware Deep Neural Network Scheduling on Multiple Hybrid Memory Cube-Based Processing-in-Memory. IEEE Access. 10.1109/ACCESS.2021.3077294. 9. (68561-68572).

    https://ieeexplore.ieee.org/document/9422695/

  • Li X, Tan G and Sun N. (2021). PIM-Align: A Processing-in-Memory Architecture for FM-Index Search Algorithm. Journal of Computer Science and Technology. 10.1007/s11390-020-0825-3. 36:1. (56-70). Online publication date: 1-Jan-2021.

    http://link.springer.com/10.1007/s11390-020-0825-3

  • Joshi R, Zaman M and Katkoori S. (2020). Novel Bit-Sliced Near-Memory Computing Based VLSI Architecture for Fast Sobel Edge Detection in IoT Edge Devices 2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS). 10.1109/iSES50453.2020.00071. 978-1-6654-0478-5. (291-296).

    https://ieeexplore.ieee.org/document/9426109/

  • Gao D, Reis D, Hu X and Zhuo C. Eva-CiM: A System-Level Performance and Energy Evaluation Framework for Computing-in-Memory Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2020.2966484. 39:12. (5011-5024).

    https://ieeexplore.ieee.org/document/8957703/

  • Chen Q, Zheng L, Liao X, Jin H and Wang Q. (2020). Effective runtime scheduling for high-performance graph processing on heterogeneous dataflow architecture. CCF Transactions on High Performance Computing. 10.1007/s42514-020-00041-w. 2:4. (362-375). Online publication date: 1-Dec-2020.

    https://link.springer.com/10.1007/s42514-020-00041-w

  • Tziouvaras A, Dimitriou G, Foukalas F and Stamoulis G. Low power general purpose loop acceleration for NDP applications. Proceedings of the 24th Pan-Hellenic Conference on Informatics. (115-120).

    https://doi.org/10.1145/3437120.3437288

  • Reis D, Takeshita J, Jung T, Niemier M and Hu X. Computing-in-Memory for Performance and Energy-Efficient Homomorphic Encryption. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2020.3017595. 28:11. (2300-2313).

    https://ieeexplore.ieee.org/document/9179010/

  • Dustdar S, Mutlu O, Vijaykumar N and Dustdar S. Rethinking Divide and Conquer—Towards Holistic Interfaces of the Computing Stack. IEEE Internet Computing. 10.1109/MIC.2020.3026245. 24:6. (45-57).

    https://ieeexplore.ieee.org/document/9272516/

  • Wang C, Gong L, Li X and Zhou X. A Ubiquitous Machine Learning Accelerator With Automatic Parallelization on FPGA. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2020.2990924. 31:10. (2346-2359).

    https://ieeexplore.ieee.org/document/9079640/

  • Imani M, Yin X, Messerly J, Gupta S, Niemier M, Hu X and Rosing T. SearcHD: A Memory-Centric Hyperdimensional Computing With Stochastic Training. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2019.2952544. 39:10. (2422-2433).

    https://ieeexplore.ieee.org/document/8894368/

  • Cali D, Kalsi G, Bingol Z, Firtina C, Subramanian L, Kim J, Ausavarungnirun R, Alser M, Gomez-Luna J, Boroumand A, Nori A, Scibisz A, Subramoney S, Alkan C, Ghose S and Mutlu O. (2020). GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00081. 978-1-7281-7383-2. (951-966).

    https://ieeexplore.ieee.org/document/9251930/

  • Rahman S, Abu-Ghazaleh N and Gupta R. (2020). GraphPulse: An Event-Driven Hardware Accelerator for Asynchronous Graph Processing 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00078. 978-1-7281-7383-2. (908-921).

    https://ieeexplore.ieee.org/document/9251946/

  • He M, Song C, Kim I, Jeong C, Kim S, Park I, Thottethodi M and Vijaykumar T. (2020). Newton: A DRAM-maker’s Accelerator-in-Memory (AiM) Architecture for Machine Learning 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00040. 978-1-7281-7383-2. (372-385).

    https://ieeexplore.ieee.org/document/9251855/

  • Wang Y, Orosa L, Peng X, Guo Y, Ghose S, Patel M, Kim J, Luna J, Sadrosadati M, Ghiasi N and Mutlu O. (2020). FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00036. 978-1-7281-7383-2. (313-328).

    https://ieeexplore.ieee.org/document/9251865/

  • Fernandez I, Quislant R, Gutierrez E, Plata O, Giannoula C, Alser M, Gomez-Luna J and Mutlu O. (2020). NATSA: A Near-Data Processing Accelerator for Time Series Analysis 2020 IEEE 38th International Conference on Computer Design (ICCD). 10.1109/ICCD50377.2020.00035. 978-1-7281-9710-4. (120-129).

    https://ieeexplore.ieee.org/document/9283516/

  • Baskaran S and Sampson J. Decentralized Offload-based Execution on Memory-centric Compute Cores. Proceedings of the International Symposium on Memory Systems. (61-76).

    https://doi.org/10.1145/3422575.3422778

  • Khan K, Pasricha S and Kim R. (2020). A Survey of Resource Management for Processing-In-Memory and Near-Memory Processing Architectures. Journal of Low Power Electronics and Applications. 10.3390/jlpea10040030. 10:4. (30).

    https://www.mdpi.com/2079-9268/10/4/30

  • Huang Z, Zhang Y, Zhang K, Zhang Z, Wang J, Zhang Y and Zhao W. An In-memory Highly Reconfigurable Logic Circuit Based on Diode-assisted Enhanced Magnetoresistance Device. Proceedings of the 2020 on Great Lakes Symposium on VLSI. (259-264).

    https://doi.org/10.1145/3386263.3407587

  • Xu D, Chu C, Liu C, Wang Y, Zhou X, Zhang L, Liang H and Li H. Multi-task Scheduling for PIM-based Heterogeneous Computing System. Proceedings of the 2020 on Great Lakes Symposium on VLSI. (457-462).

    https://doi.org/10.1145/3386263.3406946

  • Xu S, Chen X, Qian X and Han Y. TUPIM: A Transparent and Universal Processing-in-Memory Architecture for Unmodified Binaries. Proceedings of the 2020 on Great Lakes Symposium on VLSI. (199-204).

    https://doi.org/10.1145/3386263.3406896

  • Paik Y, Kim S, Jung D and Kim M. (2020). Generating Representative Test Sequences from Real Workload for Minimizing DRAM Verification Overhead. ACM Transactions on Design Automation of Electronic Systems. 25:4. (1-23). Online publication date: 2-Sep-2020.

    https://doi.org/10.1145/3391891

  • Simon W, Qureshi Y, Rios M, Levisse A, Zapater M and Atienza D. BLADE: An in-Cache Computing Architecture for Edge Devices. IEEE Transactions on Computers. 10.1109/TC.2020.2972528. 69:9. (1349-1363).

    https://ieeexplore.ieee.org/document/8988198/

  • Nabavinejad S, Baharloo M, Chen K, Palesi M, Kogel T and Ebrahimi M. An Overview of Efficient Interconnection Networks for Deep Neural Network Accelerators. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2020.3022920. 10:3. (268-282).

    https://ieeexplore.ieee.org/document/9189825/

  • Mutlu O. (2020). Intelligent Architectures for Intelligent Machines 2020 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 10.1109/VLSI-DAT49148.2020.9196490. 978-1-7281-6083-2. (1-4).

    https://ieeexplore.ieee.org/document/9196490/

  • Singh G, Diamantopoulos D, Hagleitner C, Gomez-Luna J, Stuijk S, Mutlu O and Corporaal H. (2020). NERO: A Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling 2020 30th International Conference on Field-Programmable Logic and Applications (FPL). 10.1109/FPL50879.2020.00014. 978-1-7281-9902-3. (9-17).

    https://ieeexplore.ieee.org/document/9221526/

  • Nider J, Mustard C, Zoltan A and Fedorova A. Processing in storage class memory. Proceedings of the 12th USENIX Conference on Hot Topics in Storage and File Systems. (13-13).

    /doi/10.5555/3488733.3488746

  • Li X and Zhao J. (2020). GNP: A Global-Sensitive Mechanism for Near-Data Processing 2020 IEEE International Conference on Power, Intelligent Computing and Systems (ICPICS). 10.1109/ICPICS50287.2020.9202052. 978-1-7281-9874-3. (661-664).

    https://ieeexplore.ieee.org/document/9202052/

  • Zhang Y, Jia Z, Pan Y, Du H, Shen Z, Zhao M and Shao Z. (2020). PattPIM: A Practical ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions 2020 57th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC18072.2020.9218638. 978-1-7281-1085-1. (1-6).

    https://ieeexplore.ieee.org/document/9218638/

  • Wang Q, Zheng L, Zhao J, Liao X, Jin H and Xue J. (2020). A Conflict-free Scheduler for High-performance Graph Processing on Multi-pipeline FPGAs. ACM Transactions on Architecture and Code Optimization. 17:2. (1-26). Online publication date: 30-Jun-2020.

    https://doi.org/10.1145/3390523

  • Song S, Das A, Mutlu O and Kandasamy N. Improving phase change memory performance with data content aware access. Proceedings of the 2020 ACM SIGPLAN International Symposium on Memory Management. (30-47).

    https://doi.org/10.1145/3381898.3397210

  • Cho B, Kwon Y, Lym S and Erez M. Near data acceleration with concurrent host access. Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture. (818-831).

    https://doi.org/10.1109/ISCA45697.2020.00072

  • Kateja R, Beckmann N and Ganger G. Tvarak. Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture. (624-637).

    https://doi.org/10.1109/ISCA45697.2020.00058

  • Chatterjee A and Varshney L. Energy-Reliability Limits in Nanoscale Feedforward Neural Networks and Formulas. IEEE Journal on Selected Areas in Information Theory. 10.1109/JSAIT.2020.2981889. 1:1. (250-266).

    https://ieeexplore.ieee.org/document/9044195/

  • Zheng L, Zhao J, Huang Y, Wang Q, Zeng Z, Xue J, Liao X and Jin H. (2020). Spara: An Energy-Efficient ReRAM-Based Accelerator for Sparse Graph Analytics Applications 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS47924.2020.00077. 978-1-7281-6876-0. (696-707).

    https://ieeexplore.ieee.org/document/9139778/

  • Huang Y, Zheng L, Yao P, Zhao J, Liao X, Jin H and Xue J. (2020). A Heterogeneous PIM Hardware-Software Co-Design for Energy-Efficient Graph Processing 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS47924.2020.00076. 978-1-7281-6876-0. (684-695).

    https://ieeexplore.ieee.org/document/9139839/

  • Andrighetti M, Turvani G, Santoro G, Vacca M, Marchesin A, Ottati F, Ruo Roch M, Graziano M and Zamboni M. (2020). Data Processing and Information Classification—An In-Memory Approach. Sensors. 10.3390/s20061681. 20:6. (1681).

    https://www.mdpi.com/1424-8220/20/6/1681

  • Belayneh L and Bertacco V. GraphVine. Proceedings of the 23rd Conference on Design, Automation and Test in Europe. (762-767).

    /doi/10.5555/3408352.3408526

  • Smolyar I, Markuze A, Pismenny B, Eran H, Zellweger G, Bolen A, Liss L, Morrison A and Tsafrir D. IOctopus. Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. (101-115).

    https://doi.org/10.1145/3373376.3378509

  • Belayneh L and Bertacco V. (2020). GraphVine: Exploiting Multicast for Scalable Graph Analytics 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE48585.2020.9116542. 978-3-9819263-4-7. (762-767).

    https://ieeexplore.ieee.org/document/9116542/

  • Dick R, Shang L, Wolf M and Yang S. Embedded Intelligence in the Internet-of-Things. IEEE Design & Test. 10.1109/MDAT.2019.2957352. 37:1. (7-27).

    https://ieeexplore.ieee.org/document/8919985/

  • Vasilakis E, Papaefstathiou V, Trancoso P and Sourdis I. (2020). Hybrid2: Combining Caching and Migration in Hybrid Memory Systems 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA47549.2020.00059. 978-1-7281-6149-5. (649-662).

    https://ieeexplore.ieee.org/document/9065506/

  • Imani M, Samragh Razlighi M, Kim Y, Gupta S, Koushanfar F and Rosing T. (2020). Deep Learning Acceleration with Neuron-to-Memory Transformation 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA47549.2020.00011. 978-1-7281-6149-5. (1-14).

    https://ieeexplore.ieee.org/document/9065564/

  • Wang Z, Guan Y, Sun G, Niu D, Wang Y, Zheng H and Han Y. (2020). GNN-PIM: A Processing-in-Memory Architecture for Graph Neural Networks. Advanced Computer Architecture. 10.1007/978-981-15-8135-9_6. (73-86).

    https://link.springer.com/10.1007/978-981-15-8135-9_6

  • Liu B, Shen Z, Jia Z and Cai X. (2020). Optimizing Motion Estimation with an ReRAM-Based PIM Architecture. Wireless Algorithms, Systems, and Applications. 10.1007/978-3-030-59016-1_24. (285-297).

    http://link.springer.com/10.1007/978-3-030-59016-1_24

  • Rheindt S, Fried A, Lenke O, Nolte L, Sabirov T, Twardzik T, Wild T and Herkersdorf A. (2020). X-CEL: A Method to Estimate Near-Memory Acceleration Potential in Tile-Based MPSoCs. Architecture of Computing Systems – ARCS 2020. 10.1007/978-3-030-52794-5_9. (109-123).

    http://link.springer.com/10.1007/978-3-030-52794-5_9

  • Zhang Y, Shen J, Xu Z, Qiu S and Chen X. (2019). Architectural Implications in Graph Processing of Accelerator with Gardenia Benchmark Suite 2019 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom). 10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00191. 978-1-7281-4328-6. (1329-1339).

    https://ieeexplore.ieee.org/document/9047473/

  • Zhuo Y, Chen J, Rao G, Luo Q, Wang Y, Yang H, Qian D and Qian X. (2021). Distributed Graph Processing System and Processing-in-memory Architecture with Precise Loop-carried Dependency Guarantee. ACM Transactions on Computer Systems. 37:1-4. (1-37). Online publication date: 30-Nov-2019.

    https://doi.org/10.1145/3453681

  • Mukhopadhyay S, Yalamanchili S, Swaminathan M, Long Y, Mudassar B, Nair C, DeProspo B, Torun H, Kathaperumal M, Smet V and Kim D. Heterogeneous integration for artificial intelligence: Challenges and opportunities. IBM Journal of Research and Development. 10.1147/JRD.2019.2947373. 63:6. (4:1-4:1).

    https://ieeexplore.ieee.org/document/8869909/

  • Ghose S, Boroumand A, Kim J, Gomez-Luna J and Mutlu O. Processing-in-memory: A workload-driven perspective. IBM Journal of Research and Development. 10.1147/JRD.2019.2934048. 63:6. (3:1-3:19).

    https://ieeexplore.ieee.org/document/8792187/

  • Lee Y, Kim K, Lee J, Choi J and Chung S. (2019). A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication 2019 IEEE 37th International Conference on Computer Design (ICCD). 10.1109/ICCD46524.2019.00077. 978-1-5386-6648-7. (515-523).

    https://ieeexplore.ieee.org/document/8988619/

  • Singh G, Chelini L, Corda S, Awan A, Stuijk S, Jordans R, Corporaal H and Boonstra A. (2019). Near-memory computing. Microprocessors & Microsystems. 71:C. Online publication date: 1-Nov-2019.

    https://doi.org/10.1016/j.micpro.2019.102868

  • Bogdan P, Chen F, Deshwal A, Doppa J, Joardar B, Li H, Nazarian S, Song L and Xiao Y. Taming extreme heterogeneity via machine learning based design of autonomous manycore systems. Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion. (1-10).

    https://doi.org/10.1145/3349567.3357376

  • Bera R, Nori A, Mutlu O and Subramoney S. DSPatch. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (531-544).

    https://doi.org/10.1145/3352460.3358325

  • Yan M, Hu X, Li S, Basak A, Li H, Ma X, Akgun I, Feng Y, Gu P, Deng L, Ye X, Zhang Z, Fan D and Xie Y. Alleviating Irregularity in Graph Analytics Acceleration. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (615-628).

    https://doi.org/10.1145/3352460.3358318

  • Jang J, Heo J, Lee Y, Won J, Kim S, Jung S, Jang H, Ham T and Lee J. Charon. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (726-739).

    https://doi.org/10.1145/3352460.3358297

  • Shahrad M, Balkind J and Wentzlaff D. Architectural Implications of Function-as-a-Service Computing. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (1063-1075).

    https://doi.org/10.1145/3352460.3358296

  • Kanellopoulos K, Vijaykumar N, Giannoula C, Azizi R, Koppula S, Ghiasi N, Shahroodi T, Luna J and Mutlu O. SMASH. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (600-614).

    https://doi.org/10.1145/3352460.3358286

  • Zhuo Y, Wang C, Zhang M, Wang R, Niu D, Wang Y and Qian X. GraphQ. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (712-725).

    https://doi.org/10.1145/3352460.3358256

  • Mukkara A, Beckmann N and Sanchez D. PHI. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (1009-1022).

    https://doi.org/10.1145/3352460.3358254

  • Choe J, Moreshet T, Bahar R and Herlihy M. Attacking memory-hard scrypt with near-data-processing. Proceedings of the International Symposium on Memory Systems. (33-37).

    https://doi.org/10.1145/3357526.3357570

  • Rheindt S, Fried A, Lenke O, Nolte L, Wild T and Herkersdorf A. NEMESYS. Proceedings of the International Symposium on Memory Systems. (3-18).

    https://doi.org/10.1145/3357526.3357545

  • Afoakwa R, Lu L, Wu H and Huang M. To Stack or Not To Stack. Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. (110-123).

    https://doi.org/10.1109/PACT.2019.00017

  • Gupta S, Imani M, Kaur H and Rosing T. (2019). NNPIM: A Processing In-Memory Architecture for Neural Network Acceleration. IEEE Transactions on Computers. 68:9. (1325-1337). Online publication date: 1-Sep-2019.

    https://doi.org/10.1109/TC.2019.2903055

  • Imamura S and Yoshida E. (2019). POSTER: AR-MMAP: Write Performance Improvement of Memory-Mapped File 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT). 10.1109/PACT.2019.00061. 978-1-7281-3613-4. (493-494).

    https://ieeexplore.ieee.org/document/8891632/

  • Qian J, Li J, Ma R, Lin L and Guan H. (2019). LG-RAM. Journal of Systems Architecture: the EUROMICRO Journal. 98:C. (114-125). Online publication date: 1-Sep-2019.

    https://doi.org/10.1016/j.sysarc.2019.06.007

  • Eldstål-Damlin A, Trancoso P and Sourdis I. AVR. Proceedings of the 48th International Conference on Parallel Processing. (1-10).

    https://doi.org/10.1145/3337821.3337824

  • Dai G, Huang T, Wang Y, Yang H and Wawrzynek J. (2019). HyVE. IEEE Transactions on Computers. 68:8. (1131-1146). Online publication date: 1-Aug-2019.

    https://doi.org/10.1109/TC.2019.2893384

  • Corda S, Singh G, Awan A, Jordans R and Corporaal H. (2019). Platform Independent Software Analysis for Near Memory Computing 2019 22nd Euromicro Conference on Digital System Design (DSD). 10.1109/DSD.2019.00093. 978-1-7281-2862-7. (606-609).

    https://ieeexplore.ieee.org/document/8875040/

  • Yan M, Hu X, Li S, Akgun I, Li H, Ma X, Deng L, Ye X, Zhang Z, Fan D and Xie Y. (2019). Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED.2019.8824832. 978-1-7281-2954-9. (1-6).

    https://ieeexplore.ieee.org/document/8824832/

  • Belayneh L, Addisie A and Bertacco V. (2019). MessageFusion: On-path Message Coalescing for Energy Efficient and Scalable Graph Analytics 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED.2019.8824799. 978-1-7281-2954-9. (1-6).

    https://ieeexplore.ieee.org/document/8824799/

  • Choe J, Huang A, Moreshet T, Herlihy M and Bahar R. Concurrent Data Structures with Near-Data-Processing. The 31st ACM Symposium on Parallelism in Algorithms and Architectures. (297-308).

    https://doi.org/10.1145/3323165.3323191

  • Kim N and Mehra P. Practical Near-Data Processing to Evolve Memory and Storage Devices into Mainstream Heterogeneous Computing Systems. Proceedings of the 56th Annual Design Automation Conference 2019. (1-4).

    https://doi.org/10.1145/3316781.3323484

  • Mutlu O, Ghose S, Gómez-Luna J and Ausavarungnirun R. Enabling Practical Processing in and near Memory for Data-Intensive Computing. Proceedings of the 56th Annual Design Automation Conference 2019. (1-4).

    https://doi.org/10.1145/3316781.3323476

  • Zhou M, Imani M, Gupta S and Rosing T. Thermal-Aware Design and Management for Search-based In-Memory Acceleration. Proceedings of the 56th Annual Design Automation Conference 2019. (1-6).

    https://doi.org/10.1145/3316781.3317923

  • Singh G, Gómez-Luna J, Mariani G, Oliveira G, Corda S, Stuijk S, Mutlu O and Corporaal H. NAPEL. Proceedings of the 56th Annual Design Automation Conference 2019. (1-6).

    https://doi.org/10.1145/3316781.3317867

  • McCrabb A, Winsor E and Bertacco V. DREDGE. Proceedings of the 56th Annual Design Automation Conference 2019. (1-6).

    https://doi.org/10.1145/3316781.3317804

  • Santoro G, Turvani G and Graziano M. (2019). New Logic-In-Memory Paradigms: An Architectural and Technological Perspective. Micromachines. 10.3390/mi10060368. 10:6. (368).

    https://www.mdpi.com/2072-666X/10/6/368

  • Mutlu O. Processing Data Where It Makes Sense in Modern Computing Systems. Proceedings of the 2019 Great Lakes Symposium on VLSI. (5-6).

    https://doi.org/10.1145/3299874.3322805

  • Imani M, Gupta S, Kim Y, Zhou M and Rosing T. DigitalPIM. Proceedings of the 2019 Great Lakes Symposium on VLSI. (429-434).

    https://doi.org/10.1145/3299874.3319483

  • Cofano M, Vacca M, Santoro G, Causapruno G, Turvani G and Graziano M. (2019). Exploiting the Logic-In-Memory paradigm for speeding-up data-intensive algorithms. Integration, the VLSI Journal. 66:C. (153-163). Online publication date: 1-May-2019.

    https://doi.org/10.1016/j.vlsi.2019.02.007

  • Dai G, Huang T, Chi Y, Zhao J, Sun G, Liu Y, Wang Y, Xie Y and Yang H. GraphH: A Processing-in-Memory Architecture for Large-Scale Graph Processing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2018.2821565. 38:4. (640-653).

    https://ieeexplore.ieee.org/document/8328836/

  • Dogan H, Ahmad M, Kahne B and Khan O. (2019). Accelerating Synchronization Using Moving Compute to Data Model at 1,000-core Multicore Scale. ACM Transactions on Architecture and Code Optimization. 16:1. (1-27). Online publication date: 31-Mar-2019.

    https://doi.org/10.1145/3300208

  • Kalyanaraman A and Pande P. (2019). A Brief Survey of Algorithms, Architectures, and Challenges toward Extreme-scale Graph Analytics 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2019.8715024. 978-3-9819263-2-3. (1307-1312).

    https://ieeexplore.ieee.org/document/8715024/

  • Ahmed H, Santos P, Lima J, Moura R, Alves M, Beck A and Carro L. (2019). A Compiler for Automatic Selection of Suitable Processing-in-Memory Instructions 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2019.8714956. 978-3-9819263-2-3. (564-569).

    https://ieeexplore.ieee.org/document/8714956/

  • Lin Y, Wang C, Lee M, Lee D, Lin Y, Lee F, Lung H, Wang K, Tseng T and Lu C. Performance Impacts of Analog ReRAM Non-ideality on Neuromorphic Computing. IEEE Transactions on Electron Devices. 10.1109/TED.2019.2894273. 66:3. (1289-1295).

    https://ieeexplore.ieee.org/document/8632945/

  • Pei S, Yang J and Yang Q. (2019). REGISTOR. ACM Transactions on Storage. 15:1. (1-24). Online publication date: 28-Feb-2019.

    https://doi.org/10.1145/3310149

  • Besta M, Fischer M, Ben-Nun T, De Fine Licht J and Hoefler T. Substream-Centric Maximum Matchings on FPGA. Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. (152-161).

    https://doi.org/10.1145/3289602.3293916

  • Basak A, Li S, Hu X, Oh S, Xie X, Zhao L, Jiang X and Xie Y. (2019). Analysis and Optimization of the Memory Hierarchy for Graph Processing Workloads 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2019.00051. 978-1-7281-1444-6. (373-386).

    https://ieeexplore.ieee.org/document/8675225/

  • Pal S, Petrisko D, Tomei M, Gupta P, Iyer S and Kumar R. (2019). Architecting Waferscale Processors - A GPU Case Study 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2019.00042. 978-1-7281-1444-6. (250-263).

    https://ieeexplore.ieee.org/document/8675211/

  • Huang J, Reddy Puli R, Majumder P, Kim S, Boyapati R, Yum K and Kim E. (2019). Active-Routing: Compute on the Way for Near-Data Processing 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2019.00018. 978-1-7281-1444-6. (674-686).

    https://ieeexplore.ieee.org/document/8675217/

  • Xie C, Zhang X, Li A, Fu X and Song S. (2019). PIM-VR: Erasing Motion Anomalies In Highly-Interactive Virtual Reality World with Customized Memory Cube 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2019.00013. 978-1-7281-1444-6. (609-622).

    https://ieeexplore.ieee.org/document/8675230/

  • Kim J, Patel M, Hassan H, Orosa L and Mutlu O. (2019). D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2019.00011. 978-1-7281-1444-6. (582-595).

    https://ieeexplore.ieee.org/document/8675245/

  • Xu Z, Chen X, Shen J, Zhang Y, Chen C and Yang C. (2019). GARDENIA. ACM Journal on Emerging Technologies in Computing Systems. 15:1. (1-13). Online publication date: 31-Jan-2019.

    https://doi.org/10.1145/3283450

  • Xu S, Chen X, Wang Y, Han Y and Li X. CuckooPIM. Proceedings of the 24th Asia and South Pacific Design Automation Conference. (140-145).

    https://doi.org/10.1145/3287624.3287646

  • Dai G, Huang T, Wang Y, Yang H and Wawrzynek J. GraphSAR. Proceedings of the 24th Asia and South Pacific Design Automation Conference. (120-126).

    https://doi.org/10.1145/3287624.3287637

  • Yang X, Hou Y and He H. (2019). A Processing-in-Memory Architecture Programming Paradigm for Wireless Internet-of-Things Applications. Sensors. 10.3390/s19010140. 19:1. (140).

    https://www.mdpi.com/1424-8220/19/1/140

  • Pan P, Li C and Guo M. CongraPlus: Towards Efficient Processing of Concurrent Graph Queries on NUMA Machines. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2019.2899595. (1-1).

    https://ieeexplore.ieee.org/document/8642367/

  • Akin B and Alameldeen A. (2019). A Case For Asymmetric Processing in Memory. IEEE Computer Architecture Letters. 18:1. (22-25). Online publication date: 1-Jan-2019.

    https://doi.org/10.1109/LCA.2019.2894800

  • Lee W, Kim C, Paik Y, Park J, Park I and Kim S. Design of Processing-“Inside”-Memory Optimized for DRAM Behaviors. IEEE Access. 10.1109/ACCESS.2019.2924240. 7. (82633-82648).

    https://ieeexplore.ieee.org/document/8743357/

  • Ghose S, Hsieh K, Boroumand A, Ausavarungnirun R and Mutlu O. (2019). The Processing-in-Memory Paradigm: Mechanisms to Enable Adoption. Beyond-CMOS Technologies for Next Generation Computer Design. 10.1007/978-3-319-90385-9_5. (133-194).

    http://link.springer.com/10.1007/978-3-319-90385-9_5

  • Tang X, Kandemir M, Zhao H, Jung M and Karakoy M. (2018). Computing with Near Data. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 2:3. (1-30). Online publication date: 21-Dec-2018.

    https://doi.org/10.1145/3287321

  • Lee J, Shi W and Gil J. (2018). Accelerated bulk memory operations on heterogeneous multi-core systems. The Journal of Supercomputing. 74:12. (6898-6922). Online publication date: 1-Dec-2018.

    https://doi.org/10.1007/s11227-018-2589-x

  • Besta M, Hassan S, Yalamanchili S, Ausavarungnirun R, Mutlu O and Hoefler T. (2018). Slim NoC. ACM SIGPLAN Notices. 53:2. (43-55). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3177158

  • Rahmani A, Donyanavard B, Mück T, Moazzemi K, Jantsch A, Mutlu O and Dutt N. (2018). SPECTR. ACM SIGPLAN Notices. 53:2. (169-183). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3173199

  • Zhang D, Ma X, Thomson M and Chiou D. (2018). Minnow. ACM SIGPLAN Notices. 53:2. (593-607). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3173197

  • Haria S, Hill M and Swift M. (2018). Devirtualizing Memory in Heterogeneous Systems. ACM SIGPLAN Notices. 53:2. (637-650). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3173194

  • Boroumand A, Ghose S, Kim Y, Ausavarungnirun R, Shiu E, Thakur R, Kim D, Kuusela A, Knies A, Ranganathan P and Mutlu O. (2018). Google Workloads for Consumer Devices. ACM SIGPLAN Notices. 53:2. (316-331). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3173177

  • Fujiki D, Mahlke S and Das R. (2018). In-Memory Data Parallel Processor. ACM SIGPLAN Notices. 53:2. (1-14). Online publication date: 30-Nov-2018.

    https://doi.org/10.1145/3296957.3173171

  • Eyerman S, Heirman W, Bois K, Fryman J and Hur I. Many-core graph workload analysis. Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis. (1-11).

    /doi/10.5555/3291656.3291686

  • Chatarasi P and Sarkar V. A Preliminary Study of Compiler Transformations for Graph Applications on the Emu System. Proceedings of the Workshop on Memory Centric High Performance Computing. (37-44).

    https://doi.org/10.1145/3286475.3286481

  • Eyerman S, Heirman W, Bois K, Fryman J and Hur I. Many-core graph workload analysis. Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis. (1-11).

    https://doi.org/10.1109/SC.2018.00025

  • Yao P, Zheng L, Liao X, Jin H and He B. An efficient graph accelerator with parallel data conflict management. Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques. (1-12).

    https://doi.org/10.1145/3243176.3243201

  • Yazdanbakhsh A, Song C, Sacks J, Lotfi-Kamran P, Esmaeilzadeh H and Kim N. In-DRAM near-data approximate acceleration for GPUs. Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques. (1-14).

    https://doi.org/10.1145/3243176.3243188

  • Zois V, Gupta D, Tsotras V, Najjar W and Roy J. Massively parallel skyline computation for processing-in-memory architectures. Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques. (1-12).

    https://doi.org/10.1145/3243176.3243187

  • Piccolboni L, Di Guglielmo G and Carloni L. PAGURUS: Low-Overhead Dynamic Information Flow Tracking on Loosely Coupled Accelerators. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2018.2857321. 37:11. (2685-2696).

    https://ieeexplore.ieee.org/document/8412617/

  • Samajdar A, Mannan P, Garg K and Krishna T. GeneSys. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (855-866).

    https://doi.org/10.1109/MICRO.2018.00074

  • Alian M, Min S, Asgharimoghaddam H, Dhar A, Wang D, Roewer T, McPadden A, O'Halloran O, Chen D, Xiong J, Kim D, Hwu W and Kim N. Application-transparent near-memory processing architecture with memory channel network. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (802-814).

    https://doi.org/10.1109/MICRO.2018.00070

  • Liu J, Zhao H, Ogleari M, Li D and Zhao J. Processing-in-memory for energy-efficient neural network training. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (655-668).

    https://doi.org/10.1109/MICRO.2018.00059

  • Tsai P, Chen C and Sanchez D. Adaptive scheduling for systems with asymmetric memory hierarchies. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (641-654).

    https://doi.org/10.1109/MICRO.2018.00058

  • Mukkara A, Beckmann N, Abeydeera M, Ma X and Sanchez D. Exploiting locality in graph analytics through hardware-accelerated traversal scheduling. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (1-14).

    https://doi.org/10.1109/MICRO.2018.00010

  • Shan Y, Huang Y, Chen Y and Zhang Y. LegoOS. Proceedings of the 13th USENIX conference on Operating Systems Design and Implementation. (69-87).

    /doi/10.5555/3291168.3291175

  • Santos P, de Lima J, de Moura R, Ahmed H, Alves M, Beck A and Carro L. Exploring IoT platform with technologically agnostic processing-in-memory framework. Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications. (1-6).

    https://doi.org/10.1145/3285017.3285020

  • Akin B, Chou C, Park J, Hughes C and Agarwal R. Dynamic fine-grained sparse memory accesses. Proceedings of the International Symposium on Memory Systems. (85-97).

    https://doi.org/10.1145/3240302.3240416

  • Li G, Dai G, Li S, Wang Y and Xie Y. GraphIA. Proceedings of the International Symposium on Memory Systems. (79-84).

    https://doi.org/10.1145/3240302.3240312

  • Mao M, Sun X, Peng X, Yu S and Chakrabarti C. (2018). A Versatile ReRAM-based Accelerator for Convolutional Neural Networks 2018 IEEE International Workshop on Signal Processing Systems (SiPS). 10.1109/SiPS.2018.8598372. 978-1-5386-6318-9. (211-216).

    https://ieeexplore.ieee.org/document/8598372/

  • Kim H, Hadidi R, Nai L, Kim H, Jayasena N, Eckert Y, Kayiran O and Loh G. (2018). CODA. ACM Transactions on Architecture and Code Optimization. 15:3. (1-23). Online publication date: 30-Sep-2018.

    https://doi.org/10.1145/3232521

  • Wen H and Zhang W. (2018). Exploiting GPU with 3D Stacked Memory to Boost Performance for Data-Intensive Applications 2018 IEEE High Performance Extreme Computing Conference (HPEC). 10.1109/HPEC.2018.8547545. 978-1-5386-5989-2. (1-6).

    https://ieeexplore.ieee.org/document/8547545/

  • Drumond M, Daglis A, Mirzadeh N, Ustiugov D, Picorel J, Falsafi B, Grot B and Pnevmatikatos D. (2018). Algorithm/Architecture Co-Design for Near-Memory Processing. ACM SIGOPS Operating Systems Review. 52:1. (109-122). Online publication date: 28-Aug-2018.

    https://doi.org/10.1145/3273982.3273992

  • Wang X, Leidel J and Chen Y. Memory Coalescing for Hybrid Memory Cube. Proceedings of the 47th International Conference on Parallel Processing. (1-10).

    https://doi.org/10.1145/3225058.3225062

  • Singh G, Chelini L, Corda S, Javed Awan A, Stuijk S, Jordans R, Corporaal H and Boonstra A. (2018). A Review of Near-Memory Computing Architectures: Opportunities and Challenges 2018 21st Euromicro Conference on Digital System Design (DSD). 10.1109/DSD.2018.00106. 978-1-5386-7377-5. (608-617).

    https://ieeexplore.ieee.org/document/8491877/

  • Yun J, Yoon S, Kim J, Burgstaller B and Kim S. Regression Prefetcher with Preprocessing for DRAM-PCM Hybrid Main Memory. IEEE Computer Architecture Letters. 10.1109/LCA.2018.2841835. 17:2. (163-166).

    https://ieeexplore.ieee.org/document/8368305/

  • Zhang L, Kang W, Cai H, Ouyang P, Torres L, Zhang Y, Todri-Sanial A and Zhao W. (2018). A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAM 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2018.00058. 978-1-5386-7099-6. (275-280).

    https://ieeexplore.ieee.org/document/8429379/

  • Milojicic D, Bresniker K, Campbell G, Faraboschi P, Strachan J and Williams S. (2018). Computing In-Memory, Revisited 2018 IEEE 38th International Conference on Distributed Computing Systems (ICDCS). 10.1109/ICDCS.2018.00130. 978-1-5386-6871-9. (1300-1309).

    https://ieeexplore.ieee.org/document/8416393/

  • Akbari N, Modarressi M, Daneshtalab M and Loni M. (2018). A Customized Processing-in-Memory Architecture for Biological Sequence Alignment 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). 10.1109/ASAP.2018.8445124. 978-1-5386-7479-6. (1-8).

    https://ieeexplore.ieee.org/document/8445124/

  • Oliveira G, Gonçalves L, Brandalero M, Beck A and Carro L. Employing classification-based algorithms for general-purpose approximate computing. Proceedings of the 55th Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/3195970.3196043

  • Gao D, Shen T and Zhuo C. A design framework for processing-in-memory accelerator. Proceedings of the 20th System Level Interconnect Prediction Workshop. (1-6).

    https://doi.org/10.1145/3225209.3225213

  • Pei S, Yang J and Yang Q. REGISTOR. Proceedings of the 11th ACM International Systems and Storage Conference. (13-25).

    https://doi.org/10.1145/3211890.3211900

  • Jun S, Wright A, Zhang S, Xu S and Arvind . GraFboost. Proceedings of the 45th Annual International Symposium on Computer Architecture. (411-424).

    https://doi.org/10.1109/ISCA.2018.00042

  • Lee J and Kim H. StaleLearn: Learning Acceleration with Asynchronous Synchronization Between Model Replicas on PIM. IEEE Transactions on Computers. 10.1109/TC.2017.2780237. 67:6. (861-873).

    https://ieeexplore.ieee.org/document/8168367/

  • Li D, Yang Y, Li W and Yang Q. (2018). CISC: Coordinating Intelligent SSD and CPU to Speedup Graph Processing 2018 17th International Symposium on Parallel and Distributed Computing (ISPDC). 10.1109/ISPDC2018.2018.00029. 978-1-5386-5330-2. (149-156).

    https://ieeexplore.ieee.org/document/8452032/

  • Adavally S and Kavi K. (2018). 3D-DRAM Performance for Different OpenMP Scheduling Techniques in Multicore Systems 2018 IEEE 20th International Conference on High Performance Computing and Communications; IEEE 16th International Conference on Smart City; IEEE 4th International Conference on Data Science and Systems (HPCC/SmartCity/DSS). 10.1109/HPCC/SmartCity/DSS.2018.00119. 978-1-5386-6614-2. (675-683).

    https://ieeexplore.ieee.org/document/8622857/

  • Gai K, Qiu M, Liu M and Xiong Z. (2018). In-memory big data analytics under space constraints using dynamic programming. Future Generation Computer Systems. 83:C. (219-227). Online publication date: 1-Jun-2018.

    https://doi.org/10.1016/j.future.2017.12.033

  • Das P and Kapoor H. Towards Near-Data Processing of Compare Operations in 3D-Stacked Memory. Proceedings of the 2018 Great Lakes Symposium on VLSI. (243-248).

    https://doi.org/10.1145/3194554.3194578

  • de Lima J, Santos P, Alves M, Beck A and Carro L. Design space exploration for PIM architectures in 3D-stacked memories. Proceedings of the 15th ACM International Conference on Computing Frontiers. (113-120).

    https://doi.org/10.1145/3203217.3203280

  • Torabzadehkashi M, Rezaei S, Alves V and Bagherzadeh N. (2018). CompStor: An In-storage Computation Platform for Scalable Distributed Processing 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW). 10.1109/IPDPSW.2018.00195. 978-1-5386-5555-9. (1260-1267).

    https://ieeexplore.ieee.org/document/8425562/

  • Lee V, Mazumdar A, del Mundo C, Alaghi A, Ceze L and Oskin M. (2018). Application Codesign of Near-Data Processing for Similarity Search 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2018.00099. 978-1-5386-4368-6. (896-907).

    https://ieeexplore.ieee.org/document/8425243/

  • Nitin ., Thottethodi M and Vijaykumar T. (2018). Millipede: Die-Stacked Memory Optimizations for Big Data Machine Learning Analytics 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2018.00026. 978-1-5386-4368-6. (160-171).

    https://ieeexplore.ieee.org/document/8425170/

  • Hadidi R, Asgari B, Young J, Ahmad Mudassar B, Garg K, Krishna T and Kim H. (2018). Performance Implications of NoCs on 3D-Stacked Memories: Insights from the Hybrid Memory Cube 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2018.00018. 978-1-5386-5010-3. (99-108).

    https://ieeexplore.ieee.org/document/8366939/

  • Lin S, Lin J and Lin C. (2018). Cycle-accelerated simulation for three-dimensional near-data processing system with power, temperature, and latency analysis 2018 IEEE International Conference on Applied System Innovation (ICASI). 10.1109/ICASI.2018.8394513. 978-1-5386-4342-6. (124-126).

    https://ieeexplore.ieee.org/document/8394513/

  • Zheng L, Liao X and Jin H. (2018). Efficient and Scalable Graph Parallel Processing With Symbolic Execution. ACM Transactions on Architecture and Code Optimization. 15:1. (1-25). Online publication date: 31-Mar-2018.

    https://doi.org/10.1145/3170434

  • Besta M, Hassan S, Yalamanchili S, Ausavarungnirun R, Mutlu O and Hoefler T. Slim NoC. Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. (43-55).

    https://doi.org/10.1145/3173162.3177158

  • Zhang D, Ma X, Thomson M and Chiou D. Minnow. Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. (593-607).

    https://doi.org/10.1145/3173162.3173197

  • Haria S, Hill M and Swift M. Devirtualizing Memory in Heterogeneous Systems. Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. (637-650).

    https://doi.org/10.1145/3173162.3173194

  • Boroumand A, Ghose S, Kim Y, Ausavarungnirun R, Shiu E, Thakur R, Kim D, Kuusela A, Knies A, Ranganathan P and Mutlu O. Google Workloads for Consumer Devices. Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. (316-331).

    https://doi.org/10.1145/3173162.3173177

  • Fujiki D, Mahlke S and Das R. In-Memory Data Parallel Processor. Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. (1-14).

    https://doi.org/10.1145/3173162.3173171

  • Cox G, Yan Z, Bhattacharjee A and Ganapathy V. Secure, Consistent, and High-Performance Memory Snapshotting. Proceedings of the Eighth ACM Conference on Data and Application Security and Privacy. (236-247).

    https://doi.org/10.1145/3176258.3176325

  • Xiao Y, Nazarian S and Bogdan P. (2018). Prometheus: Processing-in-memory heterogeneous architecture design from a multi-layer network theoretic strategy 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2018.8342229. 978-3-9819263-0-9. (1387-1392).

    https://ieeexplore.ieee.org/document/8342229/

  • Imani M, Gupta S and Rosing T. (2018). GenPIM: Generalized processing in-memory to accelerate data intensive applications 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2018.8342186. 978-3-9819263-0-9. (1155-1158).

    http://ieeexplore.ieee.org/document/8342186/

  • Huang T, Dai G, Wang Y and Yang H. (2018). HyVE: Hybrid vertex-edge memory hierarchy for energy-efficient graph processing 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2018.8342150. 978-3-9819263-0-9. (973-978).

    http://ieeexplore.ieee.org/document/8342150/

  • Santos P, Oliveira G, Lima J, Alves M, Carro L and Beck A. (2018). Processing in 3D memories to speed up operations on complex data structures 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2018.8342135. 978-3-9819263-0-9. (897-900).

    http://ieeexplore.ieee.org/document/8342135/

  • Li B, Song L, Chen F, Qian X, Chen Y and Li H. (2018). ReRAM-based accelerator for deep learning 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2018.8342118. 978-3-9819263-0-9. (815-820).

    http://ieeexplore.ieee.org/document/8342118/

  • Wang L, Kang W, Ebrahimi F, Li X, Huang Y, Zhao C, Wang K and Zhao W. Voltage-Controlled Magnetic Tunnel Junctions for Processing-In-Memory Implementation. IEEE Electron Device Letters. 10.1109/LED.2018.2791510. 39:3. (440-443).

    http://ieeexplore.ieee.org/document/8252758/

  • Gao D and Zhuo C. (2018). An accelerator-aware microarchitecture simulator for design space exploration 2018 China Semiconductor Technology International Conference (CSTIC). 10.1109/CSTIC.2018.8369328. 978-1-5386-5308-1. (1-4).

    https://ieeexplore.ieee.org/document/8369328/

  • Han L, Shen Z, Liu D, Shao Z, Huang H and Li T. (2018). A Novel ReRAM-Based Processing-in-Memory Architecture for Graph Traversal. ACM Transactions on Storage. 14:1. (1-26). Online publication date: 28-Feb-2018.

    https://doi.org/10.1145/3177916

  • Zheng L, Liao X, Jin H, Zhao J and Wang Q. Scalable concurrency debugging with distributed graph processing. Proceedings of the 2018 International Symposium on Code Generation and Optimization. (188-199).

    https://doi.org/10.1145/3168817

  • Jeong T, Choi D, Han S and Chung E. A Study of Data Layout in Multi-channel Processing-In-Memory Architecture. Proceedings of the 2018 7th International Conference on Software and Computer Applications. (134-138).

    https://doi.org/10.1145/3185089.3185136

  • Ozdal M. Emerging Accelerator Platforms for Data Centers. IEEE Design & Test. 10.1109/MDAT.2017.2779742. 35:1. (47-54).

    https://ieeexplore.ieee.org/document/8141969/

  • Zhang M, Zhuo Y, Wang C, Gao M, Wu Y, Chen K, Kozyrakis C and Qian X. (2018). GraphP: Reducing Communication for PIM-Based Graph Processing with Efficient Data Partition 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2018.00053. 978-1-5386-3659-6. (544-557).

    http://ieeexplore.ieee.org/document/8327036/

  • Zou K, Wang Y, Li H and Li X. XORiM. Proceedings of the 23rd Asia and South Pacific Design Automation Conference. (349-354).

    /doi/10.5555/3201607.3201696

  • Xu S, Wang Y, Han Y and Li X. PIMCH. Proceedings of the 23rd Asia and South Pacific Design Automation Conference. (209-214).

    /doi/10.5555/3201607.3201651

  • Das P, Lakhotia S, Shetty P and Kapoor H. (2018). Towards Near Data Processing of Convolutional Neural Networks 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID). 10.1109/VLSID.2018.94. 978-1-5386-3692-3. (380-385).

    http://ieeexplore.ieee.org/document/8326957/

  • Fiorin L, Jongerius R, Vermij E, van Lunteren J and Hagleitner C. (2018). Near-Memory Acceleration for Radio Astronomy. IEEE Transactions on Parallel and Distributed Systems. 29:1. (115-128). Online publication date: 1-Jan-2018.

    https://doi.org/10.1109/TPDS.2017.2748580

  • Lim H and Park G. (2017). Triple Engine Processor (TEP). ACM Transactions on Architecture and Code Optimization. 14:4. (1-25). Online publication date: 20-Dec-2017.

    https://doi.org/10.1145/3155920

  • Hadidi R, Nai L, Kim H and Kim H. (2017). CAIRO. ACM Transactions on Architecture and Code Optimization. 14:4. (1-25). Online publication date: 20-Dec-2017.

    https://doi.org/10.1145/3155287

  • Kim G, Chatterjee N, O'Connor M and Hsieh K. Toward standardized near-data processing with unrestricted data placement for GPUs. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-12).

    https://doi.org/10.1145/3126908.3126965

  • Agrawal A, Loh G and Tuck J. Leveraging near data processing for high-performance checkpoint/restart. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-12).

    https://doi.org/10.1145/3126908.3126918

  • Kang W, Wang H, Wang Z, Zhang Y and Zhao W. In-Memory Processing Paradigm for Bitwise Logic Operations in STT–MRAM. IEEE Transactions on Magnetics. 10.1109/TMAG.2017.2703863. 53:11. (1-4).

    http://ieeexplore.ieee.org/document/7927489/

  • Pan P and Li C. (2017). Congra: Towards Efficient Processing of Concurrent Graph Queries on Shared-Memory Machines 2017 IEEE 35th International Conference on Computer Design (ICCD). 10.1109/ICCD.2017.40. 978-1-5386-2254-4. (217-224).

    http://ieeexplore.ieee.org/document/8119213/

  • Yu X, Hughes C, Satish N, Mutlu O and Devadas S. Banshee. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (1-14).

    https://doi.org/10.1145/3123939.3124555

  • Seshadri V, Lee D, Mullins T, Hassan H, Boroumand A, Kim J, Kozuch M, Mutlu O, Gibbons P and Mowry T. Ambit. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (273-287).

    https://doi.org/10.1145/3123939.3124544

  • Li S, Niu D, Malladi K, Zheng H, Brennan B and Xie Y. DRISA. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (288-301).

    https://doi.org/10.1145/3123939.3123977

  • Khan S, Wilkerson C, Wang Z, Alameldeen A, Lee D and Mutlu O. Detecting and mitigating data-dependent DRAM failures by exploiting current memory content. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (27-40).

    https://doi.org/10.1145/3123939.3123945

  • Rimborg M, Trancoso P and Carlstedt G. PHOENIX. Proceedings of the International Symposium on Memory Systems. (15-25).

    https://doi.org/10.1145/3132402.3132430

  • Awan A, Ohara M, Ayguade E, Ishizaki K, Brorsson M and Vlassov V. Identifying the potential of near data processing for apache spark. Proceedings of the International Symposium on Memory Systems. (60-67).

    https://doi.org/10.1145/3132402.3132427

  • Kersey C, Kim H and Yalamanchili S. Lightweight SIMT core designs for intelligent 3D stacked DRAM. Proceedings of the International Symposium on Memory Systems. (49-59).

    https://doi.org/10.1145/3132402.3132426

  • Zhang H, Kang W, Wang L, Wang K and Zhao W. Stateful Reconfigurable Logic via a Single-Voltage-Gated Spin Hall-Effect Driven Magnetic Tunnel Junction in a Spintronic Memory. IEEE Transactions on Electron Devices. 10.1109/TED.2017.2726544. 64:10. (4295-4301).

    http://ieeexplore.ieee.org/document/7993081/

  • Lu T, Serafy C, Yang Z, Samal S, Lim S and Srivastava A. TSV-Based 3-D ICs: Design Methods and Tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2017.2666604. 36:10. (1593-1619).

    http://ieeexplore.ieee.org/document/7849155/

  • Vermij E, Fiorin L, Jongerius R, Hagleitner C, Lunteren J and Bertels K. (2017). An Architecture for Integrated Near-Data Processors. ACM Transactions on Architecture and Code Optimization. 14:3. (1-25). Online publication date: 30-Sep-2017.

    https://doi.org/10.1145/3127069

  • Kaplan , Yavits and Ginosar . (2017). From Processing-in-Memory to Processing-in-Storage. Supercomputing Frontiers and Innovations: an International Journal. 4:3. (99-116). Online publication date: 15-Sep-2017.

    https://doi.org/10.14529/jsfi170307

  • Poremba M, Akgun I, Yin J, Kayiran O, Xie Y and Loh G. (2017). There and Back Again. ACM SIGARCH Computer Architecture News. 45:2. (678-690). Online publication date: 14-Sep-2017.

    https://doi.org/10.1145/3140659.3080251

  • Boyapati R, Huang J, Majumder P, Yum K and Kim E. (2017). APPROX-NoC. ACM SIGARCH Computer Architecture News. 45:2. (666-677). Online publication date: 14-Sep-2017.

    https://doi.org/10.1145/3140659.3080241

  • Drumond M, Daglis A, Mirzadeh N, Ustiugov D, Picorel J, Falsafi B, Grot B and Pnevmatikatos D. (2017). The Mondrian Data Engine. ACM SIGARCH Computer Architecture News. 45:2. (639-651). Online publication date: 14-Sep-2017.

    https://doi.org/10.1145/3140659.3080233

  • Picorel J, Jevdjic D and Falsafi B. (2017). Near-Memory Address Translation 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT). 10.1109/PACT.2017.56. 978-1-5090-6764-0. (303-317).

    http://ieeexplore.ieee.org/document/8091253/

  • Singapura S, Srivastava A, Kannan R and Prasanna V. (2017). OSCAR: Optimizing SCrAtchpad reuse for graph processing 2017 IEEE High-Performance Extreme Computing Conference (HPEC). 10.1109/HPEC.2017.8091070. 978-1-5386-3472-1. (1-7).

    http://ieeexplore.ieee.org/document/8091070/

  • Xu C, Wang C, Gong L, Lu Y, Sun F, Zhang Y, Li X and Zhou X. (2017). OmniGraph: A Scalable Hardware Accelerator for Graph Processing 2017 IEEE International Conference on Cluster Computing (CLUSTER). 10.1109/CLUSTER.2017.44. 978-1-5386-2326-8. (623-624).

    http://ieeexplore.ieee.org/document/8048982/

  • Lee J, Kim H, Yoo S, Choi K, Hofstee H, Nam G, Nutter M and Jamsek D. (2017). ExtraV. Proceedings of the VLDB Endowment. 10:12. (1706-1717). Online publication date: 1-Aug-2017.

    https://doi.org/10.14778/3137765.3137776

  • István Z, Sidler D and Alonso G. (2017). Caribou. Proceedings of the VLDB Endowment. 10:11. (1202-1213). Online publication date: 1-Aug-2017.

    https://doi.org/10.14778/3137628.3137632

  • Han L, Shen Z, Shao Z, Huang H and Li T. (2017). A novel ReRAM-based processing-in-memory architecture for graph computing 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA). 10.1109/NVMSA.2017.8064464. 978-1-5386-1768-7. (1-6).

    http://ieeexplore.ieee.org/document/8064464/

  • Youhui Z, Youyang Z, Yanhua L, Xiang F and Weimin Z. (2017). In-Place Irregular Computation for Message-Passing Chip-Multiprocessors 2017 46th International Conference on Parallel Processing Workshops (ICPPW). 10.1109/ICPPW.2017.23. 978-1-5386-1044-2. (69-76).

    http://ieeexplore.ieee.org/document/8026071/

  • Vermij E, Fiorin L, Hagleitner C and Bertels K. (2017). Boosting the Efficiency of HPCG and Graph500 with Near-Data Processing 2017 46th International Conference on Parallel Processing (ICPP). 10.1109/ICPP.2017.12. 978-1-5386-1042-8. (31-40).

    http://ieeexplore.ieee.org/document/8025277/

  • Liu Z, Calciu I, Herlihy M and Mutlu O. Concurrent Data Structures for Near-Memory Computing. Proceedings of the 29th ACM Symposium on Parallelism in Algorithms and Architectures. (235-245).

    https://doi.org/10.1145/3087556.3087582

  • Zhang D, Ma X and Chiou D. Worklist-Directed Prefetching. IEEE Computer Architecture Letters. 10.1109/LCA.2016.2627571. 16:2. (170-173).

    http://ieeexplore.ieee.org/document/7740958/

  • Poremba M, Akgun I, Yin J, Kayiran O, Xie Y and Loh G. There and Back Again. Proceedings of the 44th Annual International Symposium on Computer Architecture. (678-690).

    https://doi.org/10.1145/3079856.3080251

  • Boyapati R, Huang J, Majumder P, Yum K and Kim E. APPROX-NoC. Proceedings of the 44th Annual International Symposium on Computer Architecture. (666-677).

    https://doi.org/10.1145/3079856.3080241

  • Drumond M, Daglis A, Mirzadeh N, Ustiugov D, Picorel J, Falsafi B, Grot B and Pnevmatikatos D. The Mondrian Data Engine. Proceedings of the 44th Annual International Symposium on Computer Architecture. (639-651).

    https://doi.org/10.1145/3079856.3080233

  • Jerger N, Krishna T and Peh L. (2017). On-Chip Networks, Second Edition. Synthesis Lectures on Computer Architecture. 10.2200/S00772ED1V01Y201704CAC040. 12:3. (1-210). Online publication date: 17-Jun-2017.

    http://www.morganclaypool.com/doi/10.2200/S00772ED1V01Y201704CAC040

  • Lee D, Khan S, Subramanian L, Ghose S, Ausavarungnirun R, Pekhimenko G, Seshadri V and Mutlu O. (2017). Design-Induced Latency Variation in Modern DRAM Chips. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 1:1. (1-36). Online publication date: 13-Jun-2017.

    https://doi.org/10.1145/3084464

  • Shelor C and Kavi K. Dataflow based Near Data Computing Achieves Excellent Energy Efficiency. Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies. (1-6).

    https://doi.org/10.1145/3120895.3120900

  • Chatterjee A and Varshney L. (2017). Towards optimal quantization of neural networks 2017 IEEE International Symposium on Information Theory (ISIT). 10.1109/ISIT.2017.8006711. 978-1-5090-4096-4. (1162-1166).

    http://ieeexplore.ieee.org/document/8006711/

  • Jin H, Yao P, Liao X, Zheng L and Li X. (2017). Towards Dataflow-Based Graph Accelerator 2017 IEEE 37th International Conference on Distributed Computing Systems (ICDCS). 10.1109/ICDCS.2017.150. 978-1-5386-1792-2. (1981-1992).

    http://ieeexplore.ieee.org/document/7980139/

  • Chen X, Li P, Fang J, Tang T, Wang Z and Yang C. (2016). Efficient and high‐quality sparse graph coloring on GPUs. Concurrency and Computation: Practice and Experience. 10.1002/cpe.4064. 29:10. Online publication date: 25-May-2017.

    https://onlinelibrary.wiley.com/doi/10.1002/cpe.4064

  • Vermij E, Fiorin L, Hagleitner C and Bertels K. Sorting big data on heterogeneous near-data processing systems. Proceedings of the Computing Frontiers Conference. (349-354).

    https://doi.org/10.1145/3075564.3078885

  • Xu D, Liao Y, Wang Y, Li H and Li X. Selective off-loading to Memory. Proceedings of the Computing Frontiers Conference. (255-258).

    https://doi.org/10.1145/3075564.3075584

  • Zhou J, Liu S, Guo Q, Zhou X, Zhi T, Liu D, Wang C, Zhou X, Chen Y and Chen T. TuNao. Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing. (731-734).

    https://doi.org/10.1109/CCGRID.2017.114

  • Khazraee M, Zhang L, Vega L and Taylor M. (2017). Moonwalk. ACM SIGPLAN Notices. 52:4. (511-526). Online publication date: 12-May-2017.

    https://doi.org/10.1145/3093336.3037749

  • Gao M, Pu J, Yang X, Horowitz M and Kozyrakis C. (2017). TETRIS. ACM SIGPLAN Notices. 52:4. (751-764). Online publication date: 12-May-2017.

    https://doi.org/10.1145/3093336.3037702

  • Khazraee M, Zhang L, Vega L and Taylor M. (2017). Moonwalk. ACM SIGARCH Computer Architecture News. 45:1. (511-526). Online publication date: 11-May-2017.

    https://doi.org/10.1145/3093337.3037749

  • Gao M, Pu J, Yang X, Horowitz M and Kozyrakis C. (2017). TETRIS. ACM SIGARCH Computer Architecture News. 45:1. (751-764). Online publication date: 11-May-2017.

    https://doi.org/10.1145/3093337.3037702

  • Barbalace A, Iliopoulos A, Rauchfuss H and Brasche G. It's Time to Think About an Operating System for Near Data Processing Architectures. Proceedings of the 16th Workshop on Hot Topics in Operating Systems. (56-61).

    https://doi.org/10.1145/3102980.3102990

  • Lee V, Kotalik J, Del Mundo C, Alaghi A, Ceze L and Oskin M. (2017). Similarity Search on Automata Processors 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2017.12. 978-1-5386-3914-6. (523-534).

    https://ieeexplore.ieee.org/document/7967143/

  • Dogan H, Hijaz F, Ahmad M, Kahne B, Wilson P and Khan O. (2017). Accelerating Graph and Machine Learning Workloads Using a Shared Memory Multicore Architecture with Auxiliary Support for In-hardware Explicit Messaging 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2017.116. 978-1-5386-3914-6. (254-264).

    http://ieeexplore.ieee.org/document/7967115/

  • Khazraee M, Zhang L, Vega L and Taylor M. (2017). Moonwalk. ACM SIGOPS Operating Systems Review. 10.1145/3093315.3037749. 51:2. (511-526). Online publication date: 4-Apr-2017.

    http://dl.acm.org/citation.cfm?doid=3093315.3037749

  • Gao M, Pu J, Yang X, Horowitz M and Kozyrakis C. (2017). TETRIS. ACM SIGOPS Operating Systems Review. 10.1145/3093315.3037702. 51:2. (751-764). Online publication date: 4-Apr-2017.

    http://dl.acm.org/citation.cfm?doid=3093315.3037702

  • Khazraee M, Zhang L, Vega L and Taylor M. Moonwalk. Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems. (511-526).

    https://doi.org/10.1145/3037697.3037749

  • Gao M, Pu J, Yang X, Horowitz M and Kozyrakis C. TETRIS. Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems. (751-764).

    https://doi.org/10.1145/3037697.3037702

  • Kang W, Chang L, Wang Z and Zhao W. (2017). In-memory processing paradigm for bitwise logic operations in STT-MRAM 2017 IEEE International Magnetics Conference (INTERMAG). 10.1109/INTMAG.2017.8008048. 978-1-5386-1086-2. (1-1).

    http://ieeexplore.ieee.org/document/8008048/

  • Mutlu O. The RowHammer problem and other issues we may face as memory becomes denser. Proceedings of the Conference on Design, Automation & Test in Europe. (1116-1121).

    /doi/10.5555/3130379.3130643

  • Santos P, Oliveira G, Tomé D, Alves M, Almeida E and Carro L. Operand size reconfiguration for big data processing in memory. Proceedings of the Conference on Design, Automation & Test in Europe. (710-715).

    /doi/10.5555/3130379.3130551

  • Mutlu O. (2017). The RowHammer problem and other issues we may face as memory becomes denser 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2017.7927156. 978-3-9815370-8-6. (1116-1121).

    http://ieeexplore.ieee.org/document/7927156/

  • Santos P, Oliveira G, Tome D, Alves M, Almeida E and Carro L. (2017). Operand size reconfiguration for big data processing in memory 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2017.7927081. 978-3-9815370-8-6. (710-715).

    http://ieeexplore.ieee.org/document/7927081/

  • Chatterjee A and Varshney L. (2017). Energy-reliability limits in nanoscale neural networks 2017 51st Annual Conference on Information Sciences and Systems (CISS). 10.1109/CISS.2017.7926139. 978-1-5090-4780-2. (1-6).

    http://ieeexplore.ieee.org/document/7926139/

  • Wen W, Yang J and Zhang Y. (2017). Optimizing power efficiency for 3D stacked GPU-in-memory architecture. Microprocessors & Microsystems. 49:C. (44-53). Online publication date: 1-Mar-2017.

    https://doi.org/10.1016/j.micpro.2017.01.005

  • Ma X, Zhang D and Chiou D. FPGA-Accelerated Transactional Execution of Graph Workloads. Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. (227-236).

    https://doi.org/10.1145/3020078.3021743

  • Dai G, Huang T, Chi Y, Xu N, Wang Y and Yang H. ForeGraph. Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. (217-226).

    https://doi.org/10.1145/3020078.3021739

  • Erb C, Collins M and Greathouse J. Dynamic buffer overflow detection for GPGPUs. Proceedings of the 2017 International Symposium on Code Generation and Optimization. (61-73).

    /doi/10.5555/3049832.3049840

  • Song L, Qian X, Li H and Chen Y. (2017). PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning 2017 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA.2017.55. 978-1-5090-4985-1. (541-552).

    http://ieeexplore.ieee.org/document/7920854/

  • Nai L, Hadidi R, Sim J, Kim H, Kumar P and Kim H. (2017). GraphPIM: Enabling Instruction-Level PIM Offloading in Graph Computing Frameworks 2017 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA.2017.54. 978-1-5090-4985-1. (457-468).

    http://ieeexplore.ieee.org/document/7920847/

  • Xie C, Song S, Wang J, Zhang W and Fu X. (2017). Processing-in-Memory Enabled Graphics Processors for 3D Rendering 2017 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA.2017.37. 978-1-5090-4985-1. (637-648).

    http://ieeexplore.ieee.org/document/7920862/

  • Erb C, Collins M and Greathouse J. (2017). Dynamic buffer overflow detection for GPGPUs 2017 IEEE/ACM International Symposium on Code Generation and Optimization (CGO). 10.1109/CGO.2017.7863729. 978-1-5090-4931-8. (61-73).

    http://ieeexplore.ieee.org/document/7863729/

  • Lian S, Wang Y, Han Y and Li X. BoDNoC: Providing bandwidth-on-demand interconnection for multi-granularity memory systems. 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). (738-743).

    https://doi.org/10.1109/ASPDAC.2017.7858412

  • Azarkhish E, Pfister C, Rossi D, Loi I and Benini L. (2017). Logic-Base Interconnect Design for Near Memory Computing in the Smart Memory Cube. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 25:1. (210-223). Online publication date: 1-Jan-2017.

    https://doi.org/10.1109/TVLSI.2016.2570283

  • Boroumand A, Ghose S, Patel M, Hassan H, Lucia B, Hsieh K, Malladi K, Zheng H and Mutlu O. LazyPIM: An Efficient Cache Coherence Mechanism for Processing-in-Memory. IEEE Computer Architecture Letters. 10.1109/LCA.2016.2577557. 16:1. (46-50).

    http://ieeexplore.ieee.org/document/7485993/

  • Tang Y, Wang Y, Li H and Li X. (2017). ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASPDAC.2017.7858355. 978-1-5090-1558-0. (396-401).

    http://ieeexplore.ieee.org/document/7858355/

  • Scrbak M, Greathouse J, Jayasena N and Kavi K. (2017). DVFS Space Exploration in Power Constrained Processing-in-Memory Systems. Architecture of Computing Systems - ARCS 2017. 10.1007/978-3-319-54999-6_17. (221-233).

    http://link.springer.com/10.1007/978-3-319-54999-6_17

  • Chi P, Li S and Xie Y. (2017). Processing-In-Memory Architecture Design for Accelerating Neuro-Inspired Algorithms. Neuro-inspired Computing Using Resistive Synaptic Devices. 10.1007/978-3-319-54313-0_10. (183-207).

    https://link.springer.com/10.1007/978-3-319-54313-0_10

  • Ahn J, Yoo S and Choi K. (2016). AIM. ACM Transactions on Architecture and Code Optimization. 13:4. (1-24). Online publication date: 28-Dec-2016.

    https://doi.org/10.1145/2994149

  • Song S, Zheng X, Gerstlauer A and John L. (2016). Fine-grained power analysis of emerging graph processing workloads for cloud operations management 2016 IEEE International Conference on Big Data (Big Data). 10.1109/BigData.2016.7840840. 978-1-4673-9005-7. (2121-2126).

    http://ieeexplore.ieee.org/document/7840840/

  • Dysart T, Kogge P, Deneroff M, Bovell E, Briggs P, Brockman J, Jacobsen K, Juan Y, Kuntz S, Lethin R, McMahon J, Pawar C, Perrigo M, Rucker S, Ruttenberg J, Ruttenberg M and Stein S. Highly scalable near memory processing with migrating threads on the emu system architecture. Proceedings of the Sixth Workshop on Irregular Applications: Architectures and Algorithms. (2-9).

    /doi/10.5555/3018843.3018845

  • LeBeane M, Potter B, Pan A, Dutu A, Agarwala V, Lee W, Majeti D, Ghimire B, Van Tassell E, Wasmundt S, Benton B, Breternitz M, Chu M, Thottethodi M, John L and Reinhardt S. Extended task queuing. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. (1-12).

    /doi/10.5555/3014904.3015012

  • LeBeane M, Potter B, Pan A, Dutu A, Agarwala V, Lee W, Majeti D, Ghimire B, Tassell E, Wasmundt S, Benton B, Breternitz M, Chu M, Thottethodi M, John L and Reinhardt S. (2016). Extended Task Queuing: Active Messages for Heterogeneous Systems SC16: International Conference for High Performance Computing, Networking, Storage and Analysis. 10.1109/SC.2016.79. 978-1-4673-8815-3. (933-944).

    http://ieeexplore.ieee.org/document/7877158/

  • Dysart T, Kogge P, Deneroff M, Bovell E, Briggs P, Brockman J, Jacobsen K, Juan Y, Kuntz S, Lethin R, McMahon J, Pawar C, Perrigo M, Rucker S, Ruttenberg J, Ruttenberg M and Stein S. (2016). Highly Scalable Near Memory Processing with Migrating Threads on the Emu System Architecture 2016 6th Workshop on Irregular Applications: Architecture and Algorithms (IA3). 10.1109/IA3.2016.007. 978-1-5090-3867-1. (2-9).

    http://ieeexplore.ieee.org/document/7833297/

  • Ham T, Wu L, Sundaram N, Satish N and Martonosi M. Graphicionado. The 49th Annual IEEE/ACM International Symposium on Microarchitecture. (1-13).

    /doi/10.5555/3195638.3195707

  • Zhan J, Akgun I, Zhao J, Davis A, Faraboschi P, Wang Y and Xie Y. A unified memory network architecture for in-memory computing in commodity servers. The 49th Annual IEEE/ACM International Symposium on Microarchitecture. (1-14).

    /doi/10.5555/3195638.3195673

  • Gao M, Delimitrou C, Niu D, Malladi K, Zheng H, Brennan B and Kozyrakis C. (2016). DRAF. ACM SIGARCH Computer Architecture News. 44:3. (506-518). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001191

  • Hashemi M, Khubaib , Ebrahimi E, Mutlu O and Patt Y. (2016). Accelerating dependent cache misses with an enhanced memory controller. ACM SIGARCH Computer Architecture News. 44:3. (444-455). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001184

  • Kim D, Kung J, Chai S, Yalamanchili S and Mukhopadhyay S. (2016). Neurocube. ACM SIGARCH Computer Architecture News. 44:3. (380-392). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001178

  • Hsieh K, Ebrahimi E, Kim G, Chatterjee N, O'Connor M, Vijaykumar N, Mutlu O and Keckler S. (2016). Transparent offloading and mapping (TOM). ACM SIGARCH Computer Architecture News. 44:3. (204-216). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001159

  • Magaki I, Khazraee M, Gutierrez L and Taylor M. (2016). ASIC clouds. ACM SIGARCH Computer Architecture News. 44:3. (178-190). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001156

  • Ozdal M, Yesil S, Kim T, Ayupov A, Greth J, Burns S and Ozturk O. (2016). Energy efficient architecture for graph analytics accelerators. ACM SIGARCH Computer Architecture News. 44:3. (166-177). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001155

  • Chi P, Li S, Xu C, Zhang T, Zhao J, Liu Y, Wang Y and Xie Y. (2016). PRIME. ACM SIGARCH Computer Architecture News. 44:3. (27-39). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001140

  • Zhang K, Yu L, Chang Y, Zhao R, Zhang H, Zhang L, Chen M and McKee S. Co-DIMM. Proceedings of the Second International Symposium on Memory Systems. (133-141).

    https://doi.org/10.1145/2989081.2989112

  • Zhu Y, Wang B, Li D and Zhao J. Integrated Thermal Analysis for Processing In Die-Stacking Memory. Proceedings of the Second International Symposium on Memory Systems. (402-414).

    https://doi.org/10.1145/2989081.2989093

  • Mutlu O. Rethinking memory system design. Proceedings of the 27th International Symposium on Rapid System Prototyping: Shortening the Path from Specification to Prototype. (1-1).

    https://doi.org/10.1145/2990299.2990300

  • Ham T, Wu L, Sundaram N, Satish N and Martonosi M. (2016). Graphicionado: A high-performance and energy-efficient accelerator for graph analytics 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO.2016.7783759. 978-1-5090-3508-3. (1-13).

    http://ieeexplore.ieee.org/document/7783759/

  • Zhan J, Akgun I, Zhao J, Davis A, Faraboschi P, Wang Y and Xie Y. (2016). A unified memory network architecture for in-memory computing in commodity servers 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO.2016.7783732. 978-1-5090-3508-3. (1-14).

    http://ieeexplore.ieee.org/document/7783732/

  • Kwon J, Hwang T and Kim D. (2016). Emulation of processing in memory architecture for application development 2016 International SoC Design Conference (ISOCC). 10.1109/ISOCC.2016.7799848. 978-1-5090-3219-8. (183-184).

    http://ieeexplore.ieee.org/document/7799848/

  • Hsieh K, Khan S, Vijaykumar N, Chang K, Boroumand A, Ghose S and Mutlu O. (2016). Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation 2016 IEEE 34th International Conference on Computer Design (ICCD). 10.1109/ICCD.2016.7753257. 978-1-5090-5142-7. (25-32).

    http://ieeexplore.ieee.org/document/7753257/

  • Hong B, Kim G, Ahn J, Kwon Y, Kim H and Kim J. Accelerating Linked-list Traversal Through Near-Data Processing. Proceedings of the 2016 International Conference on Parallel Architectures and Compilation. (113-124).

    https://doi.org/10.1145/2967938.2967958

  • Pattnaik A, Tang X, Jog A, Kayiran O, Mishra A, Kandemir M, Mutlu O and Das C. Scheduling Techniques for GPU Architectures with Processing-In-Memory Capabilities. Proceedings of the 2016 International Conference on Parallel Architectures and Compilation. (31-44).

    https://doi.org/10.1145/2967938.2967940

  • Mutlu O. (2016). Rethinking Memory System Design 2016 Mobile System Technologies Workshop (MST). 10.1109/MST.2016.12. 978-1-5090-4644-7. (1-3).

    http://ieeexplore.ieee.org/document/7946324/

  • Wang B, Torres M, Li D, Zhao J and Rusu F. (2016). Performance Implications of Processing-in-Memory Designs on Data-Intensive Applications 2016 45th International Conference on Parallel Processing Workshops (ICPPW). 10.1109/ICPPW.2016.31. 978-1-5090-2825-2. (115-122).

    http://ieeexplore.ieee.org/document/7576460/

  • Gao M, Delimitrou C, Niu D, Malladi K, Zheng H, Brennan B and Kozyrakis C. DRAF. Proceedings of the 43rd International Symposium on Computer Architecture. (506-518).

    https://doi.org/10.1109/ISCA.2016.51

  • Hashemi M, Khubaib , Ebrahimi E, Mutlu O and Patt Y. Accelerating dependent cache misses with an enhanced memory controller. Proceedings of the 43rd International Symposium on Computer Architecture. (444-455).

    https://doi.org/10.1109/ISCA.2016.46

  • Kim D, Kung J, Chai S, Yalamanchili S and Mukhopadhyay S. Neurocube. Proceedings of the 43rd International Symposium on Computer Architecture. (380-392).

    https://doi.org/10.1109/ISCA.2016.41

  • Hsieh K, Ebrahimi E, Kim G, Chatterjee N, O'Connor M, Vijaykumar N, Mutlu O and Keckler S. Transparent offloading and mapping (TOM). Proceedings of the 43rd International Symposium on Computer Architecture. (204-216).

    https://doi.org/10.1109/ISCA.2016.27

  • Magaki I, Khazraee M, Gutierrez L and Taylor M. ASIC clouds. Proceedings of the 43rd International Symposium on Computer Architecture. (178-190).

    https://doi.org/10.1109/ISCA.2016.25

  • Ozdal M, Yesil S, Kim T, Ayupov A, Greth J, Burns S and Ozturk O. Energy efficient architecture for graph analytics accelerators. Proceedings of the 43rd International Symposium on Computer Architecture. (166-177).

    https://doi.org/10.1109/ISCA.2016.24

  • Chi P, Li S, Xu C, Zhang T, Zhao J, Liu Y, Wang Y and Xie Y. PRIME. Proceedings of the 43rd International Symposium on Computer Architecture. (27-39).

    https://doi.org/10.1109/ISCA.2016.13

  • Li S, Xu C, Zou Q, Zhao J, Lu Y and Xie Y. Pinatubo. Proceedings of the 53rd Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2897937.2898064

  • Panda R, Eckert Y, Jayasena N, Kayiran O, Boyer M and John L. Prefetching Techniques for Near-memory Throughput Processors. Proceedings of the 2016 International Conference on Supercomputing. (1-14).

    https://doi.org/10.1145/2925426.2926282

  • Gu P, Li S, Stow D, Barnes R, Liu L, Xie Y and Kursun E. Leveraging 3D Technologies for Hardware Security. Proceedings of the 26th edition on Great Lakes Symposium on VLSI. (347-352).

    https://doi.org/10.1145/2902961.2903512

  • Aguilera P, Zhang D, Kim N and Jayasena N. (2016). Fine-Grained Task Migration for Graph Algorithms Using Processing in Memory 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW). 10.1109/IPDPSW.2016.205. 978-1-5090-3682-0. (489-498).

    http://ieeexplore.ieee.org/document/7529907/

  • Azarkhish E, Rossi D, Loi I and Benini L. Design and Evaluation of a Processing-in-Memory Architecture for the Smart Memory Cube. Proceedings of the 29th International Conference on Architecture of Computing Systems -- ARCS 2016 - Volume 9637. (19-31).

    https://doi.org/10.1007/978-3-319-30695-7_2

  • Alves M, Diener M, Santos P and Carro L. Large vector extensions inside the HMC. Proceedings of the 2016 Conference on Design, Automation & Test in Europe. (1249-1254).

    /doi/10.5555/2971808.2972100

  • Lee J, Ahn J and Choi K. Buffered compares. Proceedings of the 2016 Conference on Design, Automation & Test in Europe. (1243-1248).

    /doi/10.5555/2971808.2972099

  • Gao M and Kozyrakis C. (2016). HRL: Efficient and flexible reconfigurable logic for near-data processing 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2016.7446059. 978-1-4673-9211-2. (126-137).

    http://ieeexplore.ieee.org/document/7446059/

  • Dai G, Chi Y, Wang Y and Yang H. FPGP. Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. (105-110).

    https://doi.org/10.1145/2847263.2847339

  • Ahn J, Yoo S, Mutlu O and Choi K. (2015). PIM-enabled instructions. ACM SIGARCH Computer Architecture News. 43:3S. (336-348). Online publication date: 4-Jan-2016.

    https://doi.org/10.1145/2872887.2750385

  • Balasubramonian R. Making the Case for Feature-Rich Memory Systems: The March Toward Specialized Systems. IEEE Solid-State Circuits Magazine. 10.1109/MSSC.2016.2546198. 8:2. (57-65).

    http://ieeexplore.ieee.org/document/7495045/

  • Mutlu O. Rethinking Memory System Design (along with Interconnects). Proceedings of the 8th International Workshop on Network on Chip Architectures. (1-1).

    https://doi.org/10.1145/2835512.2835520

  • Ren J, Zhao J, Khan S, Choi J, Wu Y and Mutlu O. ThyNVM. Proceedings of the 48th International Symposium on Microarchitecture. (672-685).

    https://doi.org/10.1145/2830772.2830802

  • Yesil S, Ozdal M, Kim T, Ayupov A, Burns S and Ozturk O. Hardware Accelerator Design for Data Centers. Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. (770-775).

    /doi/10.5555/2840819.2840926

  • Yesil S, Ozdal M, Kim T, Ayupov A, Burns S and Ozturk O. (2015). Hardware accelerator design for data centers 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2015.7372648. 978-1-4673-8388-2. (770-775).

    http://ieeexplore.ieee.org/document/7372648/

  • Choi I, Yang W and Kee Y. Early experience with optimizing I/O performance using high-performance SSDs for in-memory cluster computing. Proceedings of the 2015 IEEE International Conference on Big Data (Big Data). (1073-1083).

    https://doi.org/10.1109/BigData.2015.7363861

  • Gao M, Ayers G and Kozyrakis C. Practical Near-Data Processing for In-Memory Analytics Frameworks. Proceedings of the 2015 International Conference on Parallel Architecture and Compilation (PACT). (113-124).

    https://doi.org/10.1109/PACT.2015.22

  • Pugsley S, Deb A, Balasubramonian R and Li F. Fixed-function hardware sorting accelerators for near data MapReduce execution. Proceedings of the 2015 33rd IEEE International Conference on Computer Design (ICCD). (439-442).

    https://doi.org/10.1109/ICCD.2015.7357143

  • Nai L and Kim H. Instruction Offloading with HMC 2.0 Standard. Proceedings of the 2015 International Symposium on Memory Systems. (258-261).

    https://doi.org/10.1145/2818950.2818982

  • Seshadri V, Hsieh K, Boroumand A, Lee D, Kozuch M, Mutlu O, Gibbons P and Mowry T. (2015). Fast Bulk Bitwise AND and OR in DRAM. IEEE Computer Architecture Letters. 14:2. (127-131). Online publication date: 1-Jul-2015.

    https://doi.org/10.1109/LCA.2015.2434872

  • Ahn J, Yoo S, Mutlu O and Choi K. PIM-enabled instructions. Proceedings of the 42nd Annual International Symposium on Computer Architecture. (336-348).

    https://doi.org/10.1145/2749469.2750385

  • Shi S, Nahrstedt K and Campbell R. (2012). A real-time remote rendering system for interactive mobile graphics. ACM Transactions on Multimedia Computing, Communications, and Applications. 8:3s. (1-20). Online publication date: 1-Sep-2012.

    https://doi.org/10.1145/2348816.2348825

  • Ji R, Yu F, Zhang T and Chang S. (2012). Active query sensing. ACM Transactions on Multimedia Computing, Communications, and Applications. 8:3s. (1-21). Online publication date: 1-Sep-2012.

    https://doi.org/10.1145/2348816.2348819

  • Wu W, Arefin A, Kurillo G, Agarwal P, Nahrstedt K and Bajcsy R. (2012). CZLoD. ACM Transactions on Multimedia Computing, Communications, and Applications. 8:3s. (1-21). Online publication date: 1-Sep-2012.

    https://doi.org/10.1145/2348816.2348818

  • Minomo Y, Kakehi Y, Iida M and Naemura T. (2006). Transforming your shadow into colorful visual media. Computers in Entertainment . 4:3. (10-es). Online publication date: 1-Jul-2006.

    https://doi.org/10.1145/1146816.1146832

  • Hasegawa S, Toshiaki I, Hashimoto N, Salvati M, Mitake H, Koike Y and Sato M. (2006). Human-scale haptic interaction with a reactive virtual human in a real-time physics simulator. Computers in Entertainment. 4:3. (9-es). Online publication date: 1-Jul-2006.

    https://doi.org/10.1145/1146816.1146831

  • Kiernan J and Carey M. (1995). Extending SQL-92 for OODB access. ACM SIGPLAN Notices. 30:10. (467-480). Online publication date: 17-Oct-1995.

    https://doi.org/10.1145/217839.217883

  • Haines M, Mehrotra P and Van Rosendale J. (1995). SmartFiles. ACM SIGPLAN Notices. 30:10. (453-466). Online publication date: 17-Oct-1995.

    https://doi.org/10.1145/217839.217882

  • Vayda T. (1995). Lessons from the battlefield. ACM SIGPLAN Notices. 30:10. (439-452). Online publication date: 17-Oct-1995.

    https://doi.org/10.1145/217839.217881

  • Barton D and Arnold T. (1995). Evolving to objects—the Witches' Brew. ACM SIGPLAN Notices. 30:10. (414-425). Online publication date: 17-Oct-1995.

    https://doi.org/10.1145/217839.217879

  • Kristensen A and Low C. (1995). Problem-oriented object memory. ACM SIGPLAN Notices. 30:10. (399-413). Online publication date: 17-Oct-1995.

    https://doi.org/10.1145/217839.217878

  • Tian T, Wang X, Zhao L, Wu W, Zhang X, Lu F, Wang T and Jin X. G-Nmp: Accelerating Graph Neural Networks with Dimm-Based Near-Memory Processing. SSRN Electronic Journal. 10.2139/ssrn.4007736.

    https://www.ssrn.com/abstract=4007736

  • Zhu J, Yuan Y, Nie L, Tang W, Li M, Wu H, Zhao X, Xing G and Zhang F. A 28 nm 75.6 KOPS 13 nJ Computing-in-Memory Pipeline Number Theoretic Transform Accelerator for PQC. IEEE Transactions on Circuits and Systems II: Express Briefs. 10.1109/TCSII.2024.3481996. 72:1. (273-277).

    https://ieeexplore.ieee.org/document/10720080/

  • Rhe J, Jeon K, Lee J, Jeong S and Ko J. KERNTROL: Kernel Shape Control Toward Ultimate Memory Utilization for In-Memory Convolutional Weight Mapping. IEEE Transactions on Circuits and Systems I: Regular Papers. 10.1109/TCSI.2024.3365175. 71:12. (6138-6151).

    https://ieeexplore.ieee.org/document/10443813/

  • Song C, Ye C, Sim Y and Jeong D. (2024). Hardware for Deep Learning Acceleration. Advanced Intelligent Systems. 10.1002/aisy.202300762. 6:10. Online publication date: 1-Oct-2024.

    https://onlinelibrary.wiley.com/doi/10.1002/aisy.202300762

  • Noh S, Hong J, Lim C, Park S, Kim J, Kim H, Kim Y and Lee J. (2024). PID-Comm: A Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA59077.2024.00027. 979-8-3503-2658-1. (245-260).

    https://ieeexplore.ieee.org/document/10609669/

  • Yüksel İ, Tuğrul Y, Bostancı F, Oliveira G, Yağlıkçı A, Olgun A, Soysal M, Luo H, Gómez-Luna J, Sadrosadati M and Mutlu O. (2024). Simultaneous Many-Row Activation in Off-the-Shelf DRAM Chips: Experimental Characterization and Analysis 2024 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). 10.1109/DSN58291.2024.00024. 979-8-3503-4105-8. (99-114).

    https://ieeexplore.ieee.org/document/10647021/

  • Jiang Q, Tan S, Chen J and An H. (2024). A 3 PIM: An Automated, Analytic and Accurate Processing-in-Memory Offloader 2024 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE58400.2024.10546698. 978-3-9819263-8-5. (1-6).

    https://ieeexplore.ieee.org/document/10546698/

  • Jang H, Song J, Jung J, Park J, Kim Y and Lee J. (2024). Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00034. 979-8-3503-9313-2. (345-360).

    https://ieeexplore.ieee.org/document/10476401/

  • Yüksel İ, Tuğrul Y, Olgun A, Bostancı F, Yağlıkçı A, Oliveira G, Luo H, Gómez-Luna J, Sadrosadati M and Mutlu O. (2024). Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00030. 979-8-3503-9313-2. (280-296).

    https://ieeexplore.ieee.org/document/10476435/

  • Oliveira G, Olgun A, Yağlıkçı A, Bostancı F, Gómez-Luna J, Ghose S and Mutlu O. (2024). MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA57654.2024.00024. 979-8-3503-9313-2. (186-203).

    https://ieeexplore.ieee.org/document/10476478/

  • Fernandez I, Giannoula C, Manglik A, Quislant R, Ghiasi N, Gómez-Luna J, Gutierrez E, Plata O and Mutlu O. MATSA: An MRAM-Based Energy-Efficient Accelerator for Time Series Analysis. IEEE Access. 10.1109/ACCESS.2024.3373311. 12. (36727-36742).

    https://ieeexplore.ieee.org/document/10458946/

  • Singhal S, Crasta C, Abdulla K M, Barmawer F, Bhat G, Rao R, P N S and Rajak R. (2023). OpenFAM: Programming disaggregated memory. Concurrency and Computation: Practice and Experience. 10.1002/cpe.7910. 35:28. Online publication date: 25-Dec-2023.

    https://onlinelibrary.wiley.com/doi/10.1002/cpe.7910

  • Rhe J, Jeon K, Lee J, Jeong S and Ko J. (2023). Kernel Shape Control for Row-Efficient Convolution on Processing-In-Memory Arrays 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD). 10.1109/ICCAD57390.2023.10323749. 979-8-3503-2225-5. (1-9).

    https://ieeexplore.ieee.org/document/10323749/

  • Gupta H, Kabra M, Gómez-Luna J, Kanellopoulos K and Mutlu O. (2023). Evaluating Homomorphic Operations on a Real-World Processing-In-Memory System 2023 IEEE International Symposium on Workload Characterization (IISWC). 10.1109/IISWC59245.2023.00030. 979-8-3503-0317-9. (211-215).

    https://ieeexplore.ieee.org/document/10289253/

  • Dann J, Ritter D and Fröning H. (2023). GraphScale: Scalable Processing on FPGAs for HBM and Large Graphs. ACM Transactions on Reconfigurable Technology and Systems. 0:0.

    https://doi.org/10.1145/3616497

  • Nam Y, Zhou M, Gupta S, De Micheli G, Cammarota R, Wilkerson C, Micciancio D and Rosing T. (2023). Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED58423.2023.10244262. 979-8-3503-1175-4. (1-6).

    https://ieeexplore.ieee.org/document/10244262/

  • Seo H, Ryu J, Lee S, Jeon K, Sohn H, Kim G and Yang M. (2023). Analogue Artificial Synaptic Performance of Self‐Rectifying Resistive Switching Device. Advanced Electronic Materials. 10.1002/aelm.202300165. 9:8. Online publication date: 1-Aug-2023.

    https://onlinelibrary.wiley.com/doi/10.1002/aelm.202300165

  • Naghibijouybari H, Koruyeh E and Abu-Ghazaleh N. (2022). Microarchitectural Attacks in Heterogeneous Systems: A Survey. ACM Computing Surveys. 55:7. (1-40). Online publication date: 31-Jul-2023.

    https://doi.org/10.1145/3544102

  • Giannoula C, Peppas A, Goumas G and Koziris N. (2022). High-performance and balanced parallel graph coloring on multicore platforms. The Journal of Supercomputing. 10.1007/s11227-022-04894-6. 79:6. (6373-6421). Online publication date: 1-Apr-2023.

    https://link.springer.com/10.1007/s11227-022-04894-6

  • Yoo M, Song J, Lee J, Kim N, Kim Y and Lee J. (2023). SGCN: Exploiting Compressed-Sparse Features in Deep Graph Convolutional Network Accelerators 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071102. 978-1-6654-7652-2. (1-14).

    https://ieeexplore.ieee.org/document/10071102/

  • Peng X, Wang Y and Yang M. (2023). CHOPPER: A Compiler Infrastructure for Programmable Bit-serial SIMD Processing Using Memory in DRAM 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA56546.2023.10071070. 978-1-6654-7652-2. (1275-1288).

    https://ieeexplore.ieee.org/document/10071070/

  • Afarin M, Gao C, Rahman S, Abu-Ghazaleh N and Gupta R. CommonGraph: Graph Analytics on Evolving Data. Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2. (133-145).

    https://doi.org/10.1145/3575693.3575713

  • Denzler A, Oliveira G, Hajinazar N, Bera R, Singh G, Gómez-Luna J and Mutlu O. Casper: Accelerating Stencil Computations Using Near-Cache Processing. IEEE Access. 10.1109/ACCESS.2023.3252002. 11. (22136-22154).

    https://ieeexplore.ieee.org/document/10058509/

  • Li G, Ding Y and Xie Y. (2023). Towards Efficient Superconducting Quantum Processor Architecture Design. Design Automation of Quantum Computers. 10.1007/978-3-031-15699-1_3. (41-68).

    https://link.springer.com/10.1007/978-3-031-15699-1_3

  • Liu Y, Wang L, Qouneh A and Fu X. (2022). Enabling PIM-based AES encryption for online video streaming. Journal of Systems Architecture. 10.1016/j.sysarc.2022.102734. 132. (102734). Online publication date: 1-Nov-2022.

    https://linkinghub.elsevier.com/retrieve/pii/S1383762122002193

  • He X, Chen K, Feng S, Kim H, Blaauw D, Dreslinski R and Mudge T. Squaring the circle. Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. (148-159).

    https://doi.org/10.1145/3559009.3569665

  • Huangfu W, Malladi K, Chang A and Xie Y. BEACON: Scalable Near-Data-Processing Accelerators for Genome Analysis near Memory Pool with the CXL Support. Proceedings of the 55th Annual IEEE/ACM International Symposium on Microarchitecture. (727-743).

    https://doi.org/10.1109/MICRO56248.2022.00057

  • Popov A, Ibragimov S and Dubrovin E. Teragraph Heterogeneous System for Ultra-large Graph Processing. Supercomputing. (574-590).

    https://doi.org/10.1007/978-3-031-22941-1_42

  • Dann J, Ritter D and Froning H. (2022). GraphScale: Scalable Bandwidth-Efficient Graph Processing on FPGAs 2022 32nd International Conference on Field-Programmable Logic and Applications (FPL). 10.1109/FPL57034.2022.00016. 978-1-6654-7390-3. (24-32).

    https://ieeexplore.ieee.org/document/10035253/

  • Peccerillo B, Mannino M, Mondelli A and Bartolini S. (2022). A survey on hardware accelerators: Taxonomy, trends, challenges, and perspectives. Journal of Systems Architecture. 10.1016/j.sysarc.2022.102561. 129. (102561). Online publication date: 1-Aug-2022.

    https://linkinghub.elsevier.com/retrieve/pii/S1383762122001138

  • Cérin C, Kimura K and Sow M. (2022). Data stream clustering for low-cost machines. Journal of Parallel and Distributed Computing. 166:C. (57-70). Online publication date: 1-Aug-2022.

    https://doi.org/10.1016/j.jpdc.2022.04.009

  • Zahedi M, Lebdeh M, Bengel C, Wouters D, Menzel S, Le Gallo M, Sebastian A, Wong S and Hamdioui S. (2022). MNEMOSENE: Tile Architecture and Simulator for Memristor-based Computation-in-memory. ACM Journal on Emerging Technologies in Computing Systems. 18:3. (1-24). Online publication date: 31-Jul-2022.

    https://doi.org/10.1145/3485824

  • Jeon K, Ryu J, Jeong D and Kim G. (2022). Dot‐Product Operation in Crossbar Array Using a Self‐Rectifying Resistive Device. Advanced Materials Interfaces. 10.1002/admi.202200392. 9:20. Online publication date: 1-Jul-2022.

    https://onlinelibrary.wiley.com/doi/10.1002/admi.202200392

  • Athanasios T, Georgios D and Georgios S. (2022). Low-power Near-data Instruction Execution Leveraging Opcode-based Timing Analysis. ACM Transactions on Architecture and Code Optimization. 19:2. (1-26). Online publication date: 30-Jun-2022.

    https://doi.org/10.1145/3504005

  • Zou Z, Chen H, Poduval P, Kim Y, Imani M, Sadredini E, Cammarota R and Imani M. BioHD. Proceedings of the 49th Annual International Symposium on Computer Architecture. (656-669).

    https://doi.org/10.1145/3470496.3527422

  • Shim W and Yu S. GP3D: 3D NAND Based In-Memory Graph Processing Accelerator. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2022.3155654. 12:2. (500-507).

    https://ieeexplore.ieee.org/document/9723044/

  • Jayabalan J and Jeyanthi N. (2022). Scalable blockchain model using off-chain IPFS storage for healthcare data security and privacy. Journal of Parallel and Distributed Computing. 164:C. (152-167). Online publication date: 1-Jun-2022.

    https://doi.org/10.1016/j.jpdc.2022.03.009

  • Girolami M, Vitello P, Capponi A, Fiandrino C, Foschini L and Bellavista P. (2022). A mobility-based deployment strategy for edge data centers. Journal of Parallel and Distributed Computing. 164:C. (133-141). Online publication date: 1-Jun-2022.

    https://doi.org/10.1016/j.jpdc.2022.03.007

  • Cheng W, Luo M, Zeng L, Wang Y and Brinkmann A. (2022). Lifespan-based garbage collection to improve SSD's reliability and performance. Journal of Parallel and Distributed Computing. 164:C. (28-39). Online publication date: 1-Jun-2022.

    https://doi.org/10.1016/j.jpdc.2022.02.006

  • Barreiros W, Melo A, Kong J, Ferreira R, Kurc T, Saltz J and Teodoro G. (2022). Efficient microscopy image analysis on CPU-GPU systems with cost-aware irregular data partitioning. Journal of Parallel and Distributed Computing. 164:C. (40-54). Online publication date: 1-Jun-2022.

    https://doi.org/10.1016/j.jpdc.2022.02.004

  • Attiya H, Castañeda A, Hendler D and Perrin M. (2022). Separating lock-freedom from wait-freedom at every level of the consensus hierarchy. Journal of Parallel and Distributed Computing. 163:C. (181-197). Online publication date: 1-May-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.025

  • Venetis I and Provata A. (2022). Analysis of the Leaky Integrate-and-Fire neuron model for GPU implementation. Journal of Parallel and Distributed Computing. 163:C. (1-19). Online publication date: 1-May-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.021

  • Qiao W, Dong P, Du X, Zhang Y, Zhang H and Guizani M. (2022). QoS provision for vehicle big data by parallel transmission based on heterogeneous network characteristics prediction. Journal of Parallel and Distributed Computing. 163:C. (83-96). Online publication date: 1-May-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.018

  • Gou C, Benoit A, Chen M, Marchal L and Wei T. (2022). Mapping series-parallel streaming applications on hierarchical platforms with reliability and energy constraints. Journal of Parallel and Distributed Computing. 163:C. (45-61). Online publication date: 1-May-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.016

  • Gonzalez J, G. Palma M, Hattink M, Rubio-Noriega R, Orosa L, Mutlu O, Bergman K and Azevedo R. (2022). Optically connected memory for disaggregated data centers. Journal of Parallel and Distributed Computing. 163:C. (300-312). Online publication date: 1-May-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.013

  • Zhang Y, Jia Z, Du H, Xue R, Shen Z and Shao Z. A Practical Highly Paralleled ReRAM-Based DNN Accelerator by Reusing Weight Pattern Repetitions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2021.3071116. 41:4. (922-935).

    https://ieeexplore.ieee.org/document/9395497/

  • Cordeiro A, Santos S, Moreira F, Santos P, Carro L and Alves M. (2022). Efficient Machine Learning execution with Near-Data Processing. Microprocessors & Microsystems. 90:C. Online publication date: 1-Apr-2022.

    https://doi.org/10.1016/j.micpro.2022.104435

  • Ouyang X and Zhu Y. (2022). Core-aware combining. Journal of Parallel and Distributed Computing. 162:C. (27-43). Online publication date: 1-Apr-2022.

    https://doi.org/10.1016/j.jpdc.2022.01.001

  • Malkis A. (2022). Reachability in parallel programs is polynomial in the number of threads. Journal of Parallel and Distributed Computing. 162:C. (1-16). Online publication date: 1-Apr-2022.

    https://doi.org/10.1016/j.jpdc.2021.11.008

  • Wang Z, She Q and Ward T. (2021). Generative Adversarial Networks in Computer Vision. ACM Computing Surveys. 54:2. (1-38). Online publication date: 31-Mar-2022.

    https://doi.org/10.1145/3439723

  • Kadri N and Koudil M. (2022). Multi-objective biogeography-based optimization and reinforcement learning hybridization for network-on chip reliability improvement. Journal of Parallel and Distributed Computing. 161:C. (20-36). Online publication date: 1-Mar-2022.

    https://doi.org/10.1016/j.jpdc.2021.11.005

  • Bao L, Yang J, Wu C, Qi H, Zhang X and Cai S. (2022). XML2HBase. Journal of Parallel and Distributed Computing. 161:C. (83-99). Online publication date: 1-Mar-2022.

    https://doi.org/10.1016/j.jpdc.2021.11.003

  • Yantır H, Eltawil A and Salama K. (2022). A hardware/software co-design methodology for in-memory processors. Journal of Parallel and Distributed Computing. 161:C. (63-71). Online publication date: 1-Mar-2022.

    https://doi.org/10.1016/j.jpdc.2021.10.009

  • Eldstål-Ahrens A, Arelakis A and Sourdis I. (2022). L2C: Combining Lossy and Lossless Compression on Memory and I/O. ACM Transactions on Embedded Computing Systems. 21:1. (1-27). Online publication date: 31-Jan-2022.

    https://doi.org/10.1145/3481641

  • Duy K and Lee H. SE-PIM: In-Memory Acceleration of Data-Intensive Confidential Computing. IEEE Transactions on Cloud Computing. 10.1109/TCC.2022.3207145. (1-18).

    https://ieeexplore.ieee.org/document/9906059/

  • Choi J, Kim B, Jeon J, Lee H, Lim E and Rhee C. A Lightweight and Efficient GPU for NDP Utilizing Data Access Pattern of Image Processing. IEEE Transactions on Computers. 10.1109/TC.2020.3035826. 71:1. (13-26).

    https://ieeexplore.ieee.org/document/9248593/

  • Shahroodi T, Zahedi M, Firtina C, Alser M, Wong S, Mutlu O and Hamdioui S. Demeter: A Fast and Energy-Efficient Food Profiler Using Hyperdimensional Computing in Memory. IEEE Access. 10.1109/ACCESS.2022.3195878. 10. (82493-82510).

    https://ieeexplore.ieee.org/document/9847238/

  • Manocha A, Sorensen T, Tureci E, Matthews O, Aragón J and Martonosi M. (2021). GraphAttack. ACM Transactions on Architecture and Code Optimization. 10.1145/3469846. 18:4. (1-26). Online publication date: 31-Dec-2022.

    https://dl.acm.org/doi/10.1145/3469846

  • Song X, Xie T and Fischer S. (2021). Two Reconfigurable NDP Servers: Understanding the Impact of Near-Data Processing on Data Center Applications. ACM Transactions on Storage. 17:4. (1-27). Online publication date: 30-Nov-2021.

    https://doi.org/10.1145/3460201

  • Wang Z, Hong Q and Wang X. A Memristive Circuit Implementation of Eyes State Detection in Fatigue Driving Based on Biological Long Short-Term Memory Rule. IEEE/ACM Transactions on Computational Biology and Bioinformatics. 10.1109/TCBB.2020.2974944. 18:6. (2218-2229).

    https://ieeexplore.ieee.org/document/9001227/

  • Basak A, Qu Z, Lin J, Alameldeen A, Chishti Z, Ding Y and Xie Y. Improving Streaming Graph Processing Performance using Input Knowledge. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. (1036-1050).

    https://doi.org/10.1145/3466752.3480096

  • Rucker A, Vilim M, Zhao T, Zhang Y, Prabhakar R and Olukotun K. Capstan: A Vector RDA for Sparsity. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. (1022-1035).

    https://doi.org/10.1145/3466752.3480047

  • Ravichandiran P and Franzon P. (2021). A Review of 3D-Dynamic Random-Access Memory based Near-Memory Computation 2021 IEEE International 3D Systems Integration Conference (3DIC). 10.1109/3DIC52383.2021.9687615. 978-1-6654-1706-8. (1-6).

    https://ieeexplore.ieee.org/document/9687615/

  • Lü Y, Guo H, Huang L, Yu Q, Shen L, Xiao N and Wang Z. (2021). GraphPEG. ACM Transactions on Architecture and Code Optimization. 18:3. (1-24). Online publication date: 30-Sep-2021.

    https://doi.org/10.1145/3450440

  • Zhang Y, Liu B, Jia Z, Chen R and Shen Z. (2021). An efficient highly parallelized ReRAM-based architecture for motion estimation of HEVC. Journal of Systems Architecture: the EUROMICRO Journal. 117:C. Online publication date: 1-Aug-2021.

    https://doi.org/10.1016/j.sysarc.2021.102123

  • Bandle M and Giceva J. (2021). Database technology for the masses. Proceedings of the VLDB Endowment. 14:11. (2483-2490). Online publication date: 1-Jul-2021.

    https://doi.org/10.14778/3476249.3476296

  • Dann J, Ritter D and Fröning H. Demystifying memory access patterns of FPGA-based graph processing accelerators. Proceedings of the 4th ACM SIGMOD Joint International Workshop on Graph Data Management Experiences & Systems (GRADES) and Network Data Analytics (NDA). (1-10).

    https://doi.org/10.1145/3461837.3464512

  • Yang Y, Emer J and Sanchez D. SpZip. Proceedings of the 48th Annual International Symposium on Computer Architecture. (1069-1082).

    https://doi.org/10.1109/ISCA52012.2021.00087

  • Olgun A, Patel M, Yağlikçi A, Luo H, Kim J, Bostanci F, Vijaykumar N, Ergin O and Mutlu O. QUAC-TRNG. Proceedings of the 48th Annual International Symposium on Computer Architecture. (944-957).

    https://doi.org/10.1109/ISCA52012.2021.00078

  • Dadu V, Liu S and Nowatzki T. PolyGraph. Proceedings of the 48th Annual International Symposium on Computer Architecture. (595-608).

    https://doi.org/10.1109/ISCA52012.2021.00053

  • Orosa L, Wang Y, Sadrosadati M, Kim J, Patel M, Puddu I, Luo H, Razavi K, Gómez-Luna J, Hassan H, Mansouri-Ghiasi N, Ghose S and Mutlu O. CODIC. Proceedings of the 48th Annual International Symposium on Computer Architecture. (484-497).

    https://doi.org/10.1109/ISCA52012.2021.00045

  • Subramaniyan A, Wadden J, Goliya K, Ozog N, Wu X, Narayanasamy S, Blaauw D and Das R. Accelerated seeding for genome sequence alignment with enumerated radix trees. Proceedings of the 48th Annual International Symposium on Computer Architecture. (388-401).

    https://doi.org/10.1109/ISCA52012.2021.00038

  • Wu L, Sharifi R, Lenjani M, Skadron K and Venkat A. Sieve. Proceedings of the 48th Annual International Symposium on Computer Architecture. (251-264).

    https://doi.org/10.1109/ISCA52012.2021.00028

  • Sun W, Li Z, Yin S, Wei S and Liu L. ABC-DIMM. Proceedings of the 48th Annual International Symposium on Computer Architecture. (237-250).

    https://doi.org/10.1109/ISCA52012.2021.00027

  • Nori A, Bera R, Balachandran S, Rakshit J, Omer O, Abuhatzera A, Kuttanna B and Subramoney S. REDUCT: keep it close, keep it cool!. Proceedings of the 48th Annual International Symposium on Computer Architecture. (167-180).

    https://doi.org/10.1109/ISCA52012.2021.00022

  • Lee S, Kang S, Lee J, Kim H, Lee E, Seo S, Yoon H, Lee S, Lim K, Shin H, Kim J, O S, Iyer A, Wang D, Sohn K and Kim N. Hardware architecture and software stack for PIM based on commercial DRAM technology. Proceedings of the 48th Annual International Symposium on Computer Architecture. (43-56).

    https://doi.org/10.1109/ISCA52012.2021.00013

  • Zhuo Y, Chen J, Rao G, Luo Q, Wang Y, Yang H, Qian D and Qian X. (2021). Distributed Graph Processing System and Processing-in-memory Architecture with Precise Loop-carried Dependency Guarantee. ACM Transactions on Computer Systems. 10.1145/3453681. 37:1-4. (1-37). Online publication date: 1-Jun-2021.

    https://dl.acm.org/doi/10.1145/3453681

  • McCrabb A and Bertacco V. Optimizing Vertex Pressure Dynamic Graph Partitioning in Many-Core Systems. IEEE Transactions on Computers. 10.1109/TC.2021.3059386. 70:6. (936-949).

    https://ieeexplore.ieee.org/document/9354567/

  • Qian X. (2021). Graph processing and machine learning architectures with emerging memory technologies: a survey. Science China Information Sciences. 10.1007/s11432-020-3219-6. 64:6. Online publication date: 1-Jun-2021.

    https://link.springer.com/10.1007/s11432-020-3219-6

  • Das P and Kapoor H. (2021). CLU. ACM Journal on Emerging Technologies in Computing Systems. 17:2. (1-25). Online publication date: 30-Apr-2021.

    https://doi.org/10.1145/3427472

  • Cordeiro A, Santos S, Moreira F, Santos P, Carro L and Alves M. (2021). Machine Learning Migration for Efficient Near-Data Processing 2021 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP). 10.1109/PDP52278.2021.00041. 978-1-6654-1455-5. (212-219).

    https://ieeexplore.ieee.org/document/9407146/

  • Xie X, Liang Z, Gu P, Basak A, Deng L, Liang L, Hu X and Xie Y. (2021). SpaceA: Sparse Matrix Vector Multiplication on Processing-in-Memory Accelerator 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA51647.2021.00055. 978-1-6654-2235-2. (570-583).

    https://ieeexplore.ieee.org/document/9407163/

  • Neto N, Madnick S, Paula A and Borges N. (2021). Developing a Global Data Breach Database and the Challenges Encountered. Journal of Data and Information Quality. 13:1. (1-33). Online publication date: 28-Jan-2021.

    https://doi.org/10.1145/3439873

  • Loster M, Koumarelas I and Naumann F. (2021). Knowledge Transfer for Entity Resolution with Siamese Neural Networks. Journal of Data and Information Quality. 13:1. (1-25). Online publication date: 28-Jan-2021.

    https://doi.org/10.1145/3410157

  • Besta M, Fischer M, Kalavri V, Kapralov M and Hoefler T. Practice of Streaming Processing of Dynamic Graphs: Concepts, Models, and Systems. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2021.3131677. (1-1).

    https://ieeexplore.ieee.org/document/9629281/

  • Feliu J, Naithani A, Sahuquillo J, Petit S, Qureshi M and Eeckhout L. VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors. IEEE Transactions on Computers. 10.1109/TC.2021.3086069. (1-1).

    https://ieeexplore.ieee.org/document/9445600/

  • Li Z, Li B, Fan Z and Li H. RED: A ReRAM-Based Efficient Accelerator for Deconvolutional Computation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2020.2981055. 39:12. (4736-4747).

    https://ieeexplore.ieee.org/document/9037348/

  • Corbalán P and Picco G. (2020). Ultra-wideband Concurrent Ranging. ACM Transactions on Sensor Networks. 16:4. (1-41). Online publication date: 30-Nov-2020.

    https://doi.org/10.1145/3409477

  • Liu L, Zhu J, Li Z, Lu Y, Deng Y, Han J, Yin S and Wei S. (2019). A Survey of Coarse-Grained Reconfigurable Architecture and Design. ACM Computing Surveys. 52:6. (1-39). Online publication date: 30-Nov-2020.

    https://doi.org/10.1145/3357375

  • Zhang J, Zha Y, Beckwith N, Liu B and Li J. (2020). MEG. ACM Transactions on Reconfigurable Technology and Systems. 13:4. (1-24). Online publication date: 1-Oct-2020.

    https://doi.org/10.1145/3409114

  • Imani M, Pampana S, Gupta S, Zhou M, Kim Y and Rosing T. (2020). DUAL: Acceleration of Clustering Algorithms using Digital-based Processing In-Memory 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00039. 978-1-7281-7383-2. (356-371).

    https://ieeexplore.ieee.org/document/9251944/

  • Kim E and Kim H. Things to Consider to Enable Dynamic Graphs in Processing-in-Memory. Proceedings of the International Symposium on Memory Systems. (297-303).

    https://doi.org/10.1145/3422575.3422801

  • Lv J, Wang Y, Meng T and Xu C. NLC: An Efficient Caching Algorithm Based on Non-critical Path Least Counts for In-Memory Computing. Cloud Computing – CLOUD 2020. (80-95).

    https://doi.org/10.1007/978-3-030-59635-4_6

  • Wan Z, Dai G, Soh Y, Zhao J and Wang Y. An Order Sampling Processing-in-Memory Architecture for Approximate Graph Pattern Mining. Proceedings of the 2020 on Great Lakes Symposium on VLSI. (357-362).

    https://doi.org/10.1145/3386263.3406912

  • Ni J, Guo X and Cheng Y. SIP: Boosting Up Graph Computing by Separating the Irregular Property Data. Proceedings of the 2020 on Great Lakes Symposium on VLSI. (15-20).

    https://doi.org/10.1145/3386263.3406905

  • Basak A, Lin J, Lorica R, Xie X, Chishti Z, Alameldeen A and Xie Y. (2020). SAGA-Bench: Software and Hardware Characterization of Streaming Graph Analytics Workloads 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS48437.2020.00012. 978-1-7281-4798-7. (12-23).

    https://ieeexplore.ieee.org/document/9238598/

  • Yang C, Zheng L, Gui C and Jin H. (2020). Efficient FPGA-based graph processing with hybrid pull-push computational model. Frontiers of Computer Science. 10.1007/s11704-019-9020-5. 14:4. Online publication date: 1-Aug-2020.

    http://link.springer.com/10.1007/s11704-019-9020-5

  • Addisie A and Bertacco V. (2020). Centaur: Hybrid Processing in On/Off-chip Memory Architecture for Graph Analytics 2020 57th ACM/IEEE Design Automation Conference (DAC). 10.1109/DAC18072.2020.9218624. 978-1-7281-1085-1. (1-6).

    https://ieeexplore.ieee.org/document/9218624/

  • Yun J, Yoon S, Kim J and Kim S. (2020). Access pattern-based high-performance main memory system for graph processing on single machines. Future Generation Computer Systems. 10.1016/j.future.2020.03.015. 108. (560-573). Online publication date: 1-Jul-2020.

    https://linkinghub.elsevier.com/retrieve/pii/S0167739X19323945

  • Murray K, Petelin O, Zhong S, Wang J, Eldafrawy M, Legault J, Sha E, Graham A, Wu J, Walker M, Zeng H, Patros P, Luu J, Kent K and Betz V. (2020). VTR 8. ACM Transactions on Reconfigurable Technology and Systems. 13:2. (1-55). Online publication date: 30-Jun-2020.

    https://doi.org/10.1145/3388617

  • Besta M, Fischer M, Ben-Nun T, Stanojevic D, Licht J and Hoefler T. (2020). Substream-Centric Maximum Matchings on FPGA. ACM Transactions on Reconfigurable Technology and Systems. 13:2. (1-33). Online publication date: 30-Jun-2020.

    https://doi.org/10.1145/3377871

  • Garg T, Wasly S, Pellizzoni R and Kapre N. (2020). HopliteBuf. ACM Transactions on Reconfigurable Technology and Systems. 13:2. (1-35). Online publication date: 30-Jun-2020.

    https://doi.org/10.1145/3375899

  • Wu Y, Shen M, Chen Y and Zhou Y. Tuning applications for efficient GPU offloading to in-memory processing. Proceedings of the 34th ACM International Conference on Supercomputing. (1-12).

    https://doi.org/10.1145/3392717.3392760

  • He X, Pal S, Amarnath A, Feng S, Park D, Rovinski A, Ye H, Chen Y, Dreslinski R and Mudge T. Sparse-TPU. Proceedings of the 34th ACM International Conference on Supercomputing. (1-12).

    https://doi.org/10.1145/3392717.3392751

  • Gu P, Xie X, Ding Y, Chen G, Zhang W, Niu D and Xie Y. iPIM. Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture. (804-817).

    https://doi.org/10.1109/ISCA45697.2020.00071

  • Roberts J. (2020). Comment on "Datacenter Congestion Control: Identifying what is essential and making it practical" by Aisha Mushtaq, et al, CCR, July 2019. ACM SIGCOMM Computer Communication Review. 50:2. (64-64). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402423

  • Zilberman N and Moore A. (2020). Thoughts about Artifact Badging. ACM SIGCOMM Computer Communication Review. 50:2. (60-63). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402422

  • claffy k and Clark D. (2020). Workshop on Internet Economics (WIE 2019) report. ACM SIGCOMM Computer Communication Review. 50:2. (53-59). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402421

  • Holterbach T, Bü T, Rellstab T and Vanbever L. (2020). An Open Platform to Teach How the Internet Practically Works. ACM SIGCOMM Computer Communication Review. 50:2. (45-52). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402420

  • BoruOljira D, Grinnemo K, Brunstrom A and Taheri J. (2020). Validating the Sharing Behavior and Latency Characteristics of the L4S Architecture. ACM SIGCOMM Computer Communication Review. 50:2. (37-44). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402419

  • Zilberman N. (2020). An Artifact Evaluation of NDP. ACM SIGCOMM Computer Communication Review. 50:2. (32-36). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402418

  • Hoang N, Niaki A, Polychronakis M and Gill P. (2020). The web is still small after more than a decade. ACM SIGCOMM Computer Communication Review. 50:2. (24-31). Online publication date: 22-May-2020.

    https://doi.org/10.1145/3402413.3402417

  • Bhattacharjee D, Tavva Y, Easwaran A and Chattopadhyay A. Crossbar-Constrained Technology Mapping for ReRAM Based In-Memory Computing. IEEE Transactions on Computers. 10.1109/TC.2020.2964671. 69:5. (734-748).

    https://ieeexplore.ieee.org/document/8951116/

  • Nguyen H, Yu J, Lebdeh M, Taouil M, Hamdioui S and Catthoor F. (2020). A Classification of Memory-Centric Computing. ACM Journal on Emerging Technologies in Computing Systems. 16:2. (1-26). Online publication date: 30-Apr-2020.

    https://doi.org/10.1145/3365837

  • Li G, Ding Y and Xie Y. Towards Efficient Superconducting Quantum Processor Architecture Design. Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. (1031-1045).

    https://doi.org/10.1145/3373376.3378500

  • Narayan A, Thonnart Y, Vivet P, Joshi A and Coskun A. (2020). System-level Evaluation of Chip-Scale Silicon Photonic Networks for Emerging Data-Intensive Applications 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE48585.2020.9116496. 978-3-9819263-4-7. (1444-1449).

    https://ieeexplore.ieee.org/document/9116496/

  • Hazarika A, Poddar S and Rahaman H. (2020). Survey on memory management techniques in heterogeneous computing systems. IET Computers & Digital Techniques. 10.1049/iet-cdt.2019.0092. 14:2. (47-60). Online publication date: 1-Mar-2020.

    https://onlinelibrary.wiley.com/doi/10.1049/iet-cdt.2019.0092

  • Fife R, Udoh I and Garcia P. (2020). Coherency overhead of Processing-in-Memory in the presence of shared data 2020 IEEE International Conference on Industrial Technology (ICIT). 10.1109/ICIT45562.2020.9067234. 978-1-7281-5754-2. (237-242).

    https://ieeexplore.ieee.org/document/9067234/

  • Zhang X, Song S, Xie C, Wang J, Zhang W and Fu X. (2020). Enabling Highly Efficient Capsule Networks Processing Through A PIM-Based Architecture Design 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA47549.2020.00051. 978-1-7281-6149-5. (542-555).

    https://ieeexplore.ieee.org/document/9065441/

  • Asgari B, Hadidi R, Krishna T, Kim H and Yalamanchili S. (2020). ALRESCHA: A Lightweight Reconfigurable Sparse-Computation Accelerator 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA47549.2020.00029. 978-1-7281-6149-5. (249-260).

    https://ieeexplore.ieee.org/document/9065572/

  • Song L, Chen F, Chen Y and Li H. Parallelism in Deep Learning Accelerators. Proceedings of the 25th Asia and South Pacific Design Automation Conference. (645-650).

    https://doi.org/10.1109/ASP-DAC47756.2020.9045206

  • Shantharama P, Thyagaturu A and Reisslein M. Hardware-Accelerated Platforms and Infrastructures for Network Functions: A Survey of Enabling Technologies and Research Studies. IEEE Access. 10.1109/ACCESS.2020.3008250. 8. (132021-132085).

    https://ieeexplore.ieee.org/document/9137119/

  • Liu H, Hua Q, Jin H and Zheng L. ReGra: Accelerating Graph Traversal Applications Using ReRAM With Lower Communication Cost. IEEE Access. 10.1109/ACCESS.2020.3003982. 8. (116605-116616).

    https://ieeexplore.ieee.org/document/9121925/

  • Lindquist W, Helal S, Khaled A, Kotonya G and Lee J. (2020). MAAT. Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies. 3:4. (1-22). Online publication date: 11-Dec-2019.

    https://doi.org/10.1145/3369823

  • Mendel T and Toch E. (2020). My Mom was Getting this Popup. Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies. 3:4. (1-20). Online publication date: 11-Dec-2019.

    https://doi.org/10.1145/3369821

  • Liu T, Nicholas J, Theilig M, Guntuku S, Kording K, Mohr D and Ungar L. (2020). Machine Learning for Phone-Based Relationship Estimation. Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies. 3:4. (1-23). Online publication date: 11-Dec-2019.

    https://doi.org/10.1145/3369820

  • Monga K, Chaturvedi N and Gurunarayanan S. (2019). Design of a Robust Logic Gate using Magnetic Tunnel Junction 2019 IEEE 16th India Council International Conference (INDICON). 10.1109/INDICON47234.2019.9030365. 978-1-7281-2327-1. (1-4).

    https://ieeexplore.ieee.org/document/9030365/

  • Mosayebi M, Hasani A and Dehyadegari M. (2019). Enhanced graph processing in PIM accelerators with improved queue management. Microelectronics Journal. 10.1016/j.mejo.2019.104637. 94. (104637). Online publication date: 1-Dec-2019.

    https://linkinghub.elsevier.com/retrieve/pii/S0026269218306177

  • Dittmann R and Strachan J. (2019). Redox-based memristive devices for new computing paradigm. APL Materials. 10.1063/1.5129101. 7:11. Online publication date: 1-Nov-2019.

    https://pubs.aip.org/apm/article/7/11/110903/122266/Redox-based-memristive-devices-for-new-computing

  • Huangfu W, Li X, Li S, Hu X, Gu P and Xie Y. MEDAL. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. (587-599).

    https://doi.org/10.1145/3352460.3358329

  • Nguyen H, Yu J, Lebdeh M, Taouil M and Hamdioui S. A computation-in-memory accelerator based on resistive devices. Proceedings of the International Symposium on Memory Systems. (19-32).

    https://doi.org/10.1145/3357526.3357554

  • Li J, Wang X, Tumeo A, Williams B, Leidel J and Chen Y. PIMS. Proceedings of the International Symposium on Memory Systems. (41-52).

    https://doi.org/10.1145/3357526.3357550

  • Rhee C, Park S, Choi J, Jung H and Lee H. (2019). Power-Time Exploration Tools for NMP-Enabled Systems. Electronics. 10.3390/electronics8101096. 8:10. (1096).

    https://www.mdpi.com/2079-9292/8/10/1096

  • Balasubramonian R. (2019). Innovations in the Memory System. Synthesis Lectures on Computer Architecture. 10.2200/S00933ED1V01Y201906CAC048. 14:2. (1-151). Online publication date: 10-Sep-2019.

    https://www.morganclaypool.com/doi/10.2200/S00933ED1V01Y201906CAC048

  • Gupta S, Imani M, Kaur H and Rosing T. (2019). NNPIM: A Processing In-Memory Architecture for Neural Network Acceleration. IEEE Transactions on Computers. 68:9. (1325-1337). Online publication date: 1-Sep-2019.

    https://doi.org/10.1109/TC.2019.2903055

  • Santos P, de Lima J, de Moura R, Ahmed H, Alves M, Beck A and Carro L. (2019). A Technologically Agnostic Framework for Cyber-Physical and IoT Processing-in-Memory-based Systems Simulation. Microprocessors and Microsystems. 10.1016/j.micpro.2019.06.002. 69. (101-111). Online publication date: 1-Sep-2019.

    https://linkinghub.elsevier.com/retrieve/pii/S0141933119300262

  • Jin K and Wilsey P. (2019). Guest Editorial for the TOMACS Special Issue on the Principles of Advanced Discrete Simulation (PADS). ACM Transactions on Modeling and Computer Simulation. 29:2. (1-2). Online publication date: 30-Apr-2019.

    https://doi.org/10.1145/3312749

  • Sun H, Liu W, Huang J and Shi W. (2019). Collaborative compaction optimization system using near-data processing for LSM-tree-based key-value stores. Journal of Parallel and Distributed Computing. 10.1016/j.jpdc.2019.04.011. Online publication date: 1-Apr-2019.

    https://linkinghub.elsevier.com/retrieve/pii/S0743731518308645

  • Angizi S, Sun J, Zhang W and Fan D. (2019). GraphS: A Graph Processing Accelerator Leveraging SOT-MRAM 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2019.8715270. 978-3-9819263-2-3. (378-383).

    https://ieeexplore.ieee.org/document/8715270/

  • Gui C, Zheng L, He B, Liu C, Chen X, Liao X and Jin H. (2019). A Survey on Graph Processing Accelerators: Challenges and Opportunities. Journal of Computer Science and Technology. 10.1007/s11390-019-1914-z. 34:2. (339-371). Online publication date: 1-Mar-2019.

    http://link.springer.com/10.1007/s11390-019-1914-z

  • Xu Z, Chen X, Shen J, Zhang Y, Chen C and Yang C. (2019). GARDENIA. ACM Journal on Emerging Technologies in Computing Systems. 15:1. (1-13). Online publication date: 31-Jan-2019.

    https://doi.org/10.1145/3283450

  • Zhang S, Su Y, Li X, Li R, Tian W, Hong J and You L. (2019). Spin-orbit-torque-driven multilevel switching in Ta/CoFeB/MgO structures without initialization. Applied Physics Letters. 10.1063/1.5079313. 114:4. Online publication date: 28-Jan-2019.

    https://pubs.aip.org/apl/article/114/4/042401/1022897/Spin-orbit-torque-driven-multilevel-switching-in

  • Song X, Xie T and Fischer S. (2019). A Near-Data Processing Server Architecture and Its Impact on Data Center Applications. High Performance Computing. 10.1007/978-3-030-20656-7_5. (81-98).

    http://link.springer.com/10.1007/978-3-030-20656-7_5

  • Qiu Y, Jiang C, Fan T, Wang Y, Zhang L, Wan J and Shi W. Power Characterization of Memory Intensive Applications: Analysis and Implications. Benchmarking, Measuring, and Optimizing. (189-201).

    https://doi.org/10.1007/978-3-030-32813-9_16

  • Lee J, Shi W and Gil J. (2018). Accelerated bulk memory operations on heterogeneous multi-core systems. The Journal of Supercomputing. 74:12. (6898-6922). Online publication date: 1-Dec-2018.

    https://doi.org/10.1007/s11227-018-2589-x

  • Qian C, Childers B, Huang L, Guo H and Wang Z. (2018). CGAcc: A Compressed Sparse Row Representation-Based BFS Graph Traversal Accelerator on Hybrid Memory Cube. Electronics. 10.3390/electronics7110307. 7:11. (307).

    https://www.mdpi.com/2079-9292/7/11/307

  • Samajdar A, Mannan P, Garg K and Krishna T. GeneSys. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (855-866).

    https://doi.org/10.1109/MICRO.2018.00074

  • Velasquez A and Jha S. (2018). 3D Crosspoint Memory as a Parallel Architecture for Computing Network Reachability 2018 IEEE 36th International Conference on Computer Design (ICCD). 10.1109/ICCD.2018.00035. 978-1-5386-8477-1. (171-178).

    https://ieeexplore.ieee.org/document/8615685/

  • Pang D, Xu D, Wang Y and Liang H. (2018). MTTF-Aware Reliability Task Scheduling for PIM-Based Heterogeneous Computing System 2018 IEEE International Test Conference in Asia (ITC-Asia). 10.1109/ITC-Asia.2018.00015. 978-1-5386-5180-3. (25-30).

    https://ieeexplore.ieee.org/document/8462943/

  • Das P and Kapoor H. Towards Near-Data Processing of Compare Operations in 3D-Stacked Memory. Proceedings of the 2018 on Great Lakes Symposium on VLSI. (243-248).

    https://doi.org/10.1145/3194554.3194578

  • Kim J, Senol Cali D, Xin H, Lee D, Ghose S, Alser M, Hassan H, Ergin O, Alkan C and Mutlu O. (2018). GRIM-Filter: Fast seed location filtering in DNA read mapping using processing-in-memory technologies. BMC Genomics. 10.1186/s12864-018-4460-0. 19:S2. Online publication date: 1-May-2018.

    https://bmcgenomics.biomedcentral.com/articles/10.1186/s12864-018-4460-0

  • Song L, Zhuo Y, Qian X, Li H and Chen Y. (2018). GraphR: Accelerating Graph Processing Using ReRAM 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2018.00052. 978-1-5386-3659-6. (531-543).

    http://ieeexplore.ieee.org/document/8327035/

  • Sun H, Liu W, Qiao Z, Fu S and Shi W. DStore: A Holistic Key-value Store Exploring Near-Data Processing and On-Demand Scheduling for Compaction Optimization. IEEE Access. 10.1109/ACCESS.2018.2873579. (1-1).

    https://ieeexplore.ieee.org/document/8481427/

  • Jang Y, Kim B, Kim D and Hwang T. (2016). Implementation of a low-overhead processing-in-memory architecture 2016 International SoC Design Conference (ISOCC). 10.1109/ISOCC.2016.7799849. 978-1-5090-3219-8. (185-186).

    http://ieeexplore.ieee.org/document/7799849/

  • Chi P, Li S, Xu C, Zhang T, Zhao J, Liu Y, Wang Y and Xie Y. PRIME. Proceedings of the 43rd International Symposium on Computer Architecture. (27-39).

    https://doi.org/10.1109/ISCA.2016.13

  • Azarkhish E, Rossi D, Loi I and Benini L. Design and Evaluation of a Processing-in-Memory Architecture for the Smart Memory Cube. Proceedings of the 29th International Conference on Architecture of Computing Systems -- ARCS 2016 - Volume 9637. (19-31).

    https://doi.org/10.1007/978-3-319-30695-7_2

  • Mann S. (2000). Viewpoint: existential education in the era of personal cybernetics. Communications of the ACM. 43:5. (33-36). Online publication date: 1-May-2000.

    https://doi.org/10.1145/332833.332852

  • Press L. (2000). Personal computing: from P-books to E-books. Communications of the ACM. 43:5. (17-21). Online publication date: 1-May-2000.

    https://doi.org/10.1145/332833.332849

  • Cline M and Girou M. (2000). Enduring business themes. Communications of the ACM. 43:5. (101-106). Online publication date: 1-May-2000.

    https://doi.org/10.1145/332833.332846

  • Baron J, Shaw M and Bailey A. (2000). Web-based e-catalog systems in B2B procurement. Communications of the ACM. 43:5. (93-100). Online publication date: 1-May-2000.

    https://doi.org/10.1145/332833.332845

  • Shneiderman B. (2000). Universal usability. Communications of the ACM. 43:5. (84-91). Online publication date: 1-May-2000.

    https://doi.org/10.1145/332833.332843