[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

VTR 8: High-performance CAD and Customizable FPGA Architecture Modelling

Published: 01 June 2020 Publication History

Abstract

Developing Field-programmable Gate Array (FPGA) architectures is challenging due to the competing requirements of various application domains and changing manufacturing process technology. This is compounded by the difficulty of fairly evaluating FPGA architectural choices, which requires sophisticated high-quality Computer Aided Design (CAD) tools to target each potential architecture. This article describes version 8.0 of the open source Verilog to Routing (VTR) project, which provides such a design flow. VTR 8 expands the scope of FPGA architectures that can be modelled, allowing VTR to target and model many details of both commercial and proposed FPGA architectures. The VTR design flow also serves as a baseline for evaluating new CAD algorithms. It is therefore important, for both CAD algorithm comparisons and the validity of architectural conclusions, that VTR produce high-quality circuit implementations. VTR 8 significantly improves optimization quality (reductions of 15% minimum routable channel width, 41% wirelength, and 12% critical path delay), run-time (5.3× faster) and memory footprint (3.3× lower). Finally, we demonstrate VTR is run-time and memory footprint efficient, while producing circuit implementations of reasonable quality compared to highly-tuned architecture-specific industrial tools—showing that architecture generality, good implementation quality, and run-time efficiency are not mutually exclusive goals.

References

[1]
Arachne-pnr. 2019. Retrieved from https://github.com/YosysHQ/arachne-pnr.
[2]
Bison. 2019. Retrieved from https://www.gnu.org/software/bison/.
[3]
Flex: The Fast Lexical Analyzer. 2019. Retrieved from https://www.gnu.org/software/flex/.
[4]
gcov: A Test Coverage Program. 2019. Retrieved from https://gcc.gnu.org/onlinedocs/gcc/Gcov.html.
[5]
Pugixml: Light-weight, simple and fast XML parser for C++. 2019. Retrieved from https://pugixml.org/.
[6]
SymbiFlow Project. 2019. Retrieved from https://symbiflow.github.io/.
[7]
M. S. Abdelfattah and V. Betz. 2012. Design tradeoffs for hard and soft FPGA-based networks-on-chip. In Proceedings of the International Conference on Field-Programmable Technology (FPT’12). 95--103.
[8]
Ziad Abuowaimer, Dani Maarouf, Timothy Martin, Jeremy Foxcroft, Gary Gréwal, Shawki Areibi, and Anthony Vannelli. 2018. GPlace3.0: Routability-driven analytic placer for UltraScale FPGA architectures. ACM Trans. Des. Autom. Electron. Syst. 23, 5 (Oct. 2018).
[9]
Achronix Semiconductor. 2019. Speedster7t FPGAs. Achronix Semiconductor. PB003 v1.0.
[10]
I. Ahmadpour, B. Khaleghi, and H. Asadi. 2015. An efficient reconfigurable architecture by characterizing most frequent logic functions. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’15). 1--6.
[11]
Ibrahim Ahmed, Linda L. Shen, and Vaughn Betz. 2019. Becoming more tolerant: Designing FPGAs for variable supply voltage. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’19).
[12]
Altera. 2016. Stratix IV Device Handbook. Altera.
[13]
Altera Corporation. 2015. Stratix V Device Handbook. Altera Corporation. SV5V1.
[14]
M. An, J. G. Steffan, and V. Betz. 2014. Speeding Up FPGA placement: Parallel algorithms and methods. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’14). 178--185.
[15]
V. Betz and J. Rose. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Field-Programmable Logic and Applications. Springer, 213--222.
[16]
Vaughn Betz and Jonathan Rose. 2000. Automatic generation of FPGA routing architectures from high-level descriptions. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA). 175--184.
[17]
Vaughn Betz, Jonathan Rose, and Alexander Marquardt. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers.
[18]
Robert Brayton and Alan Mishchenko. 2010. ABC: An academic industrial-strength verification tool. In Computer Aided Verification, Tayssir Touili, Byron Cook, and Paul Jackson (Eds.). Springer, Berlin, 24--40.
[19]
Compute Canada. 2019. Retrieved from www.computecanada.ca.
[20]
Yao-Wen Chang, D. F. Wong, and C. K. Wong. 1996. Universal switch modules for FPGA design. ACM Trans. Des. Autom. Electron. Syst. 1, 1 (Jan. 1996), 80--101.
[21]
X. Tang, E. Giacomin, A. Alacchi, B. Chauviere, and P. Gaillardon. 2019. OpenFPGA: An opensource framework enabling rapid prototyping of customizable FPGAs. In Int. Conf. on Field Programmable Logic and Applications (FPL’19). 367--374.
[22]
Deming Chen, Jason Cong, and Peichen Pan. 2006. FPGA design automation: A survey. Found. Trends Electron. Des. Autom. 1, 3 (Jan. 2006), 139--169.
[23]
Doris Chen, Deshanand Singh, Jeffrey Chromczak, David Lewis, Ryan Fung, David Neto, and Vaughn Betz. 2010. A comprehensive approach to modeling, characterizing and optimizing for metastability in FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’10). 167--176.
[24]
D. T. Chen, K. Vorwerk, and A. Kennings. 2007. Improving timing-driven FPGA packing with physical information. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’07). 117--123.
[25]
Gang Chen and Jason Cong. 2004. Simultaneous timing driven clustering and placement for FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’04). 158--167.
[26]
S. Chen and Y. Chang. 2015. Routing-architecture-aware analytical placement for heterogeneous FPGAs. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference (DAC’15). 1--6.
[27]
Y. Chen, S. Chen, and Y. Chang. 2014. Efficient and effective packing and analytical placement for large-scale heterogeneous FPGAs. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’14). 647--654.
[28]
C. Chiasson and V. Betz. 2013. COFFE: Fully automated transistor sizing for FPGAs. In Proceedings of the International Conference on Field-Programmable Technology (FPT’13). 34--41.
[29]
S. A. Chin, J. Luu, S. Huda, and J. H. Anderson. 2016. Hybrid LUT/multiplexer FPGA logic architectures. IEEE Trans. Very Large Scale Integr. Syst. 24, 4 (Apr. 2016), 1280--1292.
[30]
E. Chung, J. Fowers, K. Ovtcharov, M. Papamichael, A. Caulfield, T. Massengill, M. Liu, D. Lo, S. Alkalay, M. Haselman, M. Abeydeera, L. Adams, H. Angepat, C. Boehn, D. Chiou, O. Firestein, A. Forin, K. S. Gatlin, M. Ghandi, S. Heil, K. Holohan, A. El Husseini, T. Juhasz, K. Kagi, R. Kovvuri, S. Lanka, F. van Megen, D. Mukhortov, P. Patel, B. Perez, A. Rapsang, S. Reinhardt, B. Rouhani, A. Sapek, R. Seera, S. Shekar, B. Sridharan, G. Weisz, L. Woods, P. Yi Xiao, D. Zhang, R. Zhao, and D. Burger. 2018. Serving DNNs in real time at datacenter scale with project brainwave. IEEE Micro 38, 2 (Mar. 2018), 8--20.
[31]
Intel Corporation. 2019. Quartus. Retrieved from https://www.intel.ca/content/www/ca/en/software/programmable/quartus-prime/overview.html.
[32]
Xilinx Corporation. 2019. Vivado. Retrieved from https://www.xilinx.com/products/design-tools/vivado.html.
[33]
DARPA. 2016. Reconfigurable Imaging (ReImagine). Retrieved from https://www.darpa.mil/attachments/Final_Compiled_ReImagineProposersDay.pdf.
[34]
André DeHon. 1999. Balancing interconnect and computation in a reconfigurable computing array (or, why you don’t really want 100% LUT utilization). In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’99). 69--78.
[35]
C. Ebeling et al. 2016. Stratix 10 high-performance routable clock networks. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’16). 64--73.
[36]
Z. Ebrahimi, B. Khaleghi, and H. Asadi. 2017. PEAF: A power-efficient architecture for SRAM-based FPGAs using reconfigurable hard logic design in dark silicon era. IEEE Trans. Comput. 66, 6 (June 2017), 982--995.
[37]
B. Erbagci, N. E. Can Akkaya, C. Erbagci, and K. Mai. 2019. An inherently secure FPGA using PUF hardware-entanglement and side-channel resistant logic in 65nm bulk CMOS. In Proceedings of the IEEE 45th European Solid State Circuits Conference (ESSCIRC’19). 65--68.
[38]
Wenyi Feng, Jonathan Greene, Kristofer Vorwerk, Val Pevzner, and Arun Kundu. 2014. Rent’s rule based FPGA packing for routability optimization. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’14). 31--34.
[39]
C. Fobel, G. Grewal, and D. Stacey. 2014. A scalable, serially-equivalent, high-quality parallel placement methodology suitable for modern multicore and GPU architectures. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’14). 1--8.
[40]
Brian Gaide, Dinesh Gaitonde, Chirag Ravishankar, and Trevor Bauer. 2019. Xilinx adaptive compute acceleration platform: VersalTM architecture. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’19). 84--93.
[41]
E. Gamma, R. Helm, R. Johnson, and J. Vlissides. 1994. Design Patterns: Elements of Reusable Object-Oriented Software. Pearson Education.
[42]
Mingyu Gao, Christina Delimitrou, Dimin Niu, Krishna T. Malladi, Hongzhong Zheng, Bob Brennan, and Christos Kozyrakis. 2016. DRAF: A low-power DRAM-based reconfigurable acceleration fabric. SIGARCH Comput. Archit. News 44, 3 (June 2016), 506--518.
[43]
J. B. Goeders, G. G. F. Lemieux, and S. J. E. Wilton. 2011. Deterministic timing-driven parallel placement by simulated annealing using half-box window decomposition. In Proceedings of the International Conference on Reconfigurable Computing and FPGAs (ReConFig’11). 41--48.
[44]
J. B. Goeders and S. J. E. Wilton. 2012. VersaPower: Power estimation for diverse FPGA architectures. In Proceedings of the International Conference on Field-Programmable Technology (FPT’12). 229--234.
[45]
Brett Grady and Jason H. Anderson. 2018. Synthesizable verilog backend for the VTR FPGA evaluation framework. In Proceedings of the International Conference on Field-Programmable Technology (FPT’18).
[46]
Travis Haroldsen, Brent Nelson, and Brad Hutchings. 2015. RapidSmith 2: A framework for BEL-level CAD exploration on Xilinx FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’15). 66--69.
[47]
P. E. Hart, N. J. Nilsson, and B. Raphael. 1968. A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybernet. 4, 2 (July 1968), 100--107.
[48]
K. Honda, T. Imagawa, and H. Ochi. 2017. Placement algorithm for mixed-grained reconfigurable architecture with dedicated carry chain. In Proceedings of the IEEE International System-on-Chip Conference (SOCC’17). 80--85.
[49]
Chin Hau Hoo and Akash Kumar. 2018. ParaDRo: A parallel deterministic router based on spatial partitioning and scheduling. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’18). 67--76.
[50]
Chin Hau Hoo, A. Kumar, and Yajun Ha. 2015. ParaLaR: A parallel FPGA router based on Lagrangian relaxation. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL). 1--6.
[51]
K. Huang, R. Zhao, W. He, and Y. Lian. 2016. High-density and high-reliability nonvolatile field-programmable gate array with stacked 1D2R RRAM array. IEEE Trans. Very Large Scale Integr. Syst. 24, 1 (Jan. 2016), 139--150.
[52]
Zhihong Huang, Xing Wei, Grace Zgheib, Wei Li, Yu Lin, Zhenghong Jiang, Kaihui Tu, Paolo Ienne, and Haigang Yang. 2017. NAND-NOR: A compact, fast, and delay balanced FPGA logic element. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’17). 135--140.
[53]
S. Huda and J. H. Anderson. 2017. Leveraging unused resources for energy optimization of FPGA interconnect. IEEE Trans. Very Large Scale Integr. Syst. 25, 8 (Aug. 2017), 2307--2320.
[54]
E. Hung. 2015. Mind the (synthesis) gap: Examining where academic FPGA tools lag behind industry. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’15). 1--4.
[55]
E. Hung, F. Eslami, and S. J. E. Wilton. 2013. Escaping the academic sandbox: Realizing VPR circuits on Xilinx devices. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’13). 45--52.
[56]
E. Hung and S. J. E. Wilton. 2014. Incremental trace-buffer insertion for FPGA debug. IEEE Trans. Very Large Scale Integr. Syst. 22, 4 (Apr. 2014), 850--863.
[57]
C. Huriaux, O. Sentieys, and R. Tessier. 2016. Effects of I/O routing through column interfaces in embedded FPGA fabrics. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’16). 1--9.
[58]
Mike Hutton, David Karchmer, Bryan Archell, and Jason Govig. 2005. Efficient static timing analysis and applications using edge masks. In Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA’05). 174--183.
[59]
Xilinx Inc. 1994. The Programmable Logic Data Book.
[60]
P. Jamieson, K. B. Kent, F. Gharibian, and L. Shannon. 2010. Odin II - An open-source verilog hdl synthesis tool for CAD research. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’10). 149--156.
[61]
Edin Kadric, David Lakata, and André DeHon. 2015. Impact of memory architecture on FPGA energy consumption. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’15). 146--155.
[62]
B. Khaleghi, B. Omidi, H. Amrouch, J. Henkel, and H. Asadi. 2016. Stress-aware routing to mitigate aging effects in SRAM-based FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’16). 1--8.
[63]
F. F. Khan and A. Ye. 2016. An evaluation on the accuracy of the minimum width transistor area models in ranking the layout area of FPGA architectures. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’16). 1--11.
[64]
Jin Hee Kim and Jason H. Anderson. 2017. Synthesizable standard cell FPGA fabrics targetable by the verilog-to-routing CAD flow. ACM Trans. Reconfigurable Technol. Syst. 10, 2 (Apr. 2017).
[65]
N. Kulkarni, J. Yang, and S. Vrudhula. 2014. A fast, energy efficient, field programmable threshold-logic array. In Proceedings of the International Conference on Field-Programmable Technology (FPT’14). 300--305.
[66]
MIT Lincoln Lab. 2016. Reconfigurable Integrated Circuits for ReImagine. Retrieved from https://www.darpa.mil/attachments/MITLL_ProposerDaySlides%20v3.pdf.
[67]
C. Lavin. 2019. Building domain-specific implementation tools using the RapidWright framework. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’19).
[68]
C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, and B. Hutchings. 2011. RapidSmith: Do-it-yourself CAD tools for Xilinx FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’11). 349--355.
[69]
J. Legault, P. Patros, and K. B. Kent. 2018. Towards trainable synthesis for optimized circuit deployment on FPGA. In Proceedings of the International Symposium on Rapid System Prototyping (RSP’18). 90--96.
[70]
David Lewis, Vaughn Betz, David Jefferson, Andy Lee, Chris Lane, Paul Leventis, Sandy Marquardt, Cameron McClintock, Bruce Pedersen, Giles Powell, Srinivas Reddy, Chris Wysocki, Richard Cliff, and Jonathan Rose. 2003. The Stratix™routing and logic architecture. In Proceedings of the ACM/SIGDA Eleventh International Symposium on Field-Programmable Gate Arrays (FPGA’03). ACM, New York, NY, 12--20.
[71]
David Lewis, David Cashman, Mark Chan, Jeffery Chromczak, Gary Lai, Andy Lee, Tim Vanderhoek, and Haiming Yu. 2013. Architectural enhancements in Stratix V. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’13). ACM, New York, NY, 147--156.
[72]
David Lewis, Gordon Chiu, Jeffrey Chromczak, David Galloway, Ben Gamsa, Valavan Manohararajah, Ian Milton, Tim Vanderhoek, and John Van Dyken. 2016. The Stratix 10 highly pipelined FPGA architecture. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’16). ACM, New York, NY, 159--168.
[73]
Ang Li and David Wentzlaff. 2019. PRGA: An open-source framework for building and using custom FPGAs. In Workshop on Open Source Design Automation.
[74]
Hao Jun Liu. 2014. Archipelago—An Open Source FPGA with Toolflow Support. Master’s thesis. EECS Department, University of California, Berkeley. Retrieved from http://www2.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-43.html.
[75]
Jason Luu. 2014. Architecture-aware Packing and CAD Infrastructure for Field-Programmable Gate Arrays. Master’s thesis. University of Toronto. Retrieved from http://hdl.handle.net/1807/75854.
[76]
Jason Luu, Jeffrey Goeders, Michael Wainberg, Andrew Somerville, Thien Yu, Konstantin Nasartschuk, Miad Nasr, Sen Wang, Tim Liu, Nooruddin Ahmed, Kenneth B. Kent, Jason Anderson, Jonathan Rose, and Vaughn Betz. 2014. VTR 7.0: Next generation architecture and CAD system for FPGAs. ACM Trans. Reconfig. Technol. Syst. 7, 2 (July 2014).
[77]
Jason Luu, Ian Kuon, Peter Jamieson, Ted Campbell, Andy Ye, Wei Mark Fang, Kenneth Kent, and Jonathan Rose. 2011. VPR 5.0: FPGA CAD and architecture exploration tools with single-driver routing, heterogeneity and process scaling. ACM Trans. Reconfig. Technol. Syst. 4, 4 (Dec. 2011).
[78]
J. Luu, C. McCullough, S. Wang, S. Huda, B. Yan, C. Chiasson, K. B. Kent, J. Anderson, J. Rose, and V. Betz. 2014. On hard adders and carry chains in FPGAs. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’14). 52--59.
[79]
Jason Luu, Jonathan Rose, and Jason Anderson. 2014. Towards interconnect-adaptive packing for FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’14). 21--30.
[80]
Larry McMurchie and Carl Ebeling. 1995. PathFinder: A negotiation-based performance-driven router for FPGAs. In Proceedings of the International Symposium on Field-Programmable Gate Arrays (FPGA’95). 111--117.
[81]
Kevin E. Murray and Vaughn Betz. 2018. Tatum: Parallel timing analysis for faster design cycles and improved optimization. In Proceedings of the International Conference on Field-Programmable Technology (FPT’18).
[82]
Kevin E. Murray and Vaughn Betz. 2019. Adaptive FPGA placement optimization via reinforcement learning. In Proceedings of the ACM/IEE Workshop on Machine Learning for CAD (MLCAD’19). 1--6.
[83]
Kevin. E. Murray, J. Luu, M. J. P. Walker, C. McCullough, S. Wang, S. Huda, B. Yan, C. Chiasson, K. B. Kent, J. Anderson, J. Rose, and V. Betz. 2020. Optimizing FPGA logic block architectures for arithmetic. IEEE Trans. Very Large Scale Integr. Syst. (2020). To Appear.
[84]
Kevin E. Murray, Scott Whitty, Suya Liu, Jason Luu, and Vaughn Betz. 2013. Titan: Enabling large and complex benchmarks in academic CAD. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’13).
[85]
Kevin E. Murray, Scott Whitty, Suya Liu, Jason Luu, and Vaughn Betz. 2015. Timing-driven titan: Enabling large benchmarks and exploring the gap between academic and commercial CAD. ACM Trans. Reconfig. Technol. Syst. 8, 2 (March 2015).
[86]
Kevin. E. Murray, Sheng Zhong, and Vaughn Betz. 2020. AIR: A fast but lazy timing-driven FPGA router. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC’20). 1--7.
[87]
E. Nasiri, J. Shaikh, A. Hahn Pereira, and V. Betz. 2016. Multiple dice working as one: CAD flows and routing architectures for silicon interposer FPGAs. IEEE Trans. Very Large Scale Integr. Syst. 24, 5 (May 2016), 1821--1834.
[88]
Xinyu Niu, Wayne Luk, and Yu Wang. 2015. EURECA: On-chip configuration generation for effective dynamic data access. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’15). 74--83.
[89]
Hadi Parandeh-Afshar, Hind Benbihi, David Novo, and Paolo Ienne. 2012. Rethinking FPGAs: Elude the flexibility excess of LUTs with and-inverter cones. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’12). 119--128.
[90]
M. Patrou, J. P. Legault, A. Graham, and K. B. Kent. 2019. Improving digital circuit simulation with batch-parallel logic evaluation. To Appear in Euromicro Digital System Design.
[91]
Oleg Petelin. 2016. CAD Tools and Architectures for Improved FPGA Interconnect. Master’s thesis. University of Toronto. http://hdl.handle.net/1807/75854
[92]
O. Petelin and V. Betz. 2016. The speed of diversity: Exploring complex FPGA routing topologies for the global metal layer. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’16). 1--10.
[93]
Andrew Putnam et al. 2014. A reconfigurable fabric for accelerating large-scale datacenter services. In Proceedings of the ACM/IEEE International Symposium on Comput. Architecture (ISCA’14). 13--24.
[94]
J. Richardson et al. 2010. Comparative analysis of HPC and accelerator devices: Computation, memory, I/O, and power. In Proceedings of the International Workshop on High-Performance Reconfigurable Computer Technology and Applications (HPRCTA’10). 1--10.
[95]
Jonathan Rose, Jason Luu, Chi Wai Yu, Opal Densmore, Jeffrey Goeders, Andrew Somerville, Kenneth B. Kent, Peter Jamieson, and Jason Anderson. 2012. The VTR project: Architecture and CAD for FPGAs from verilog to routing. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’12). 77--86.
[96]
Raphael Y. Rubin and André M. DeHon. 2011. Timing-driven pathfinder pathology and remediation: Quantifying and reducing delay noise in VPR-pathfinder. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’11). 173--176.
[97]
Z. Seifoori, B. Khaleghi, and H. Asadi. 2017. A power gating switch box architecture in routing network of SRAM-based FPGAs in dark silicon era. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’17). 1342--1347.
[98]
David Shah, Eddie Hung, Clifford Wolf, Serge Bazanski, Dan Gisselquist, and Miodrag Milanovic. 2019. Yosys+nextpnr: An open source framework from verilog to bitstream for commercial FPGAs. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’19).
[99]
A. Sharma, S. Hauck, and C. Ebeling. 2005. Architecture-adaptive routability-driven placement for FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’05). 427--432.
[100]
Minghua Shen and Guojie Luo. 2017. Corolla: GPU-accelerated FPGA routing based on subgraph dynamic expansion. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’17). 105--114.
[101]
Amit Singh and Malgorzata Marek-Sadowska. 2002. Efficient circuit clustering for area and power reduction in FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’02). 59--66.
[102]
K. Siozios and D. Soudris. 2016. A customizable framework for application implementation onto 3-D FPGAs. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 11 (Nov. 2016), 1783--1796.
[103]
Satish Sivaswamy, Gang Wang, Cristinel Ababei, Kia Bazargan, Ryan Kastner, and Eli Bozorgzadeh. 2005. HARP: Hard-wired routing pattern FPGAs. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’05). 21--29.
[104]
Neil Steiner, Aaron Wood, Hamid Shojaei, Jacob Couch, Peter Athanas, and Matthew French. 2011. Torc: Towards an open-source tool flow. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’11). 41--44.
[105]
M. Stojilovic. 2017. Parallel FPGA routing: Survey and challenges. In Proceedings of the 27th International Conference on Field-Programmable Logic and Applications (FPL’17). 1--8.
[106]
X. Sun, H. Zhou, and L. Wang. 2019. Bent routing pattern for FPGA. In Proceedings of the 29th International Conference on Field-Programmable Logic and Applications (FPL’19). 9--16.
[107]
Ian Swarbrick, Dinesh Gaitonde, Sagheer Ahmad, Brian Gaide, and Ygal Arbel. 2019. Network-on-chip programmable platform in Versal ACAP architecture. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’19). 212--221.
[108]
Jordan S. Swartz, Vaughn Betz, and Jonathan Rose. 1998. A fast routability-driven router for FPGAs. In Proceedings of theACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’98). 140--149.
[109]
Berkley Logic Synthesis and Verification Group. 2018. ABC: A System for Sequential Synthesis and Verification. Retrieved from http://www.eecs.berkeley.edu/∼alanmi/abc/.
[110]
X. Tang, P. Gaillardon, and G. De Micheli. 2014. A high-performance low-power near-Vt RRAM-based FPGA. In Proceedings of the International Conference on Field-Programmable Technology (FPT’14). 207--214.
[111]
John Teifel, Matthew E. Land, and Russel. D. Miller. 2016. Improving ASIC reuse with embedded FPGA fabrics. In Proceedings of the Government Microcircuit Applications and Critical Technology Conference.
[112]
J. Tian, G. R. Reddy, J. Wang, W. Swartz, Y. Makris, and C. Sechen. 2017. A field programmable transistor array featuring single-cycle partial/full dynamic reconfiguration. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’17). 1336--1341.
[113]
D. Vercruyce, E. Vansteenkiste, and D. Stroobandt. 2017. Liquid: High-quality scalable placement for large heterogeneous FPGAs. In Proceedings of the International Conference on Field-Programmable Technology (FPT’17). 17--24.
[114]
D. Vercruyce, E. Vansteenkiste, and D. Stroobandt. 2018. How preserving circuit design hierarchy during FPGA packing leads to better performance. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 37, 3 (Mar. 2018), 629--642.
[115]
Dries Vercruyce, Elias Vansteenkiste, and Dirk Stroobandt. 2019. CRoute: A fast high-quality timing-driven connection-based FPGA router. In Proceedings of the International Symposium on Field-Programmable Custom Computing Machines (FCCM’19).
[116]
M. Wainberg and V. Betz. 2015. Robust optimization of multiple timing constraints. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 12 (2015), 1942--1953.
[117]
Chunan Wei, Ashutosh Dhar, and Deming Chen. 2015. A scalable and high-density FPGA architecture with multi-level phase change memory. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE’15). 1365--1370.
[118]
S. Wilton. 1997. Architectures and Algorithms for Field-Programmable Gate Arrays with Embedded Memories. Ph.D. Dissertation. University of Toronto.
[119]
Clifford Wolf. 2019. Yosys Open SYnthesis Suite. Retrieved from http://www.clifford.at/yosys/.
[120]
Xilinx Inc. 2016. UltraRAM: Breakthrough Embedded Memory Integration on UltraScale+ Devices. Xilinx Inc. WP477 v1.0.
[121]
Xilinx Inc. 2018. Zynq UltraScale+ MPSoC Data Sheet. Xilinx Inc. DS891 v1.7.
[122]
Xilinx Inc. 2019. Versal Architecture and Product Data Sheet. Xilinx Inc. DS950 v1.1.
[123]
Chang Xu, Gai Liu, Ritchie Zhao, Stephen Yang, Guojie Luo, and Zhiru Zhang. 2017. A parallel bandit-based approach for autotuning FPGA compilation. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’17). 157--166.
[124]
S. Yang. 1991. Logic Synthesis and Optimization Benchmarks User Guide 3.0. Technical Report. MCNC.
[125]
Sadegh Yazdanshenas and Vaughn Betz. 2019. COFFE 2: Automatic modelling and optimization of complex and heterogeneous FPGA architectures. ACM Trans. Reconfig. Technol. Syst. 12, 1 (Jan. 2019).
[126]
Sadegh Yazdanshenas, Kosuke Tatsumura, and Vaughn Betz. 2017. Don’t forget the memory: Automatic block RAM modelling, optimization, and architecture exploration. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’17). 115--124.
[127]
Cunxi Yu and Zhiru Zhang. 2019. Painting on placement: Forecasting routing congestion using conditional generative adversarial nets. In Proceedings of the 56th Annual Design Automation Conference (DAC’19). Association for Computing Machinery, New York, NY.
[128]
G. Yu, T. Y. Cheng, B. Kettlewell, H. Liew, M. Seok, and P. R. Kinget. 2017. FPGA with improved routability and robustness in 130 nm CMOS with open-source CAD targetability. arxiv:1712.03411.
[129]
J. Yuan, L. Wang, X. Zhou, Y. Xia, and J. Hu. 2017. RBSA: Range-based simulated annealing for FPGA placement. In Proceedings of the International Conference on Field-Programmable Technology (FPT’17). 1--8.
[130]
G. Zgheib and P. Ienne. 2017. Evaluating FPGA clusters under wide ranges of design parameters. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL’17). 1--8.
[131]
Grace Zgheib, Liqun Yang, Zhihong Huang, David Novo, Hadi Parandeh-Afshar, Haigang Yang, and Paolo Ienne. 2014. Revisiting and-inverter cones. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’14). 45--54.
[132]
K. E. Murray, T. Ansell, K. Rothman, A. Comodi, M. A. Elgammal, and V. Betz. 2020. Symbifow 8 VPR: An open-source design flow for commercial and novel FPGAs. IEEE Micro (2020). To Appear.

Cited By

View all
  • (2025)FRIDA: Reconfigurable Arrays for Dynamically Scheduled High-Level SynthesisProceedings of the 2025 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3706628.3708880(147-158)Online publication date: 27-Feb-2025
  • (2025)Systolic Sparse Tensor Slices: FPGA Building Blocks for Sparse and Dense AI AccelerationProceedings of the 2025 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3706628.3708867(159-171)Online publication date: 27-Feb-2025
  • (2025)A Holistic FPGA Architecture Exploration Framework for Deep Learning AccelerationProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3697698(1048-1054)Online publication date: 20-Jan-2025
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Reconfigurable Technology and Systems
ACM Transactions on Reconfigurable Technology and Systems  Volume 13, Issue 2
June 2020
185 pages
ISSN:1936-7406
EISSN:1936-7414
DOI:10.1145/3383521
  • Editor:
  • Deming Chen
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 June 2020
Online AM: 07 May 2020
Accepted: 01 March 2020
Revised: 01 January 2020
Received: 01 July 2019
Published in TRETS Volume 13, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Computer aided design (CAD)
  2. electronic design automation (EDA)
  3. field programmable gate array (FPGA)
  4. packing
  5. placement
  6. routing
  7. verilog to routing (VTR)
  8. versatile place and route (VPR)

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • Semiconductor Research Corporation
  • Lattice Semiconductor
  • New Brunswick Innovation Foundation
  • Canadian Foundation for Innovation
  • NSERC CGS-D scholarship
  • NSERC/Intel Industrial Research Chair in Programmable Silicon, Huawei
  • Ontario Graduate Scholarship

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)362
  • Downloads (Last 6 weeks)44
Reflects downloads up to 03 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2025)FRIDA: Reconfigurable Arrays for Dynamically Scheduled High-Level SynthesisProceedings of the 2025 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3706628.3708880(147-158)Online publication date: 27-Feb-2025
  • (2025)Systolic Sparse Tensor Slices: FPGA Building Blocks for Sparse and Dense AI AccelerationProceedings of the 2025 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3706628.3708867(159-171)Online publication date: 27-Feb-2025
  • (2025)A Holistic FPGA Architecture Exploration Framework for Deep Learning AccelerationProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3697698(1048-1054)Online publication date: 20-Jan-2025
  • (2025)Meta-Heuristic Optimization of Custom Heterogeneous Blocks Defined eFPGA Design2025 38th International Conference on VLSI Design and 2024 23rd International Conference on Embedded Systems (VLSID)10.1109/VLSID64188.2025.00069(326-331)Online publication date: 4-Jan-2025
  • (2025)A Robust FPGA Router With Optimization of High-Fanout Nets and Intra-CLB ConnectionsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.344721844:3(1003-1016)Online publication date: Mar-2025
  • (2025)CoaCAD: Correlation-Assisted Computer-Aided Design for Nonvolatile FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.341904844:1(144-156)Online publication date: 1-Jan-2025
  • (2025)Fixed-Connectivity Pattern DesignModern Programmable Interconnect Design10.1007/978-3-031-80629-2_7(203-235)Online publication date: 7-Mar-2025
  • (2025)Searching for Regular Switch-PatternsModern Programmable Interconnect Design10.1007/978-3-031-80629-2_6(175-202)Online publication date: 7-Mar-2025
  • (2025)Switch Presence NegotiationModern Programmable Interconnect Design10.1007/978-3-031-80629-2_5(121-174)Online publication date: 7-Mar-2025
  • (2025)Modeling Programmable Routing in Advanced TechnologiesModern Programmable Interconnect Design10.1007/978-3-031-80629-2_4(73-119)Online publication date: 7-Mar-2025
  • Show More Cited By

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media