• Fahmy S and Iyer K. (2025). Dynamic and Partial Reconfiguration of FPGAs. Handbook of Computer Architecture. 10.1007/978-981-97-9314-3_51. (507-530).

    https://link.springer.com/10.1007/978-981-97-9314-3_51

  • Li Z, Wijerathne D and Mitra T. (2025). Coarse-Grained Reconfigurable Array (CGRA). Handbook of Computer Architecture. 10.1007/978-981-97-9314-3_50. (465-505).

    https://link.springer.com/10.1007/978-981-97-9314-3_50

  • Caballero P, Ortiz G and Medina-Bulo I. (2024). Systematic literature review of ambient assisted living systems supported by the Internet of Things. Universal Access in the Information Society. 23:4. (1631-1656). Online publication date: 1-Nov-2024.

    https://doi.org/10.1007/s10209-023-01022-w

  • Duarte G and Loubach D. (2024). Heterogeneous System Modeling Using Timed- and Untimed-Based Models of Computation: A Case Study for Avionics Systems Domain 2024 AIAA DATC/IEEE 43rd Digital Avionics Systems Conference (DASC). 10.1109/DASC62030.2024.10749534. 979-8-3503-4961-0. (1-8).

    https://ieeexplore.ieee.org/document/10749534/

  • Li Y, Zhu J, Fu Y, Lei Y, Nagata T, Braidwood R, Fu H, Zheng J, Luk W and Fan H. (2024). Circular Reconfigurable Parallel Processor for Edge Computing : Industrial Product ✶ 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA). 10.1109/ISCA59077.2024.00067. 979-8-3503-2658-1. (863-875).

    https://ieeexplore.ieee.org/document/10609569/

  • Liu H, Sun C and Zhang H. (2024). Comprehensive exploration: Automatic mode-locking technology and its multidisciplinary applications. Infrared Physics & Technology. 10.1016/j.infrared.2024.105247. 138. (105247). Online publication date: 1-May-2024.

    https://linkinghub.elsevier.com/retrieve/pii/S1350449524001312

  • Gill S, Wu H, Patros P, Ottaviani C, Arora P, Pujol V, Haunschild D, Parlikad A, Cetinkaya O, Lutfiyya H, Stankovski V, Li R, Ding Y, Qadir J, Abraham A, Ghosh S, Song H, Sakellariou R, Rana O, Rodrigues J, Kanhere S, Dustdar S, Uhlig S, Ramamohanarao K and Buyya R. (2024). Modern computing: Vision and challenges. Telematics and Informatics Reports. 10.1016/j.teler.2024.100116. 13. (100116). Online publication date: 1-Mar-2024.

    https://linkinghub.elsevier.com/retrieve/pii/S2772503024000021

  • Rayed M, Islam S, Niha S, Jim J, Kabir M and Mridha M. (2024). Deep learning for medical image segmentation: State-of-the-art advancements and challenges. Informatics in Medicine Unlocked. 10.1016/j.imu.2024.101504. 47. (101504).

    https://linkinghub.elsevier.com/retrieve/pii/S2352914824000601

  • Schmidt B and Hildebrandt A. (2024). Dedicated Bioinformatics Analysis Hardware. Reference Module in Life Sciences. 10.1016/B978-0-323-95502-7.00022-1.

    https://linkinghub.elsevier.com/retrieve/pii/B9780323955027000221

  • Aseri V, Chowdhary H, Chaudhary N, Pandey S and Kumar V. (2024). Revolutionizing Military Technology: How the Fusion of BlockChain and Quantum Computing is Driving in Defense Application. Sustainable Security Practices Using Blockchain, Quantum and Post-Quantum Technologies for Real Time Applications. 10.1007/978-981-97-0088-2_10. (193-203).

    https://link.springer.com/10.1007/978-981-97-0088-2_10

  • Fahmy S and Iyer K. (2024). Dynamic and Partial Reconfiguration of FPGAs. Handbook of Computer Architecture. 10.1007/978-981-15-6401-7_51-1. (1-24).

    https://link.springer.com/10.1007/978-981-15-6401-7_51-1

  • (2023). Design Process. Design for Embedded Image Processing on FPGAs. 10.1002/9781119819820.ch3. (45-76). Online publication date: 26-Dec-2023.

    https://onlinelibrary.wiley.com/doi/10.1002/9781119819820.ch3

  • Pordeus L, Lazzaretti A, Linhares R and Simão J. (2023). Notification Oriented Paradigm to Digital Hardware — A benchmark evaluation with Random Forest algorithm. Microprocessors and Microsystems. 10.1016/j.micpro.2023.104951. 103. (104951). Online publication date: 1-Nov-2023.

    https://linkinghub.elsevier.com/retrieve/pii/S0141933123001953

  • Duarte G and Loubach D. (2023). An Analysis on Formal Models of Computation for the Avionics Systems Domain 2023 IEEE/AIAA 42nd Digital Avionics Systems Conference (DASC). 10.1109/DASC58513.2023.10311119. 979-8-3503-3357-2. (1-9).

    https://ieeexplore.ieee.org/document/10311119/

  • Kalimuthu M, Pathmakumar T, Hayat A, Elara M and Wood K. (2023). A metaheuristic approach to optimal morphology in reconfigurable tiling robots. Complex & Intelligent Systems. 10.1007/s40747-023-01015-5. 9:5. (5831-5850). Online publication date: 1-Oct-2023.

    https://link.springer.com/10.1007/s40747-023-01015-5

  • Fryer J and Garcia P. (2023). The Good, the Bad and the Ugly: Practices and Perspectives on Hardware Acceleration for Embedded Image Processing. Journal of Signal Processing Systems. 95:10. (1181-1201). Online publication date: 1-Oct-2023.

    https://doi.org/10.1007/s11265-023-01885-5

  • Ratto F, Máinez Á, Sau C, Meloni P, Deriu G, Delucchi S, Massa M, Raffo L and Palumbo F. (2023). An Automated Design Flow for Adaptive Neural Network Hardware Accelerators. Journal of Signal Processing Systems. 10.1007/s11265-023-01855-x. 95:9. (1091-1113). Online publication date: 1-Sep-2023.

    https://link.springer.com/10.1007/s11265-023-01855-x

  • P S, Kumar A, Sharma T and Agrawal A. (2023). Design and Simulation of RISC Processor Using Verilog 2023 5th International Conference on Inventive Research in Computing Applications (ICIRCA). 10.1109/ICIRCA57980.2023.10220777. 979-8-3503-2142-5. (1759-1764).

    https://ieeexplore.ieee.org/document/10220777/

  • Kou M, Gu J, Yao H, Wei S and Yin S. (2023). TAEM 2.0: A Faster Transfer-Aware Effective Loop Mapping for Heterogeneous Resources on CGRA. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 42:8. (2552-2565). Online publication date: 1-Aug-2023.

    https://doi.org/10.1109/TCAD.2022.3226152

  • R. Ajay Krishnaraju , J. Poovarasan , S. Santhies Kumar , S. Surya and P. Tamilselvan . (2023). Object Detection Using Adaptive Block Partition and RCNN Algorithm. International Journal of Scientific Research in Science and Technology. 10.32628/IJSRST523103196. (1009-1023). Online publication date: 24-Jun-2023.

    https://ijsrst.com/IJSRST523103196

  • Wang R and Guo X. (2023). A Hierarchically Reconfigurable SRAM-Based Compute-in-Memory Macro for Edge Computing 2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS). 10.1109/AICAS57966.2023.10168564. 979-8-3503-3267-4. (1-5).

    https://ieeexplore.ieee.org/document/10168564/

  • Sozzo E, Conficconi D, Zeni A, Salaris M, Sciuto D and Santambrogio M. (2022). Pushing the Level of Abstraction of Digital System Design: A Survey on How to Program FPGAs. ACM Computing Surveys. 55:5. (1-48). Online publication date: 31-May-2023.

    https://doi.org/10.1145/3532989

  • Sildas V, Chandraman P and Raj S. (2023). Similarity Measure based 16X16 MB Mode Decision in H.264 Intra prediction 2023 International Conference on Wireless Communications Signal Processing and Networking (WiSPNET). 10.1109/WiSPNET57748.2023.10134007. 979-8-3503-0045-1. (01-04).

    https://ieeexplore.ieee.org/document/10134007/

  • Pagnotta G, De Gaspari F, Hitaj D, Andreolini M, Colajanni M and Mancini L. (2023). DOLOS: A Novel Architecture for Moving Target Defense. IEEE Transactions on Information Forensics and Security. 18. (5890-5905). Online publication date: 1-Jan-2023.

    https://doi.org/10.1109/TIFS.2023.3318964

  • D’Arnese E, Conficconi D, Santambrogio M and Sciuto D. (2023). Reconfigurable Architectures: The Shift from General Systems to Domain Specific Solutions. Emerging Computing: From Devices to Systems. 10.1007/978-981-16-7487-7_14. (435-456).

    https://link.springer.com/10.1007/978-981-16-7487-7_14

  • Li Z, Wijerathne D and Mitra T. (2023). Coarse-Grained Reconfigurable Array (CGRA). Handbook of Computer Architecture. 10.1007/978-981-15-6401-7_50-1. (1-41).

    https://link.springer.com/10.1007/978-981-15-6401-7_50-1

  • Bustio-Martínez L, Cumplido R, Letras M, Hernández-León R, Feregrino-Uribe C and Hernández-Palancar J. (2021). FPGA/GPU-based Acceleration for Frequent Itemsets Mining: A Comprehensive Review. ACM Computing Surveys. 54:9. (1-35). Online publication date: 31-Dec-2022.

    https://doi.org/10.1145/3472289

  • Berndt A, Meinhardt C, Reis A and Butzen P. (2022). Optimizing machine learning logic circuits with constant signal propagation. Integration, the VLSI Journal. 87:C. (293-305). Online publication date: 1-Nov-2022.

    https://doi.org/10.1016/j.vlsi.2022.08.004

  • Xekalaki M, Fumero J, Stratikopoulos A, Doka K, Katsakioris C, Bitsakos C, Koziris N and Kotselidis C. (2023). Enabling Transparent Acceleration of Big Data Frameworks Using Heterogeneous Hardware. Proceedings of the VLDB Endowment. 15:13. (3869-3882). Online publication date: 1-Sep-2022.

    https://doi.org/10.14778/3565838.3565842

  • Razzaq A, Sani S and Ye A. (2022). The effect of gate voltage boosting on the power efficiency of multi-context FPGAs. Integration, the VLSI Journal. 86:C. (30-43). Online publication date: 1-Sep-2022.

    https://doi.org/10.1016/j.vlsi.2022.04.007

  • Nagajayanthi B. (2021). Decades of Internet of Things Towards Twenty-first Century: A Research-Based Introspective. Wireless Personal Communications: An International Journal. 123:4. (3661-3697). Online publication date: 1-Apr-2022.

    https://doi.org/10.1007/s11277-021-09308-z

  • Irfan M, Sanka A, Ullah Z and Cheung R. (2022). Reconfigurable content-addressable memory (CAM) on FPGAs. Future Generation Computer Systems. 128:C. (451-465). Online publication date: 1-Mar-2022.

    https://doi.org/10.1016/j.future.2021.09.037

  • Jaswal M and Roy S. DynPath–Non-Intrusive Feature-Rich Hardware-Based Execution Path Profiler. IEEE Access. 10.1109/ACCESS.2022.3218710. 10. (116069-116086).

    https://ieeexplore.ieee.org/document/9937195/

  • Lugo T, Lozano S, Fernandez J and Carretero J. A Survey of Techniques for Reducing Interference in Real-Time Applications on Multicore Platforms. IEEE Access. 10.1109/ACCESS.2022.3151891. 10. (21853-21882).

    https://ieeexplore.ieee.org/document/9714355/

  • Segarra J, Gran Tejero R and Viñals V. (2021). A generic framework to integrate data caches in the WCET analysis of real-time systems. Journal of Systems Architecture: the EUROMICRO Journal. 120:C. Online publication date: 1-Nov-2021.

    https://doi.org/10.1016/j.sysarc.2021.102304

  • Chen L and Phan R. (2021). Network Reconfiguration via Diversity: Theoretical Foundation and Algorithm Design 2021 IEEE 94th Vehicular Technology Conference (VTC2021-Fall). 10.1109/VTC2021-Fall52928.2021.9625508. 978-1-6654-1368-8. (1-5).

    https://ieeexplore.ieee.org/document/9625508/

  • Faix Pordeus L, Ribeiro Linhares R, Stadzisz P and Simão J. (2021). NOP-DH – Evaluation Over Bitonic Sort Algorithm. Microprocessors & Microsystems. 85:C. Online publication date: 1-Sep-2021.

    https://doi.org/10.1016/j.micpro.2021.104314

  • Lopes A and Pereira M. (2021). Fast DSE of reconfigurable accelerator systems via ensemble machine learning. Analog Integrated Circuits and Signal Processing. 108:3. (495-509). Online publication date: 1-Sep-2021.

    https://doi.org/10.1007/s10470-021-01885-0

  • Jun W, Zhiqiang Z, Rui T, Dong L and Tie L. (2021). Research on recognition of ice and snow athletes based on feature extraction and cloud computing platform. Microprocessors & Microsystems. 80:C. Online publication date: 1-Feb-2021.

    https://doi.org/10.1016/j.micpro.2020.103338

  • Zhou A, Ren K, Li X, Zhang W, Ren X and Deng K. (2021). Semantic-based discovery method for high-performance computing resources in cyber-physical systems. Microprocessors & Microsystems. 80:C. Online publication date: 1-Feb-2021.

    https://doi.org/10.1016/j.micpro.2020.103328

  • Sau C, Fanni T, Rubattu C, Raffo L and Palumbo F. (2021). The Multi-Dataflow Composer tool. Microprocessors & Microsystems. 80:C. Online publication date: 1-Feb-2021.

    https://doi.org/10.1016/j.micpro.2020.103326

  • Babu P and Parthasarathy E. (2020). Reconfigurable FPGA Architectures: A Survey and Applications. Journal of The Institution of Engineers (India): Series B. 10.1007/s40031-020-00508-y. 102:1. (143-156). Online publication date: 1-Feb-2021.

    http://link.springer.com/10.1007/s40031-020-00508-y

  • Paulino N, Ferreira J and Cardoso J. (2020). Improving Performance and Energy Consumption in Embedded Systems via Binary Acceleration: A Survey. ACM Computing Surveys. 53:1. (1-36). Online publication date: 31-Jan-2021.

    https://doi.org/10.1145/3369764

  • Alnemari S and Alzahrani S. (2021). On Moving Target Techniques for Network Defense Security. International Journal of Recent Technology and Engineering. 10.35940/ijrte.E5111.019521. 9:5. (84-90).

    https://www.ijrte.org/wp-content/uploads/papers/v9i5/E5111019521.pdf

  • Lindsay D, Gill S, Smirnova D and Garraghan P. (2021). The evolution of distributed computing systems: from fundamental to new frontiers. Computing. 10.1007/s00607-020-00900-y.

    http://link.springer.com/10.1007/s00607-020-00900-y

  • Loubach D, Bonna R, Ungureanu G, Sander I and Soderquist I. Classification and Mapping of Model Elements for Designing Runtime Reconfigurable Systems. IEEE Access. 10.1109/ACCESS.2021.3129899. 9. (156337-156360).

    https://ieeexplore.ieee.org/document/9623547/

  • Mazher Iqbal J and Manikandan T. (2021). FPGA-Based Reconfigurable Architectures for DSP Computations. Advances in Smart System Technologies. 10.1007/978-981-15-5029-4_48. (587-594).

    http://link.springer.com/10.1007/978-981-15-5029-4_48

  • Levin I and Dudko S. (2021). Equivalent Transformations of Some Kinds of Computing Structures of Non-linear Recurrent Expressions for Reconfigurable Computing Systems. Parallel Computational Technologies. 10.1007/978-3-030-81691-9_1. (3-17).

    https://link.springer.com/10.1007/978-3-030-81691-9_1

  • Khurshid B and Yousuf A. (2020). Modifying FPGA Design Flow for Achieving better Circuit Optimizations 2020 IEEE 17th India Council International Conference (INDICON). 10.1109/INDICON49873.2020.9342221. 978-1-7281-6916-3. (1-5).

    https://ieeexplore.ieee.org/document/9342221/

  • Bezerra Lopes A, de Paula Canuto A and Pereira M. (2020). Regression Ensembles for Fast Design Space Exploration of Heterogeneous Hardware Designs 2020 19th IEEE International Conference on Machine Learning and Applications (ICMLA). 10.1109/ICMLA51294.2020.00041. 978-1-7281-8470-8. (201-204).

    https://ieeexplore.ieee.org/document/9356169/

  • Long X, Liu B, Jiang F, Zhang Q and Zhi X. (2020). FPGA virtualization deployment based on Docker container technology 2020 5th International Conference on Mechanical, Control and Computer Engineering (ICMCCE). 10.1109/ICMCCE51767.2020.00109. 978-1-6654-2314-4. (473-476).

    https://ieeexplore.ieee.org/document/9421361/

  • Loyola-González O, Medina-Pérez M and Choo K. (2020). A Review of Supervised Classification based on Contrast Patterns: Applications, Trends, and Challenges. Journal of Grid Computing. 18:4. (797-845). Online publication date: 1-Dec-2020.

    https://doi.org/10.1007/s10723-020-09526-y

  • Liu L, Zhu J, Li Z, Lu Y, Deng Y, Han J, Yin S and Wei S. (2019). A Survey of Coarse-Grained Reconfigurable Architecture and Design. ACM Computing Surveys. 52:6. (1-39). Online publication date: 30-Nov-2020.

    https://doi.org/10.1145/3357375

  • Ko J, Baek G and Choi W. Scaling Trends of Monolithic 3-D Complementary Metal–Oxide–Semiconductor Nanoelectromechanical Reconfigurable Logic Circuits. IEEE Transactions on Electron Devices. 10.1109/TED.2020.3008880. 67:9. (3861-3867).

    https://ieeexplore.ieee.org/document/9146999/

  • Mao Y, Migliore V and Nicomette V. (2020). REHAD: Using Low-Frequency Reconfigurable Hardware for Cache Side-Channel Attacks Detection 2020 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW). 10.1109/EuroSPW51379.2020.00101. 978-1-7281-8597-2. (704-709).

    https://ieeexplore.ieee.org/document/9229737/

  • Anghelescu P. (2020). Automatic Thresholding Technique Using Reconfigurable Hardware. Cybernetics and Systems. 10.1080/01969722.2020.1804220. (1-24).

    https://www.tandfonline.com/doi/full/10.1080/01969722.2020.1804220

  • Lopes A and Pereira M. (2020). A Machine Learning Approach to Accelerating DSE of Reconfigurable Accelerator Systems 2020 33rd Symposium on Integrated Circuits and Systems Design (SBCCI). 10.1109/SBCCI50935.2020.9189899. 978-1-7281-9625-1. (1-6).

    https://ieeexplore.ieee.org/document/9189899/

  • Brandalero M, Lignati B, Beck A, Shafique M and Hübner M. Proactive aging mitigation in CGRAs through utilization-aware allocation. Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference. (1-6).

    /doi/10.5555/3437539.3437689

  • Khurshid B and Khan J. (2020). An Efficient Fixed-Point Multiplier based on CORDIC Algorithm. Journal of Circuits, Systems and Computers. 10.1142/S0218126621500808.

    https://www.worldscientific.com/doi/abs/10.1142/S0218126621500808

  • Jaswal M and Roy S. (2020). DynRP- Non-Intrusive Profiler for Dynamic Reconfigurability 2020 24th International Symposium on VLSI Design and Test (VDAT). 10.1109/VDAT50263.2020.9190415. 978-1-7281-9369-4. (1-6).

    https://ieeexplore.ieee.org/document/9190415/

  • Jothin R and Mohamed M. (2020). High Performance Approximate Memories for Image Processing Applications. Journal of Electronic Testing. 10.1007/s10836-020-05879-0.

    http://link.springer.com/10.1007/s10836-020-05879-0

  • Motaqi A. (2020). Energy-performance management in battery powered reconfigurable processors for standalone IoT systems. International Journal of Information Technology. 10.1007/s41870-020-00454-4.

    http://link.springer.com/10.1007/s41870-020-00454-4

  • Chamberlain R. (2020). Architecturally truly diverse systems: A review. Future Generation Computer Systems. 10.1016/j.future.2020.03.061. Online publication date: 1-Apr-2020.

    https://linkinghub.elsevier.com/retrieve/pii/S0167739X19313184

  • Штейнберг Б, Штейнберг О and Romanenko S. Program transformations as the base for optimizing parallelizing compilers. Program Systems: Theory and ApplicationsПрограммные системы: теория и приложения. 10.25209/2079-3316-2021-12-1-21-113. 12:1. (21-113).

    http://psta.psiras.ru/read/psta2021_1_21-113.pdf

  • Peng G, Liu L, Zhou S, Yin S and Wei S. A 2.92-Gb/s/W and 0.43-Gb/s/MG Flexible and Scalable CGRA-Based Baseband Processor for Massive MIMO Detection. IEEE Journal of Solid-State Circuits. 10.1109/JSSC.2019.2952839. 55:2. (505-519).

    https://ieeexplore.ieee.org/document/8911207/

  • Brandalero M, Carro L, Beck Filho A and Shafique M. Multi-Target Adaptive Reconfigurable Acceleration for Low-Power IoT Processing. IEEE Transactions on Computers. 10.1109/TC.2020.2984736. (1-1).

    https://ieeexplore.ieee.org/document/9054992/

  • Cho J, Sharma D, Alavizadeh H, Yoon S, Ben-Asher N, Moore T, Kim D, Lim H and Nelson F. (2020). Toward Proactive, Adaptive Defense: A Survey on Moving Target Defense. IEEE Communications Surveys & Tutorials. 22:1. (709-745). Online publication date: 1-Jan-2020.

    https://doi.org/10.1109/COMST.2019.2963791

  • Alkamil A and Perera D. Towards Dynamic and Partial Reconfigurable Hardware Architectures for Cryptographic Algorithms on Embedded Devices. IEEE Access. 10.1109/ACCESS.2020.3043750. 8. (221720-221742).

    https://ieeexplore.ieee.org/document/9290054/

  • Zhou T, Pan T, Meyer M, Dong Y and Watanabe T. A Fast Online Task Placement Algorithm for Three-Dimensional Dynamic Partial Reconfigurable Devices. IEEE Access. 10.1109/ACCESS.2020.2975254. 8. (36903-36918).

    https://ieeexplore.ieee.org/document/9004545/

  • Korol G, Jordan M, Silva R, Pereira M, Brandalero M, Rutzig M and Beck A. (2019). A Runtime Power-Aware Phase Predictor for CGRAs 2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig). 10.1109/ReConFig48160.2019.8994802. 978-1-7281-1957-1. (1-8).

    https://ieeexplore.ieee.org/document/8994802/

  • Mohapatra D, Subudhi B and Padhee S. (2019). Reconfigurable Pulse-Width Modulation Control of DC-DC Converter 2019 International Conference on Information Technology (ICIT). 10.1109/ICIT48102.2019.00021. 978-1-7281-6052-8. (82-87).

    https://ieeexplore.ieee.org/document/9031929/

  • Gill S, Tuli S, Xu M, Singh I, Singh K, Lindsay D, Tuli S, Smirnova D, Singh M, Jain U, Pervaiz H, Sehgal B, Kaila S, Misra S, Aslanpour M, Mehta H, Stankovski V and Garraghan P. (2019). Transformative effects of IoT, Blockchain and Artificial Intelligence on cloud computing: Evolution, vision, trends and open challenges. Internet of Things. 10.1016/j.iot.2019.100118. 8. (100118). Online publication date: 1-Dec-2019.

    https://linkinghub.elsevier.com/retrieve/pii/S2542660519302331

  • Korol G, Jordan M, Brandalero M, Rutzig M and Beck A. (2019). Power-Aware Phase Oriented Reconfigurable Architecture 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS). 10.1109/ICECS46596.2019.8965011. 978-1-7281-0996-1. (626-629).

    https://ieeexplore.ieee.org/document/8965011/

  • Magyar A, Biancolin D, Koenig J, Seshia S, Bachrach J and Asanovic K. (2019). Golden Gate: Bridging The Resource-Efficiency Gap Between ASICs and FPGA Prototypes 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD45719.2019.8942087. 978-1-7281-2350-9. (1-8).

    https://ieeexplore.ieee.org/document/8942087/

  • Li C, Gao L, Plaza A and Zhang B. (2019). FPGA implementation of a maximum simplex volume algorithm for endmember extraction from remotely sensed hyperspectral images. Journal of Real-Time Image Processing. 16:5. (1681-1694). Online publication date: 1-Oct-2019.

    https://doi.org/10.1007/s11554-017-0679-2

  • Ashouri A, Killian W, Cavazos J, Palermo G and Silvano C. (2018). A Survey on Compiler Autotuning using Machine Learning. ACM Computing Surveys. 51:5. (1-42). Online publication date: 30-Sep-2019.

    https://doi.org/10.1145/3197978

  • Rubattu C, Palumbo F, Sau C, Salvador R, Sérot J, Desnos K, Raffo L and Pelcat M. (2019). Dataflow-Functional High-Level Synthesis for Coarse-Grained Reconfigurable Accelerators. IEEE Embedded Systems Letters. 11:3. (69-72). Online publication date: 1-Sep-2019.

    https://doi.org/10.1109/LES.2018.2882989

  • Brandalero M, Souto T, Carro L and Beck A. (2019). Predicting performance in multi-core systems with shared reconfigurable accelerators. Journal of Systems Architecture: the EUROMICRO Journal. 98:C. (201-213). Online publication date: 1-Sep-2019.

    https://doi.org/10.1016/j.sysarc.2019.07.010

  • Vipin K and Fahmy S. (2018). FPGA Dynamic and Partial Reconfiguration. ACM Computing Surveys. 51:4. (1-39). Online publication date: 31-Jul-2019.

    https://doi.org/10.1145/3193827

  • Jin S, Yu H, Fu X, Wang Z, Yuan K and Li P. (2019). A Universal Design of FPGA-Based Real-Time Simulator for Active Distribution Networks Based on Reconfigurable Computing. Energies. 10.3390/en12112086. 12:11. (2086).

    https://www.mdpi.com/1996-1073/12/11/2086

  • Brandalero M, Shafique M, Carro L and Beck A. (2019). TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 10.23919/DATE.2019.8715121. 978-3-9819263-2-3. (582-585).

    https://ieeexplore.ieee.org/document/8715121/

  • Khurshid B, Syed S, Mehtaab S, Peerzada J and Yaqoob A. (2019). Performance Evaluation of Fixed-Point Array Multipliers on Xilinx FPGAs 2019 6th International Conference on Signal Processing and Integrated Networks (SPIN). 10.1109/SPIN.2019.8711607. 978-1-7281-1380-7. (171-175).

    https://ieeexplore.ieee.org/document/8711607/

  • Khurshid B, Manzoor M, Muzaffar K, Wani B and Mushtaq B. (2019). Achieving Performance Speed-Up in FPGA Based FIR Filters Using DSP Macro Blocks 2019 6th International Conference on Signal Processing and Integrated Networks (SPIN). 10.1109/SPIN.2019.8711595. 978-1-7281-1380-7. (190-194).

    https://ieeexplore.ieee.org/document/8711595/

  • Raissouni N, El Adib S, Sobrino J, Ben Achhab N, Chahboun A, Azyat A and Lahraoua M. (2019). Towards LST split-window algorithm FPGA implementation for CubeSats on-board computations purposes. International Journal of Remote Sensing. 10.1080/01431161.2018.1562589. (1-16).

    https://www.tandfonline.com/doi/full/10.1080/01431161.2018.1562589

  • Flávio de Melo L, Borges F and Rosário J. (2019). Wheelchairs Embedded Control System Design for Secure Navigation With RF Signal Triangulation. Rapid Automation. 10.4018/978-1-5225-8060-7.ch038. (814-849).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-5225-8060-7.ch038

  • Flávio de Melo L, Cervantes S and Rosário J. (2019). A Virtual Simulator for the Embedded Control System Design for Navigation of Mobile Robots Applied in Wheelchairs. Rapid Automation. 10.4018/978-1-5225-8060-7.ch010. (180-217).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-5225-8060-7.ch010

  • Zhou R, Liu Y, Brodeur E, Li Z and Guo J. (2019). A Reverse-Boot Enabled Embedded Computing System on SoC-FPGA for Prognostics and Health Management: An Application on Li-ion Batteries 2019 IEEE 9th Annual Computing and Communication Workshop and Conference (CCWC). 10.1109/CCWC.2019.8666519. 978-1-7281-0554-3. (0800-0805).

    https://ieeexplore.ieee.org/document/8666519/

  • Wu X, Hu R and Bao Y. Parallelism Optimized Architecture on FPGA for Real-Time Traffic Light Detection. IEEE Access. 10.1109/ACCESS.2019.2959084. 7. (178167-178176).

    https://ieeexplore.ieee.org/document/8931612/

  • Sau C, Ligas D, Fanni T, Raffo L and Palumbo F. Reconfigurable Adaptive Multiple Transform Hardware Solutions for Versatile Video Coding. IEEE Access. 10.1109/ACCESS.2019.2946054. (1-1).

    https://ieeexplore.ieee.org/document/8861323/

  • Zhu Z, Zhang J, Zhao J, Cao J, Zhao D, Jia G and Meng Q. A Hardware and Software Task-Scheduling Framework Based on CPU+FPGA Heterogeneous Architecture in Edge Computing. IEEE Access. 10.1109/ACCESS.2019.2943179. 7. (148975-148988).

    https://ieeexplore.ieee.org/document/8846766/

  • Schmidt B and Hildebrandt A. (2019). Dedicated Bioinformatics Analysis Hardware. Encyclopedia of Bioinformatics and Computational Biology. 10.1016/B978-0-12-809633-8.20186-6. (1142-1150).

    https://linkinghub.elsevier.com/retrieve/pii/B9780128096338201866

  • Desnos K and Palumbo F. (2019). Dataflow Modeling for Reconfigurable Signal Processing Systems. Handbook of Signal Processing Systems. 10.1007/978-3-319-91734-4_22. (787-824).

    http://link.springer.com/10.1007/978-3-319-91734-4_22

  • Zhou T, Pan T, Bao Z and Watanabe T. (2018). A Time-based Leakage-aware Algorithm for Task Placement and Scheduling Problem on Dynamic Reconfigurable FPGA 2018 5th International Conference on Systems and Informatics (ICSAI). 10.1109/ICSAI.2018.8599330. 978-1-7281-0120-0. (501-506).

    https://ieeexplore.ieee.org/document/8599330/

  • Li C, Gao L, Wu Y, Zhang B, Plaza J and Plaza A. (2018). A real-time unsupervised background extraction-based target detection method for hyperspectral imagery. Journal of Real-Time Image Processing. 15:3. (597-615). Online publication date: 1-Oct-2018.

    https://doi.org/10.1007/s11554-017-0742-z

  • Pezzarossa L, Kristensen A, Schoeberl M and Sparsø J. (2018). Using dynamic partial reconfiguration of FPGAs in real-Time systems. Microprocessors and Microsystems. 10.1016/j.micpro.2018.05.017. 61. (198-206). Online publication date: 1-Sep-2018.

    https://linkinghub.elsevier.com/retrieve/pii/S0141933118300802

  • Gaikwad M and Ahirrao S. (2018). BLAST Using Big Data Technologies: A Survey 2018 Fourth International Conference on Computing Communication Control and Automation (ICCUBEA). 10.1109/ICCUBEA.2018.8697496. 978-1-5386-5257-2. (1-5).

    https://ieeexplore.ieee.org/document/8697496/

  • Huang K, Zhao R and Lian Y. (2018). Racetrack Memory based hybrid Look-Up Table (LUT) for low power reconfigurable computing. Journal of Parallel and Distributed Computing. 117:C. (127-137). Online publication date: 1-Jul-2018.

    https://doi.org/10.1016/j.jpdc.2018.02.018

  • Bustio-Martínez L, Cumplido R, Hernández-León R, Bande-Serrano J and Feregrino-Uribe C. (2017). On the design of hardware-software architectures for frequent itemsets mining on data streams. Journal of Intelligent Information Systems. 10.1007/s10844-017-0461-8. 50:3. (415-440). Online publication date: 1-Jun-2018.

    http://link.springer.com/10.1007/s10844-017-0461-8

  • Vallero A, Carelli A and Di Carlo S. (2018). Trading-off reliability and performance in FPGA-based reconfigurable heterogeneous systems 2018 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era (DTIS). 10.1109/DTIS.2018.8368557. 978-1-5386-5291-6. (1-6).

    https://ieeexplore.ieee.org/document/8368557/

  • Wang G, Liu S and Sun J. (2018). A dynamic partial reconfigurable system with combined task allocation method to improve the reliability of FPGA. Microelectronics Reliability. 10.1016/j.microrel.2018.02.005. 83. (14-24). Online publication date: 1-Apr-2018.

    https://linkinghub.elsevier.com/retrieve/pii/S0026271418300350

  • Stewart R, Duncan K, Michaelson G, Garcia P, Bhowmik D and Wallace A. (2018). RIPL. ACM Transactions on Reconfigurable Technology and Systems. 11:1. (1-24). Online publication date: 31-Mar-2018.

    https://doi.org/10.1145/3180481

  • Hung W and Sun R. Challenges in Large FPGA-based Logic Emulation Systems. Proceedings of the 2018 International Symposium on Physical Design. (26-33).

    https://doi.org/10.1145/3177540.3177542

  • Pandey R and Purohit H. Generic architecture of a social media-driven intervention support system for smart cities. Proceedings of the Workshop Program of the 19th International Conference on Distributed Computing and Networking. (1-6).

    https://doi.org/10.1145/3170521.3170528

  • (2018). Area efficient SDR receiver without and with dynamic partial reconfiguration. International Journal of Intelligent Systems Technologies and Applications. 17:1-2. (176-194). Online publication date: 1-Jan-2018.

    /doi/10.5555/3271948.3271960

  • (2018). Area efficient SDR receiver without and with dynamic partial reconfiguration. International Journal of Intelligent Systems Technologies and Applications. 17:1-2. (176-194). Online publication date: 1-Jan-2018.

    /doi/10.5555/3271926.3271938

  • Souza J, Sartor A, Carro L, Rutzig M, Wong S and Beck A. (2018). DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability. Applied Reconfigurable Computing. Architectures, Tools, and Applications. 10.1007/978-3-319-78890-6_30. (367-378).

    http://link.springer.com/10.1007/978-3-319-78890-6_30

  • Ruiz-Rosero J, Ramirez-Gonzalez G, Williams J, Liu H, Khanna R and Pisharody G. (2017). Internet of Things: A Scientometric Review. Symmetry. 10.3390/sym9120301. 9:12. (301).

    https://www.mdpi.com/2073-8994/9/12/301

  • Shahrouzi S and Perera D. (2017). Dynamic partial reconfigurable hardware architecture for principal component analysis on mobile and embedded devices. EURASIP Journal on Embedded Systems. 10.1186/s13639-017-0074-x. 2017:1. Online publication date: 1-Dec-2017.

    https://jes-eurasipjournals.springeropen.com/articles/10.1186/s13639-017-0074-x

  • Milutinovic V, Trifunovic N, Korolija N, Popovic J and Bojic D. (2017). Accelerating program execution using hybrid control flow and dataflow architectures 2017 25th Telecommunication Forum (TELFOR). 10.1109/TELFOR.2017.8249476. 978-1-5386-3073-0. (1-4).

    http://ieeexplore.ieee.org/document/8249476/

  • Khurshid B. (2017). Technology-Optimized Fixed-Point Bit-Parallel Multipliers for FPGAs. Journal of Signal Processing Systems. 89:2. (293-317). Online publication date: 1-Nov-2017.

    https://doi.org/10.1007/s11265-016-1195-5

  • Cheremkhin P, Evtikhiev N, Krasnov V, Rodin V, Starikov R, Huckridge D, Ebert R and Bürsing H. (2017). Accurate estimation of camera shot noise in the real-time Electro-Optical and Infrared Systems: Technology and Applications. 10.1117/12.2278394. 9781510613300. (46).

    https://spiedigitallibrary.org/conference-proceedings-of-spie/10433/2278394/Accurate-estimation-of-camera-shot-noise-in-the-real-time/10.1117/12.2278394.full

  • Singh K and Gagneja K. (2017). Overview of securing multimedia content using efficient encryption methods and modes. International Journal of ADVANCED AND APPLIED SCIENCES. 10.21833/ijaas.2017.010.013. 4:10. (84-96). Online publication date: 1-Oct-2017.

    http://www.science-gate.com/IJAAS/V4I10/Singh.html

  • Pezzarossa L, Kristensen A, Schoeberl M and Sparso J. (2017). Can real-time systems benefit from dynamic partial reconfiguration? 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC). 10.1109/NORCHIP.2017.8124984. 978-1-5386-2844-7. (1-6).

    http://ieeexplore.ieee.org/document/8124984/

  • Raghavan R and Perera D. (2017). A fast and scalable FPGA-based parallel processing architecture for K-means clustering for big data analysis 2017 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM). 10.1109/PACRIM.2017.8121905. 978-1-5386-0700-8. (1-8).

    http://ieeexplore.ieee.org/document/8121905/

  • Shan R, Li T, Jiang L, Deng J and Shen X. (2017). Design and implementation of a data-driven dynamical reconfigurable cell array. Journal of Shanghai Jiaotong University (Science). 10.1007/s12204-017-1862-0. 22:4. (493-503). Online publication date: 1-Aug-2017.

    http://link.springer.com/10.1007/s12204-017-1862-0

  • Ordaz J and Koch D. HLS Compilation for CPU Interlays. Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies. (1-6).

    https://doi.org/10.1145/3120895.3120922

  • Khurshid B. (2017). LUT based realization of fixed-point multipliers targeting state-of-art FPGAs. Design Automation for Embedded Systems. 21:2. (89-115). Online publication date: 1-Jun-2017.

    https://doi.org/10.1007/s10617-017-9184-x

  • Luinaud T, Savaria Y and Langlois J. An FPGA Coarse Grained Intermediate Fabric for Regular Expression Search. Proceedings of the Great Lakes Symposium on VLSI 2017. (423-426).

    https://doi.org/10.1145/3060403.3060429

  • Najem M, Bollengier T, Le Lann J and Lagadec L. (2017). A cost-effective approach for efficient time-sharing of reconfigurable architectures 2017 International Conference on FPGA Reconfiguration for General-Purpose Computing (FPGA4GPC). 10.1109/FPGA4GPC.2017.8008959. 978-1-5090-4755-0. (7-12).

    http://ieeexplore.ieee.org/document/8008959/

  • Khurshid B and Naaz R. (2017). Efficient Realization of Fixed-Point Binary and Ternary Adders on FPGAs. Journal of Circuits, Systems and Computers. 10.1142/S0218126617500530. 26:04. (1750053). Online publication date: 1-Apr-2017.

    http://www.worldscientific.com/doi/abs/10.1142/S0218126617500530

  • Kareemullah H, Janakiraman N and Kumar P. (2017). A survey on embedded reconfigurable architectures 2017 International Conference on Communication and Signal Processing (ICCSP). 10.1109/ICCSP.2017.8286636. 978-1-5090-3800-8. (1500-1504).

    http://ieeexplore.ieee.org/document/8286636/

  • Schmidt B and Hildebrandt A. (2017). Next-generation sequencing: big data meets high performance computing. Drug Discovery Today. 10.1016/j.drudis.2017.01.014. 22:4. (712-717). Online publication date: 1-Apr-2017.

    https://linkinghub.elsevier.com/retrieve/pii/S1359644617300582

  • (2017). Fault‐Tolerance Techniques for FPGA. Fault‐Tolerance Techniques for Spacecraft Control Computers. 10.1002/9781119107392.ch6. (179-231). Online publication date: 15-Mar-2017.

    https://onlinelibrary.wiley.com/doi/10.1002/9781119107392.ch6

  • (2017). Chapter 8: Building Reconfigurable Systems Using Commercial FPGAs. FPGAs Fundamentals, Advanced Features, and Applications in Industrial Electronics. 10.1201/9781315162133-9. (197-228). Online publication date: 3-Mar-2017.

    http://www.crcnetbase.com/doi/10.1201/9781315162133-9

  • Choi W. (2017). Monolithic 3D (M3D) reconfigurable logic applications using extremely-low-power electron devices 2017 China Semiconductor Technology International Conference (CSTIC). 10.1109/CSTIC.2017.7919753. 978-1-5090-6694-0. (1-4).

    http://ieeexplore.ieee.org/document/7919753/

  • Wang C, Li X, Zhang H, Wang A and Zhou X. (2017). Hot spots profiling and dataflow analysis in custom dataflow computing SoftProcessors. Journal of Systems and Software. 125:C. (427-438). Online publication date: 1-Mar-2017.

    https://doi.org/10.1016/j.jss.2016.07.025

  • Boland D, Cheng C, Kahng A and Leong P. (2017). Reconfigurable Computing. Wiley Encyclopedia of Electrical and Electronics Engineering. 10.1002/047134608X.W7603.pub3. (1-17).

    https://onlinelibrary.wiley.com/doi/10.1002/047134608X.W7603.pub3

  • Goehringer D. (2017). Reconfigurable Multiprocessor Systems-on-Chip. Computing Platforms for Software-Defined Radio. 10.1007/978-3-319-49679-5_5. (91-105).

    http://link.springer.com/10.1007/978-3-319-49679-5_5

  • Obeid A, BenSaleh M, Alkanhal M, Shalash A and Abid M. (2016). A proposed methodology for designing reconfigurable solutions 2016 11th International Design & Test Symposium (IDT). 10.1109/IDT.2016.7843014. 978-1-5090-4900-4. (53-58).

    http://ieeexplore.ieee.org/document/7843014/

  • Quan W and Pimentel A. (2016). A hierarchical run-time adaptive resource allocation framework for large-scale MPSoC systems. Design Automation for Embedded Systems. 20:4. (311-339). Online publication date: 1-Dec-2016.

    https://doi.org/10.1007/s10617-016-9179-z

  • Pujolle G. (2016). Morphware Networks 2016 7th International Conference on the Network of the Future (NOF). 10.1109/NOF.2016.7810138. 978-1-5090-4671-3. (1-4).

    http://ieeexplore.ieee.org/document/7810138/

  • Hou Z, Zhao Z, Sheng W and He W. (2016). System level power consumption modeling and optimization for coarse-grained reconfigurable architectures 2016 International Conference on Integrated Circuits and Microsystems (ICICM). 10.1109/ICAM.2016.7813532. 978-1-5090-2813-9. (1-6).

    http://ieeexplore.ieee.org/document/7813532/

  • Gladshtein M. (2016). Quantum-dot cellular automata serial decimal processing-in-wire. Microelectronics Journal. 55:C. (152-161). Online publication date: 1-Sep-2016.

    https://doi.org/10.1016/j.mejo.2016.07.009

  • Schmit H and Huang R. Dissecting Xeon + FPGA. Proceedings of the 2016 International Symposium on Low Power Electronics and Design. (152-153).

    https://doi.org/10.1145/2934583.2953983

  • Smarr B, Burnett D, Mesri S, Pister K and Kriegsfeld L. (2016). A Wearable Sensor System with Circadian Rhythm Stability Estimation for Prototyping Biomedical Studies. IEEE Transactions on Affective Computing. 7:3. (220-230). Online publication date: 1-Jul-2016.

    https://doi.org/10.1109/TAFFC.2015.2511762

  • Moura R, Souza J, Carro L, Beck A and Rutzig M. (2016). The Impact of Heterogeneity on a Reconfigurable Multicore System 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 10.1109/ISVLSI.2016.67. 978-1-4673-9039-2. (701-706).

    http://ieeexplore.ieee.org/document/7560283/

  • Pezzarossa L, Schoeberl M and Sparso J. (2016). Reconfiguration in FPGA-based multi-core platforms for hard real-time applications 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC). 10.1109/ReCoSoC.2016.7533895. 978-1-5090-2520-6. (1-8).

    http://ieeexplore.ieee.org/document/7533895/

  • Luo K. (2016). An efficient and cost-effective context-parsing architecture for dynamically reconfigurable cryptographic processor 2016 8th IEEE International Conference on Communication Software and Networks (ICCSN). 10.1109/ICCSN.2016.7586621. 978-1-5090-1781-2. (71-74).

    http://ieeexplore.ieee.org/document/7586621/

  • Memmi F, Falconi L, Cappelli M, Palomba M and Sepielli M. (2016). A user-friendly, digital console for the control room parameters supervision in old-generation nuclear plants. Nuclear Engineering and Design. 10.1016/j.nucengdes.2016.03.010. 302. (12-19). Online publication date: 1-Jun-2016.

    https://linkinghub.elsevier.com/retrieve/pii/S0029549316001230

  • Brandalero M and Beck A. (2016). Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism. Design Automation for Embedded Systems. 20:2. (155-169). Online publication date: 1-Jun-2016.

    https://doi.org/10.1007/s10617-016-9174-4

  • Banik S, Bogdanov A, Fanni T, Sau C, Raffo L, Palumbo F and Regazzoni F. Adaptable AES implementation with power-gating support. Proceedings of the ACM International Conference on Computing Frontiers. (331-334).

    https://doi.org/10.1145/2903150.2903488

  • Sandes E, Boukerche A and Melo A. (2016). Parallel Optimal Pairwise Biological Sequence Comparison. ACM Computing Surveys. 48:4. (1-36). Online publication date: 2-May-2016.

    https://doi.org/10.1145/2893488

  • Huang K, Zhao R and Lian Y. (2016). Racetrack Memory-Based Nonvolatile Storage Elements for Multicontext FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 24:5. (1885-1894). Online publication date: 1-May-2016.

    https://doi.org/10.1109/TVLSI.2015.2474706

  • Jeemon J. (2016). Pipelined 8-bit RISC processor design using Verilog HDL on FPGA 2016 IEEE International Conference on Recent Trends in Electronics, Information & Communication Technology (RTEICT). 10.1109/RTEICT.2016.7808194. 978-1-5090-0774-5. (2023-2027).

    http://ieeexplore.ieee.org/document/7808194/

  • Cupic M, Brkic K and Mihajlovic Z. (2016). A platform independent tool for programming, visualization and simulation of simplified FPGAs 2016 39th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO). 10.1109/MIPRO.2016.7522283. 978-953-233-086-1. (986-991).

    http://ieeexplore.ieee.org/document/7522283/

  • Jain A, Li X, Singhai P, Maskell D and Fahmy S. (2016). DeCO: A DSP Block Based FPGA Accelerator Overlay with Low Overhead Interconnect 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 10.1109/FCCM.2016.10. 978-1-5090-2356-1. (1-8).

    http://ieeexplore.ieee.org/document/7544733/

  • Khurshid B and Naaz R. (2016). Technology optimised fixed-point bit-parallel multiplier for LUT-based FPGAs. International Journal of High Performance Systems Architecture. 6:1. (28-35). Online publication date: 1-Apr-2016.

    https://doi.org/10.1504/IJHPSA.2016.076205

  • Charousset D, Hiesgen R and Schmidt T. (2016). Revisiting actor programming in C++. Computer Languages, Systems and Structures. 45:C. (105-131). Online publication date: 1-Apr-2016.

    https://doi.org/10.1016/j.cl.2016.01.002

  • Souza J, Cachola J, Carro L, Rutzig M and Beck A. Evaluating Schedulers in a Reconfigurable Multicore Heterogeneous System. Proceedings of the 12th International Symposium on Applied Reconfigurable Computing - Volume 9625. (261-272).

    https://doi.org/10.1007/978-3-319-30481-6_21

  • Souza J, Carro L, Rutzig M and Beck A. A reconfigurable heterogeneous multicore with a homogeneous ISA. Proceedings of the 2016 Conference on Design, Automation & Test in Europe. (1598-1603).

    /doi/10.5555/2971808.2972181

  • Khorgade M and Dakhole P. (2016). Optimization of reconfigurable fabric of DSP processor with image processing 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT). 10.1109/ICEEOT.2016.7754997. 978-1-4673-9939-5. (1799-1801).

    http://ieeexplore.ieee.org/document/7754997/

  • Khorgade M and Dakhole P. (2016). Implementation of 8 bit processing element for edge detection techniques 2016 International Conference on Information Communication and Embedded Systems (ICICES). 10.1109/ICICES.2016.7518854. 978-1-5090-2552-7. (1-5).

    http://ieeexplore.ieee.org/document/7518854/

  • Qadri M, Qadri N and McDonald-Maier K. (2016). Fuzzy logic based energy and throughput aware design space exploration for MPSoCs. Microprocessors & Microsystems. 40:C. (113-123). Online publication date: 1-Feb-2016.

    https://doi.org/10.1016/j.micpro.2015.08.001

  • Silva R, Bhatia S and Densmore D. (2016). A reconfigurable continuous-flow fluidic routing fabric using a modular, scalable primitive. Lab on a Chip. 10.1039/C6LC00477F. 16:14. (2730-2741).

    http://xlink.rsc.org/?DOI=C6LC00477F

  • Palumbo F, Sau C, Evangelista D, Meloni P, Pelcat M and Raffo L. (2016). Runtime Energy versus Quality Tuning in Motion Compensation Filters for HEVC. IFAC-PapersOnLine. 10.1016/j.ifacol.2016.12.025. 49:25. (145-152).

    https://linkinghub.elsevier.com/retrieve/pii/S2405896316326611

  • Bustio L, Cumplido R, Hernández R, Bande J and Feregrino C. (2016). Frequent Itemsets Mining in Data Streams Using Reconfigurable Hardware. New Frontiers in Mining Complex Patterns. 10.1007/978-3-319-39315-5_3. (32-45).

    http://link.springer.com/10.1007/978-3-319-39315-5_3

  • Schmid M, Schmitt C, Hannig F, Malazgirt G, Sonmez N, Yurdakul A and Cristal A. (2016). Big Data and HPC Acceleration with Vivado HLS. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_7. (115-136).

    http://link.springer.com/10.1007/978-3-319-26408-0_7

  • Hannig F. (2016). A Quick Tour of High-Level Synthesis Solutions for FPGAs. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_3. (49-59).

    http://link.springer.com/10.1007/978-3-319-26408-0_3

  • Cardoso J and Weinhardt M. (2016). High-Level Synthesis. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_2. (23-47).

    http://link.springer.com/10.1007/978-3-319-26408-0_2

  • So H and Liu C. (2016). FPGA Overlays. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_16. (285-305).

    http://link.springer.com/10.1007/978-3-319-26408-0_16

  • Fleming K and Adler M. (2016). The LEAP FPGA Operating System. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_14. (245-258).

    http://link.springer.com/10.1007/978-3-319-26408-0_14

  • Agne A, Platzner M, Plessl C, Happe M and Lübbers E. (2016). ReconOS. FPGAs for Software Programmers. 10.1007/978-3-319-26408-0_13. (227-244).

    http://link.springer.com/10.1007/978-3-319-26408-0_13

  • Zhang C, Liu L and Öwall V. (2016). The Reconfigurable Cell Array. Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing. 10.1007/978-3-319-24004-6_4. (27-47).

    http://link.springer.com/10.1007/978-3-319-24004-6_4

  • Zhang C, Liu L and Öwall V. (2016). Digital Hardware Platforms. Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing. 10.1007/978-3-319-24004-6_2. (9-16).

    http://link.springer.com/10.1007/978-3-319-24004-6_2

  • Zhang C, Liu L and Öwall V. (2016). Introduction. Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing. 10.1007/978-3-319-24004-6_1. (1-7).

    http://link.springer.com/10.1007/978-3-319-24004-6_1

  • Guo Z, Han J, Che F and Li T. (2015). Parallel Implementation of OpenVX on Firefly2 GPU 2015 8th International Symposium on Computational Intelligence and Design (ISCID). 10.1109/ISCID.2015.184. 978-1-4673-9586-1. (218-221).

    http://ieeexplore.ieee.org/document/7468937/

  • Khurshid B and Naaz R. (2015). Improving synthesis of fixed-point adders on FPGAs using primitive instantiations 2015 Annual IEEE India Conference (INDICON). 10.1109/INDICON.2015.7443470. 978-1-4673-7399-9. (1-5).

    http://ieeexplore.ieee.org/document/7443470/

  • Liu C, Ng H and So H. (2015). QuickDough: A rapid FPGA loop accelerator design framework using soft CGRA overlay 2015 International Conference on Field Programmable Technology (FPT). 10.1109/FPT.2015.7393130. 978-1-4673-9091-0. (56-63).

    http://ieeexplore.ieee.org/document/7393130/

  • Liu C, Sun Y and Christopher L. (2015). 3D EM/MPM Image Segmentation Using an FPGA Embedded Design Implementation. Journal of Signal Processing Systems. 81:3. (411-424). Online publication date: 1-Dec-2015.

    https://doi.org/10.1007/s11265-014-0965-1

  • Capella F, Brandalero M, Carro L and Beck A. (2015). A multiple-ISA reconfigurable architecture. Design Automation for Embedded Systems. 19:4. (329-344). Online publication date: 1-Dec-2015.

    https://doi.org/10.1007/s10617-015-9159-8

  • Wang C, Li X, Zhou X, Nedjah N and Wang A. (2015). Codem: Software/Hardware Codesign for Embedded Multicore Systems Supporting Hardware Services. Reconfigurable and Adaptive Computing. 10.1201/b19157-12. (169-190). Online publication date: 24-Nov-2015.

    http://www.crcnetbase.com/doi/10.1201/b19157-12

  • Guo L, Funie A, Xie Z, Thomas D and Luk W. (2015). A general-purpose framework for FPGA-accelerated genetic algorithms. International Journal of Bio-Inspired Computation. 7:6. (361-375). Online publication date: 1-Nov-2015.

    https://doi.org/10.1504/IJBIC.2015.073183

  • Huckridge D, Ebert R, Gruneisen M, Dusek M, Rarity J, Cheremkhin P, Evtikhiev N, Krasnov V, Rodin V, Starikov R and Starikov S. (2015). Fast measurement of temporal noise of digital camera's photosensors SPIE Security + Defence. 10.1117/12.2194979. . (96480R). Online publication date: 13-Oct-2015.

    http://proceedings.spiedigitallibrary.org/proceeding.aspx?doi=10.1117/12.2194979

  • Leibo Liu , Dong Wang , Min Zhu , Yansheng Wang , Shouyi Yin , Peng Cao , Jun Yang and Shaojun Wei . (2015). An Energy-Efficient Coarse-Grained Reconfigurable Processing Unit for Multiple-Standard Video Decoding. IEEE Transactions on Multimedia. 17:10. (1706-1720). Online publication date: 1-Oct-2015.

    https://doi.org/10.1109/TMM.2015.2463735

  • Tuntas R. (2015). A new intelligent hardware implementation based on field programmable gate array for chaotic systems. Applied Soft Computing. 35:C. (237-246). Online publication date: 1-Oct-2015.

    https://doi.org/10.1016/j.asoc.2015.06.039

  • Pellauer M, Parashar A, Adler M, Ahsan B, Allmon R, Crago N, Fleming K, Gambhir M, Jaleel A, Krishna T, Lustig D, Maresh S, Pavlov V, Rayess R, Zhai A and Emer J. (2015). Efficient Control and Communication Paradigms for Coarse-Grained Spatial Architectures. ACM Transactions on Computer Systems. 33:3. (1-32). Online publication date: 11-Sep-2015.

    https://doi.org/10.1145/2754930

  • Perera D. Analysis of FPGA-Based Reconfiguration Methods for Mobile and Embedded Applications. Proceedings of the 12th FPGAworld Conference 2015. (15-20).

    https://doi.org/10.1145/2889287.2889297

  • Bustio L, Cumplido R, Hernández R, Bande J and Feregrino C. Frequent itemsets mining in data streams using reconfigurable hardware. Proceedings of the 4th International Conference on New Frontiers in Mining Complex Patterns. (32-45).

    /doi/10.5555/3122094.3122098

  • Duhem F, Muller F, Bonamy R and Bilavarn S. (2015). FoRTReSS. Design Automation for Embedded Systems. 19:3. (301-326). Online publication date: 1-Sep-2015.

    https://doi.org/10.1007/s10617-015-9160-2

  • Jing C. Ant-Colony Optimization Based Algorithm for Energy-Efficient Scheduling on Dynamically Reconfigurable Systems. Proceedings of the 2015 Ninth International Conference on Frontier of Computer Science and Technology. (127-134).

    https://doi.org/10.1109/FCST.2015.10

  • Tuntas R. (2015). The Modeling and Hardware Implementation of Semiconductor Circuit Elements by Using ANN and FPGA. Acta Physica Polonica A. 10.12693/APhysPolA.128.B-78. 128:2B. (B-78-B-82). Online publication date: 1-Aug-2015.

    http://przyrbwn.icm.edu.pl/APP/PDF/128/a128z2bp021.pdf

  • Shan R, Li T, Han J and Jiang L. (2014). A dynamically reconfigurable cell array for software-defined radio. International Journal of Electronics Letters. 10.1080/21681724.2014.917711. 3:3. (139-151). Online publication date: 3-Jul-2015.

    http://www.tandfonline.com/doi/full/10.1080/21681724.2014.917711

  • Pfeffer P, Hartmann F, Höfling S, Kamp M and Worschech L. (2015). Logical Stochastic Resonance with a Coulomb-Coupled Quantum-Dot Rectifier. Physical Review Applied. 10.1103/PhysRevApplied.4.014011. 4:1.

    https://link.aps.org/doi/10.1103/PhysRevApplied.4.014011

  • Yueh W, Chatterjee S, Zia M, Bhunia S and Mukhopadhyay S. A Memory-Based Logic Block With Optimized-for-Read SRAM for Energy-Efficient Reconfigurable Computing Fabric. IEEE Transactions on Circuits and Systems II: Express Briefs. 10.1109/TCSII.2015.2407792. 62:6. (593-597).

    http://ieeexplore.ieee.org/document/7051284/

  • Shannon L, Cojocaru V, Dao C and Leong P. Technology Scaling in FPGAs. Proceedings of the 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines. (1-8).

    https://doi.org/10.1109/FCCM.2015.11

  • Suzuki D and Hanyu T. (2015). Nonvolatile field-programmable gate array using 2-transistor–1-MTJ-cell-based multi-context array for power and area efficient dynamically reconfigurable logic. Japanese Journal of Applied Physics. 10.7567/JJAP.54.04DE01. 54:4S. (04DE01). Online publication date: 1-Apr-2015.

    http://stacks.iop.org/1347-4065/54/i=4S/a=04DE01?key=crossref.495d177aa671de8942d192115b91b356

  • Shidlovskiy S and Syryamkin V. (2015). Adaptive Structural Reconfigurable Algorithms of Control. Applied Mechanics and Materials. 10.4028/www.scientific.net/AMM.756.640. 756. (640-645).

    https://www.scientific.net/AMM.756.640

  • Wirthlin M. High-Reliability FPGA-Based Systems: Space, High-Energy Physics, and Beyond. Proceedings of the IEEE. 10.1109/JPROC.2015.2404212. 103:3. (379-389).

    http://ieeexplore.ieee.org/document/7086415/

  • Al Farisi B, Heyse K, Bruneel K, Cardoso J and Stroobandt D. (2015). Enabling FPGA routing configuration sharing in dynamic partial reconfiguration. Design Automation for Embedded Systems. 19:1-2. (189-221). Online publication date: 1-Mar-2015.

    https://doi.org/10.1007/s10617-014-9143-8

  • Merkle D, Middendorf M and Scheidler A. (2015). Task allocation in organic computing systems. International Journal of Autonomous and Adaptive Communications Systems. 8:1. (60-80). Online publication date: 1-Feb-2015.

    https://doi.org/10.1504/IJAACS.2015.067695

  • Yong Jun Kim and Woo Young Choi . Nonvolatile Nanoelectromechanical Memory Switches for Low-Power and High-Speed Field-Programmable Gate Arrays. IEEE Transactions on Electron Devices. 10.1109/TED.2014.2380992. 62:2. (673-679).

    http://ieeexplore.ieee.org/document/6996002/

  • Diniz C, Shafique M, Bampi S and Henkel J. (2015). A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 34:2. (238-251). Online publication date: 1-Feb-2015.

    https://doi.org/10.1109/TCAD.2014.2384517

  • Farmahini-Farahani A, Ahn J, Morrow K and Kim N. (2015). NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056040. 978-1-4799-8930-0. (283-295).

    http://ieeexplore.ieee.org/document/7056040/

  • Khurshid B, Nazir L and Mir R. (2015). Technology optimized fixed-point bit-parallel multiplier for LUT based FPGAs 2015 2nd International Conference on Electronics and Communication Systems (ICECS). 10.1109/ECS.2015.7124915. 978-1-4799-7225-8. (316-321).

    http://ieeexplore.ieee.org/document/7124915/

  • Wang C, Li X, Zhou X, Nedjah N and Wang A. (2014). Codem: software/hardware codesign for embedded multicore systems supporting hardware services. International Journal of Electronics. 10.1080/00207217.2014.938312. 102:1. (32-47). Online publication date: 2-Jan-2015.

    http://www.tandfonline.com/doi/abs/10.1080/00207217.2014.938312

  • Mitra T. (2015). Heterogeneous Multi-core Architectures. IPSJ Transactions on System LSI Design Methodology. 10.2197/ipsjtsldm.8.51. 8:0. (51-62).

    https://www.jstage.jst.go.jp/article/ipsjtsldm/8/0/8_51/_article

  • Wang G, Stitt G, Lam H and George A. (2016). Core-level modeling and frequency prediction for DSP applications on FPGAs. International Journal of Reconfigurable Computing. 2015. (7-7). Online publication date: 1-Jan-2015.

    https://doi.org/10.1155/2015/784672

  • Rutzig M, Beck A and Carro L. (2015). Adaptive and dynamic reconfigurable multiprocessor system to improve software productivity. IET Computers & Digital Techniques. 10.1049/iet-cdt.2014.0072. 9:1. (63-72). Online publication date: 1-Jan-2015.

    https://onlinelibrary.wiley.com/doi/10.1049/iet-cdt.2014.0072

  • Stojanović S, Bojić D and Bojović M. (2015). An Overview of Selected Heterogeneous and Reconfigurable Architectures. Dataflow Processing. 10.1016/bs.adcom.2014.11.003. (1-45).

    https://linkinghub.elsevier.com/retrieve/pii/S0065245814000084

  • Gong L and Diessel O. (2015). Verification Challenges. Functional Verification of Dynamically Reconfigurable FPGA-based Systems. 10.1007/978-3-319-06838-1_2. (15-40).

    https://link.springer.com/10.1007/978-3-319-06838-1_2

  • Gong L and Diessel O. (2015). Introduction. Functional Verification of Dynamically Reconfigurable FPGA-based Systems. 10.1007/978-3-319-06838-1_1. (1-13).

    https://link.springer.com/10.1007/978-3-319-06838-1_1

  • Yang C, Liu L, Yin S and Wei S. (2014). Efficient and flexible memory architecture to alleviate data and context bandwidth bottlenecks of coarse-grained reconfigurable arrays. Science China Physics, Mechanics & Astronomy. 10.1007/s11433-014-5610-2. 57:12. (2214-2227). Online publication date: 1-Dec-2014.

    http://link.springer.com/10.1007/s11433-014-5610-2

  • Brandalero M and Beck A. Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements. Proceedings of the 2014 Brazilian Symposium on Computing Systems Engineering. (43-48).

    https://doi.org/10.1109/SBESC.2014.19

  • Piat J and Crenne J. (2014). Modeling dynamic partial reconfiguration in the dataflow paradigm 2014 IEEE Workshop on Signal Processing Systems (SiPS). 10.1109/SiPS.2014.6986103. 978-1-4799-6588-5. (1-6).

    http://ieeexplore.ieee.org/document/6986103/

  • Amir M, Trivedi A and Mukhopadhyay S. (2014). A tunnel-FET SRAM array for energy-efficient embedded memory blocks in reconfigurable computing platforms 2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). 10.1109/S3S.2014.7028224. 978-1-4799-7439-9. (1-2).

    http://ieeexplore.ieee.org/document/7028224/

  • Deng C, Liu L, Li Z, Yin S and Wei S. (2014). Teach Reconfigurable Computing using mixed-grained fabrics based hardware infrastructure 2014 IEEE Frontiers in Education Conference (FIE). 10.1109/FIE.2014.7044092. 978-1-4799-3922-0. (1-9).

    http://ieeexplore.ieee.org/document/7044092/

  • Liu L, Wang Y, Yin S, Zhu M, Wang X and Wei S. (2014). Row-based configuration mechanism for a 2-D processing element array in coarse-grained reconfigurable architecture. Science China Information Sciences. 10.1007/s11432-013-4973-8. 57:10. (1-18). Online publication date: 1-Oct-2014.

    http://link.springer.com/10.1007/s11432-013-4973-8

  • Jain A, Pham K, Cui J, Fahmy S and Maskell D. (2014). Virtualized Execution and Management of Hardware Tasks on a Hybrid ARM-FPGA Platform. Journal of Signal Processing Systems. 77:1-2. (61-76). Online publication date: 1-Oct-2014.

    https://doi.org/10.1007/s11265-014-0884-1

  • Hong S, Na H and Ahn J. (2013). Assessment of architectural options for a dual-mode disaster monitoring constellation supported by on-orbit propellant depots. Proceedings of the Institution of Mechanical Engineers, Part G: Journal of Aerospace Engineering. 10.1177/0954410013515369. 228:11. (2108-2122). Online publication date: 1-Sep-2014.

    https://journals.sagepub.com/doi/10.1177/0954410013515369

  • Huang K, Ha Y, Zhao R, Kumar A and Lian Y. A Low Active Leakage and High Reliability Phase Change Memory (PCM) Based Non-Volatile FPGA Storage Element. IEEE Transactions on Circuits and Systems I: Regular Papers. 10.1109/TCSI.2014.2312499. 61:9. (2605-2613).

    http://ieeexplore.ieee.org/document/6780644/

  • Guo L, Thomas D, Ce Guo and Luk W. (2014). Automated framework for FPGA-based parallel genetic algorithms 2014 24th International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2014.6927501. 978-3-00-044645-0. (1-7).

    http://ieeexplore.ieee.org/document/6927501/

  • Wang G and Li S. A Mapping Method for Application Customized Reconfigurable Pipeline. Proceedings of the 2014 9th IEEE International Conference on Networking, Architecture, and Storage. (123-127).

    https://doi.org/10.1109/NAS.2014.27

  • Hua Nie , Gongbo Li , Xingkui Liu , Xiaojun Yang and Keping Long . (2014). A management architecture of cloud server systems 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). 10.1109/RTCSA.2014.6910544. 978-1-4799-3953-4. (1-7).

    http://ieeexplore.ieee.org/document/6910544/

  • Kim K, Chung K and Choi K. (2014). Reconfigurable Test Execution Machine for Embedded System. KIPS Transactions on Software and Data Engineering. 10.3745/KTSDE.2014.3.7.243. 3:7. (243-254). Online publication date: 31-Jul-2014.

    http://koreascience.or.kr/journal/view.jsp?kj=JBCRJM&py=2014&vnc=v3n7&sp=243

  • Saranya R and Pradeep C. (2014). FPGA synthesis of area efficient data path for reconfigurable FIR filter 2014 International Conference on Control, Instrumentation, Communication and Computational Technologies (ICCICCT). 10.1109/ICCICCT.2014.6992985. 978-1-4799-4190-2. (349-354).

    http://ieeexplore.ieee.org/document/6992985/

  • Zhang J, Wang C, Li X, Zhou X, Wang A, Jia G and Nedjah N. (2014). Amdahl's and Hill-Marty laws revisited for FPGA-based MPSoCs. International Journal of High Performance Systems Architecture. 5:2. (115-126). Online publication date: 1-May-2014.

    https://doi.org/10.1504/IJHPSA.2014.061466

  • Yansheng Wang , Leibo Liu , Shouyi Yin , Min Zhu , Peng Cao , Jun Yang and Shaojun Wei . On-Chip Memory Hierarchy in One Coarse-Grained Reconfigurable Architecture to Compress Memory Space and to Reduce Reconfiguration Time and Data-Reference Time. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10.1109/TVLSI.2013.2263155. 22:5. (983-994).

    http://ieeexplore.ieee.org/document/6553240/

  • Nithya R, Sarath Chandran K and Chandramani V. (2014). Run-time reconfiguration of Processing Elements through soft-core processor 2014 International Conference on Communications and Signal Processing (ICCSP). 10.1109/ICCSP.2014.6949956. 978-1-4799-3358-7. (813-817).

    http://ieeexplore.ieee.org/document/6949956/

  • Ashammagari A, Mahmoodi H and Homayoun H. Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design. Proceedings of the conference on Design, Automation & Test in Europe. (1-6).

    /doi/10.5555/2616606.2617083

  • Tithi J, Crago N and Emer J. (2014). Exploiting spatial architectures for edit distance algorithms 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2014.6844458. 978-1-4799-3606-9. (23-34).

    http://ieeexplore.ieee.org/document/6844458/

  • Palumbo F, Carta N, Pani D, Meloni P and Raffo L. (2014). The multi-dataflow composer tool. Journal of Real-Time Image Processing. 9:1. (233-249). Online publication date: 1-Mar-2014.

    https://doi.org/10.1007/s11554-012-0284-3

  • Wang X. (2014). Hardware---software optimizations of reconfigurable multi-core processors for floating-point computations of large sparse matrices. Journal of Real-Time Image Processing. 9:1. (187-204). Online publication date: 1-Mar-2014.

    https://doi.org/10.1007/s11554-012-0277-2

  • Mahawar K and Dhaka M. (2014). SOPC based Network enabled Voice Codec Unit 2014 IEEE International Advance Computing Conference (IACC). 10.1109/IAdCC.2014.6779313. 978-1-4799-2572-8. (166-170).

    http://ieeexplore.ieee.org/document/6779313/

  • Liu C and Anderson J. (2014). Supporting soft real-time parallel applications on multiprocessors. Journal of Systems Architecture: the EUROMICRO Journal. 60:2. (152-164). Online publication date: 1-Feb-2014.

    https://doi.org/10.1016/j.sysarc.2013.07.001

  • Qadri M, McDonald Maier K and Qadri N. (2014). Energy and throughput aware fuzzy logic based reconfiguration for MPSoCs. Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology. 26:1. (101-113). Online publication date: 1-Jan-2014.

    /doi/10.5555/2596321.2596331

  • MILOVANOVIC E, STOJCEV M, MILOVANOVIC I and NIKOLIC T. (2014). Design of Linear Systolic Arrays for Matrix Multiplication. Advances in Electrical and Computer Engineering. 10.4316/AECE.2014.01006. 14:1. (37-42).

    http://www.aece.ro/abstractplus.php?year=2014&number=1&article=6

  • Roux R, van Schoor G and van Vuuren P. (2014). A survey on reducing reconfiguration cost: reconfigurable PID control as a special case. IFAC Proceedings Volumes. 10.3182/20140824-6-ZA-1003.01544. 47:3. (1320-1330).

    https://linkinghub.elsevier.com/retrieve/pii/S1474667016417969

  • Khan T and De A. (2014). Hardware Neural Networks Modeling for Computing Different Performance Parameters of Rectangular, Circular, and Triangular Microstrip Antennas. Chinese Journal of Engineering. 10.1155/2014/924927. 2014. (1-11).

    https://www.hindawi.com/archive/2014/924927/

  • Shafique M, Bauer L and Henkel J. (2014). Adaptive Energy Management for Dynamically Reconfigurable Processors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 33:1. (50-63). Online publication date: 1-Jan-2014.

    https://doi.org/10.1109/TCAD.2013.2282265

  • Wang G, Liu L and Li S. (2014). ACRP: Application Customized Reconfigurable Pipeline. Advanced Computer Architecture. 10.1007/978-3-662-44491-7_2. (16-30).

    http://link.springer.com/10.1007/978-3-662-44491-7_2

  • Lane Thames J. (2014). Semantic Association Systems for Product Data Integration in the Socio-Sphere. Product Development in the Socio-sphere. 10.1007/978-3-319-07404-7_3. (63-98).

    https://link.springer.com/10.1007/978-3-319-07404-7_3

  • Brahmi Z and Gharbi C. (2014). Temporal Reconfiguration-Based Orchestration Engine in the Cloud Computing. Business Information Systems. 10.1007/978-3-319-06695-0_7. (73-85).

    http://link.springer.com/10.1007/978-3-319-06695-0_7

  • Kritikakou A, Catthoor F and Goutis C. (2014). Design Exploration Methodology for Microprocessor and HW Accelerators. Scalable and Near-Optimal Design Space Exploration for Embedded Systems. 10.1007/978-3-319-04942-7_9. (231-260).

    https://link.springer.com/10.1007/978-3-319-04942-7_9

  • Paul S and Bhunia S. (2014). A Survey of Computing Architectures. Computing with Memory for Energy-Efficient Robust Systems. 10.1007/978-1-4614-7798-3_2. (11-27).

    https://link.springer.com/10.1007/978-1-4614-7798-3_2

  • Guo L, Thomas D and Luk W. (2014). Customisable architectures for the set covering problem. ACM SIGARCH Computer Architecture News. 41:5. (101-106). Online publication date: 18-Dec-2013.

    https://doi.org/10.1145/2641361.2641378

  • Cilardo A, Mazzocca N and Prinetto P. Exploring a New Dimension in Code Mobility for Ubiquitous Embedded Systems. Proceedings of the 2013 IEEE 10th International Conference on Ubiquitous Intelligence & Computing and 2013 IEEE 10th International Conference on Autonomic & Trusted Computing. (56-63).

    https://doi.org/10.1109/UIC-ATC.2013.40

  • Yang R, Wang J, Clement B and Mansour A. (2013). FPGA implementation of a parameterized Fourier synthesizer 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS). 10.1109/ICECS.2013.6815457. 978-1-4799-2452-3. (473-476).

    http://ieeexplore.ieee.org/document/6815457/

  • Bilal R, Hafiz R, Shafique M, Shoaib S, Munawar A and Henkel J. ISOMER. Proceedings of the International Conference on Computer-Aided Design. (755-762).

    /doi/10.5555/2561828.2561974

  • Capella F, Brandalero M, Junior J, Beck A and Carro L. A Multiple-ISA Reconfigurable Architecture. Proceedings of the 2013 III Brazilian Symposium on Computing Systems Engineering. (71-76).

    https://doi.org/10.1109/SBESC.2013.23

  • Eronu E, Misra S and Aibinu M. (2013). Reconfiguration approaches in Wireless Sensor Network: Issues and challenges 2013 IEEE International Conference on Emerging & Sustainable Technologies for Power & ICT in a Developing Society (NIGERCON). 10.1109/NIGERCON.2013.6715648. 978-1-4799-2017-4. (143-142).

    http://ieeexplore.ieee.org/document/6715648/

  • Bilal R, Hafiz R, Shafique M, Shoaib S, Munawar A and Henkel J. (2013). ISOMER: Integrated selection, partitioning, and placement methodology for reconfigurable architectures 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 10.1109/ICCAD.2013.6691199. 978-1-4799-1071-7. (755-762).

    http://ieeexplore.ieee.org/document/6691199/

  • Hibbard M, Peskin E and Sahin F. (2013). FPGA implementation of particle swarm optimization for Bayesian network learning. Computers and Electrical Engineering. 39:8. (2454-2468). Online publication date: 1-Nov-2013.

    https://doi.org/10.1016/j.compeleceng.2013.07.018

  • Wang Y, Liu L, Yin S, Zhu M, Cao P, Yang J and Wei S. (2013). Hierarchical representation of on-chip context to reduce reconfiguration time and implementation area for coarse-grained reconfigurable architecture. Science China Information Sciences. 10.1007/s11432-013-4842-5. 56:11. (1-20). Online publication date: 1-Nov-2013.

    http://link.springer.com/10.1007/s11432-013-4842-5

  • Mehta G, Crawford C, Luo X, Parde N, Patel K, Rodgers B, Sistla A, Yadav A and Reisner M. (2013). UNTANGLED. ACM Transactions on Reconfigurable Technology and Systems. 6:3. (1-26). Online publication date: 1-Oct-2013.

    https://doi.org/10.1145/2517325

  • Luo X, Najjar W and Hristidis V. (2013). Efficient near-duplicate document detection using FPGAs 2013 IEEE International Conference on Big Data. 10.1109/BigData.2013.6691698. 978-1-4799-1293-3. (54-61).

    http://ieeexplore.ieee.org/document/6691698/

  • Pandey M, Borgohain D, Ubhi J and Raju K. (2013). Real time histogram computation in kernel based tracking system 2013 International Conference on Advanced Electronic Systems (ICAES). 10.1109/ICAES.2013.6659385. 978-1-4799-1441-8. (171-174).

    http://ieeexplore.ieee.org/document/6659385/

  • Farisi B, Bruneel K and Stroobandt D. (2013). Staticroute: A novel router for the Dynamic Partial Reconfiguration of FPGAS 2013 23rd International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2013.6645512. 978-1-4799-0004-6. (1-7).

    http://ieeexplore.ieee.org/document/6645512/

  • Perera D and Li K. (2013). Analysis of single-chip hardware support for mobile and embedded applications 2013 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM). 10.1109/PACRIM.2013.6625505. 978-1-4799-1501-9. (369-376).

    http://ieeexplore.ieee.org/document/6625505/

  • Jing C, Zhu Y and Li M. (2013). Energy-efficient scheduling on multi-FPGA reconfigurable systems. Microprocessors & Microsystems. 37:6-7. (590-600). Online publication date: 1-Aug-2013.

    https://doi.org/10.1016/j.micpro.2013.05.001

  • Shan R, Li T and Han J. The Buffered Edge Reconfigurable Cell Array and Its Applications. Proceedings of the 2013 12th IEEE International Conference on Trust, Security and Privacy in Computing and Communications. (1023-1030).

    https://doi.org/10.1109/TrustCom.2013.125

  • Atak O and Atalar A. (2013). BilRC. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 21:7. (1285-1298). Online publication date: 1-Jul-2013.

    https://doi.org/10.1109/TVLSI.2012.2207748

  • Cancare F, Pilato C, Cazzaniga A, Sciuto D and Santambrogio M. (2013). D-RECS: A complete methodology to implement Self Dynamic Reconfigurable FPGA-based systems 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC). 10.1109/ReCoSoC.2013.6581550. 978-1-4673-6180-4. (1-6).

    http://ieeexplore.ieee.org/document/6581550/

  • Enshan Yang , Keheng Huang , Yu Hu , Xiaowei Li , Jian Gong , Hongjin Liu and Bo Liu . (2013). HHC: Hierarchical hardware checkpointing to accelerate fault recovery for SRAM-based FPGAs 2013 IEEE 19th International On-Line Testing Symposium (IOLTS). 10.1109/IOLTS.2013.6604078. 978-1-4799-0664-2. (193-198).

    http://ieeexplore.ieee.org/document/6604078/

  • Parashar A, Pellauer M, Adler M, Ahsan B, Crago N, Lustig D, Pavlov V, Zhai A, Gambhir M, Jaleel A, Allmon R, Rayess R, Maresh S and Emer J. (2013). Triggered instructions. ACM SIGARCH Computer Architecture News. 41:3. (142-153). Online publication date: 26-Jun-2013.

    https://doi.org/10.1145/2508148.2485935

  • Parashar A, Pellauer M, Adler M, Ahsan B, Crago N, Lustig D, Pavlov V, Zhai A, Gambhir M, Jaleel A, Allmon R, Rayess R, Maresh S and Emer J. Triggered instructions. Proceedings of the 40th Annual International Symposium on Computer Architecture. (142-153).

    https://doi.org/10.1145/2485922.2485935

  • Maskell D, Dang Pham K, Cui J, Jain A and Fahmy S. Microkernel hypervisor for a hybrid ARM-FPGA platform. Proceedings of the 2013 IEEE 24th International Conference on Application-specific Systems, Architectures and Processors (ASAP). (219-226).

    https://doi.org/10.1109/ASAP.2013.6567578

  • Liu Z, Li T and Han J. (2013). A novel reconfigurable data-flow architecture for real time video processing. Journal of Shanghai Jiaotong University (Science). 10.1007/s12204-013-1405-2. 18:3. (348-359). Online publication date: 1-Jun-2013.

    http://link.springer.com/10.1007/s12204-013-1405-2

  • Kritikakou A, Catthoor F, Athanasiou G, Kelefouras V and Goutis C. (2013). Near-Optimal Microprocessor and Accelerators Codesign with Latency and Throughput Constraints. ACM Transactions on Architecture and Code Optimization. 10:2. (1-25). Online publication date: 1-May-2013.

    https://doi.org/10.1145/2459316.2459317

  • Ding Z, Wu Q, Zhang Y and Zhu L. (2013). Deriving an NCD file from an FPGA bitstream: Methodology, architecture and evaluation. Microprocessors and Microsystems. 10.1016/j.micpro.2012.12.003. 37:3. (299-312). Online publication date: 1-May-2013.

    https://linkinghub.elsevier.com/retrieve/pii/S0141933112001949

  • Flávio de Melo L, Borges F and Rosário J. (2013). Wheelchairs Embedded Control System Design for Secure Navigation with RF Signal Triangulation. Journal of Information Technology Research. 6:2. (60-92). Online publication date: 1-Apr-2013.

    https://doi.org/10.4018/jitr.2013040104

  • Peng S and Wang C. Precorrected-FFT Method on Graphics Processing Units. IEEE Transactions on Antennas and Propagation. 10.1109/TAP.2012.2232892. 61:4. (2099-2107).

    http://ieeexplore.ieee.org/document/6376106/

  • Barros F. Representing dynamic pluggable software units. Proceedings of the 28th Annual ACM Symposium on Applied Computing. (1006-1012).

    https://doi.org/10.1145/2480362.2480555

  • Voros N, Hübner M, Becker J, Kühnle M, Thomaitiv F, Grasset A, Brelet P, Bonnot P, Campi F, Schüler E, Sahlbach H, Whitty S, Ernst R, Billich E, Tischendorf C, Heinkel U, Ieromnimon F, Kritharidis D, Schneider A, Knaeblein J and Putzke-Röming W. (2013). MORPHEUS. ACM Transactions on Embedded Computing Systems. 12:3. (1-33). Online publication date: 10-Mar-2013.

    https://doi.org/10.1145/2442116.2442120

  • Fajardo C, Castillo Villar J and Pedraza C. (2013). Reducción de los tiempos de cómputo de la Migración Sísmica usando FPGAs y GPGPUs: Un artículo de revisión. Ingeniería y Ciencia. 10.17230/ingciecia.9.17.13. 9:17. (261-293). Online publication date: 1-Mar-2013.

    http://publicaciones.eafit.edu.co/index.php/ingciencia/article/view/1829

  • Balid W and Abdulwahed M. (2013). A novel FPGA educational paradigm using the next generation programming languages case of an embedded FPGA system course 2013 IEEE Global Engineering Education Conference (EDUCON). 10.1109/EduCon.2013.6530082. 978-1-4673-6110-1. (23-31).

    http://ieeexplore.ieee.org/document/6530082/

  • Kini R and David S. (2013). Implementation of comprehensive address generator for digital signal processor. International Journal of Electronics. 10.1080/00207217.2012.713009. 100:3. (319-336). Online publication date: 1-Mar-2013.

    http://www.tandfonline.com/doi/abs/10.1080/00207217.2012.713009

  • Duhem F, Marques N, Muller F, Rabah H, Weber S and Lorenzini P. (2013). Dynamically reconfigurable entropy coder for multi-standard video adaptation using FaRM. Microprocessors & Microsystems. 37:1. (1-8). Online publication date: 1-Feb-2013.

    https://doi.org/10.1016/j.micpro.2012.09.008

  • Weber J and Oruklu E. (2013). A Reconfigurable Network-on-Chip Datapath for Application Specific Computing. Circuits and Systems. 10.4236/cs.2013.42025. 04:02. (181-192).

    http://www.scirp.org/journal/doi.aspx?DOI=10.4236/cs.2013.42025

  • Bose R, Fourtounis G, Harb N, Jolczyk L, Possa P and Valderrama C. Multi-Core Embedded Systems. Embedded Computing Systems. 10.4018/978-1-4666-3922-5.ch019. (384-401).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-4666-3922-5.ch019

  • Flávio de Melo L, Cervantes S and Rosário J. (2013). A Virtual Simulator for the Embedded Control System Design for Navigation of Mobile Robots applied in Wheelchairs. Mobile Ad Hoc Robots and Wireless Robotic Systems. 10.4018/978-1-4666-2658-4.ch011. (202-236).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-4666-2658-4.ch011

  • GAO G, CAO P, YANG J and SHI L. (2013). Parallelism Analysis of H.264 Decoder and Realization on a Coarse-Grained Reconfigurable SoC. IEICE Transactions on Information and Systems. 10.1587/transinf.E96.D.1654. E96.D:8. (1654-1666).

    http://jlc.jst.go.jp/DN/JST.JSTAGE/transinf/E96.D.1654?lang=en&from=CrossRef&type=abstract

  • WU L, KASTNER R, GU B and YU D. (2013). Design of a Reconfigurable Acoustic Modem for Underwater Sensor Networks. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. 10.1587/transfun.E96.A.821. E96.A:4. (821-823).

    http://jlc.jst.go.jp/DN/JST.JSTAGE/transfun/E96.A.821?lang=en&from=CrossRef&type=abstract

  • WANG Y, LIU L, YIN S, ZHU M, CAO P, YANG J and WEI S. (2013). The Organization of On-Chip Data Memory in One Coarse-Grained Reconfigurable Architecture. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. 10.1587/transfun.E96.A.2218. E96.A:11. (2218-2229).

    http://jlc.jst.go.jp/DN/JST.JSTAGE/transfun/E96.A.2218?lang=en&from=CrossRef&type=abstract

  • Iturbe X, Benkrid K, Hong C, Ebrahim A, Arslan T and Martinez I. (2013). Runtime Scheduling, Allocation, and Execution of Real-Time Hardware Tasks onto Xilinx FPGAs Subject to Fault Occurrence. International Journal of Reconfigurable Computing. 10.1155/2013/905057. 2013. (1-32).

    http://www.hindawi.com/journals/ijrc/2013/905057/

  • Chattopadhyay A. (2013). Ingredients of adaptability. VLSI Design. 2013. (10-10). Online publication date: 1-Jan-2013.

    https://doi.org/10.1155/2013/683615

  • Praveen Kumar P and Noor Mahammad S. (2013). SDR based Multi Data Communication System Design. Procedia Engineering. 10.1016/j.proeng.2013.09.081. 64. (104-114).

    https://linkinghub.elsevier.com/retrieve/pii/S1877705813015956

  • Bala Tripura Sundari B and Krishnan V. (2013). Comparison of Configurations of Data Path Architecture Developed Using Template. Proceedings of International Conference on Advances in Computing. 10.1007/978-81-322-0740-5_65. (539-548).

    http://link.springer.com/10.1007/978-81-322-0740-5_65

  • Zhou L, Liu H and Liu D. (2013). A Novel CGRA Architecture and Mapping Algorithm for Application Acceleration. Computer Engineering and Technology. 10.1007/978-3-642-41635-4_23. (218-227).

    http://link.springer.com/10.1007/978-3-642-41635-4_23

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Multiple-View Information Reduction Techniques for WMSN Using Image Stitching. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_7. (207-248).

    https://link.springer.com/10.1007/978-3-642-38203-1_7

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Single-View Information Reduction Techniques for WMSN Using Event Compression. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_6. (159-206).

    https://link.springer.com/10.1007/978-3-642-38203-1_6

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Single-View Information Reduction Techniques for WMSN Using Event Detection. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_5. (105-157).

    https://link.springer.com/10.1007/978-3-642-38203-1_5

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). FPGA Wireless Multimedia Sensor Node Hardware Platforms. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_4. (69-103).

    https://link.springer.com/10.1007/978-3-642-38203-1_4

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Hardware Technology and Programming Languages for Reconfigurable Devices. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_3. (39-68).

    https://link.springer.com/10.1007/978-3-642-38203-1_3

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Wireless Multimedia Sensor Network Technology. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_2. (5-38).

    https://link.springer.com/10.1007/978-3-642-38203-1_2

  • Ang L, Seng K, Chew L, Yeong L and Chia W. (2013). Introduction. Wireless Multimedia Sensor Networks on Reconfigurable Hardware. 10.1007/978-3-642-38203-1_1. (1-4).

    https://link.springer.com/10.1007/978-3-642-38203-1_1

  • Pandey M, Borgohain D, Baruah G, Ubhi J and Raju K. (2013). Real Time Object Tracking: Simulation and Implementation on FPGA Based Soft Processor. Quality, Reliability, Security and Robustness in Heterogeneous Networks. 10.1007/978-3-642-37949-9_38. (441-450).

    http://link.springer.com/10.1007/978-3-642-37949-9_38

  • Diniz P, Cardoso J, de F. Coutinho J and Petrov Z. (2013). Introduction. Compilation and Synthesis for Embedded Reconfigurable Systems. 10.1007/978-1-4614-4894-5_1. (1-11).

    https://link.springer.com/10.1007/978-1-4614-4894-5_1

  • El-Araby E, Merchant S and El-Ghazawi T. (2013). Assessing Productivity of High-Level Design Methodologies for High-Performance Reconfigurable Computers. High-Performance Computing Using FPGAs. 10.1007/978-1-4614-1791-0_24. (719-745).

    http://link.springer.com/10.1007/978-1-4614-1791-0_24

  • Klauer B. (2013). The Convey Hybrid-Core Architecture. High-Performance Computing Using FPGAs. 10.1007/978-1-4614-1791-0_14. (431-451).

    http://link.springer.com/10.1007/978-1-4614-1791-0_14

  • Beck A and Pereira M. (2013). Reconfigurable Systems. Adaptable Embedded Systems. 10.1007/978-1-4614-1746-0_3. (41-94).

    http://link.springer.com/10.1007/978-1-4614-1746-0_3

  • Li T, Xiao L, Huang H and Han J. PAAG. Proceedings of the 2012 Fifth International Symposium on Parallel Architectures, Algorithms and Programming. (242-249).

    https://doi.org/10.1109/PAAP.2012.53

  • Shannon L. (2012). Embedded Computing Systems on FPGAs. Embedded Systems. 10.1002/9781118468654.ch5. (127-138). Online publication date: 14-Dec-2012.

    https://onlinelibrary.wiley.com/doi/10.1002/9781118468654.ch5

  • Cancare F, Bartolini D, Carminati M, Sciuto D and Santambrogio M. (2012). On the Evolution of Hardware Circuits via Reconfigurable Architectures. ACM Transactions on Reconfigurable Technology and Systems. 5:4. (1-22). Online publication date: 1-Dec-2012.

    https://doi.org/10.1145/2392616.2392620

  • Flikkema P, Yamamoto K and Boegli S. (2012). Starting from green: Energy-centric transformation of smart object architectures 2012 IEEE Globecom Workshops (GC Wkshps). 10.1109/GLOCOMW.2012.6477604. 978-1-4673-4941-3. (396-400).

    http://ieeexplore.ieee.org/document/6477604/

  • Casillo L and Silva I. A Methodology to Adapt Data Path Architectures to a MIPS-1 Model. Proceedings of the 2012 Brazilian Symposium on Computing System Engineering. (172-177).

    https://doi.org/10.1109/SBESC.2012.41

  • Deepa P and Vasanthanayaki C. (2012). FPGA based efficient on-chip memory for image processing algorithms. Microelectronics Journal. 43:11. (916-928). Online publication date: 1-Nov-2012.

    https://doi.org/10.1016/j.mejo.2012.05.001

  • Benini L. Xpipes. Proceedings of the 2012 IEEE 30th International Conference on Computer Design (ICCD 2012). (45-48).

    https://doi.org/10.1109/ICCD.2012.6378615

  • Nadeem M, Nadeem M and Wong S. On Virtualization of Reconfigurable Hardware in Distributed Systems. Proceedings of the 2012 41st International Conference on Parallel Processing Workshops. (348-356).

    https://doi.org/10.1109/ICPPW.2012.51

  • Talebi S, Abolghasemi N and Jahanian A. EJOP. Proceedings of the 2012 15th Euromicro Conference on Digital System Design. (415-418).

    https://doi.org/10.1109/DSD.2012.47

  • Zhao X, Li L and Gao M. (2012). Research on Hardware-Software Partitioning Method for Dynamically Reconfigurable Embedded System. Applied Mechanics and Materials. 10.4028/www.scientific.net/AMM.198-199.606. 198-199. (606-610).

    https://www.scientific.net/AMM.198-199.606

  • Kesler D, Dautovic S and Struharik R. (2012). Design and verification of dynamically reconfigurable architecture 2012 IEEE 10th Jubilee International Symposium on Intelligent Systems and Informatics (SISY). 10.1109/SISY.2012.6339555. 978-1-4673-4750-1. (413-418).

    http://ieeexplore.ieee.org/document/6339555/

  • Ziyu Yang and Ming Yan . (2012). An automatic synchronous data-flow approach for a crypto reconfigurable SoC 2012 IEEE International Conference on Oxide Materials for Electronic Engineering (OMEE). 10.1109/OMEE.2012.6343619. 978-1-4673-4493-7. (514-517).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6343619

  • Hao L and Stitt G. (2012). Bandwidth-Sensitivity-Aware Arbitration for FPGAs. IEEE Embedded Systems Letters. 4:3. (73-76). Online publication date: 1-Sep-2012.

    https://doi.org/10.1109/LES.2012.2209397

  • Martin Q and George A. (2012). Scrubbing optimization via availability prediction (SOAP) for reconfigurable space computing 2012 IEEE Conference on High Performance Extreme Computing (HPEC). 10.1109/HPEC.2012.6408673. 978-1-4673-1576-0. (1-6).

    http://ieeexplore.ieee.org/document/6408673/

  • Rajagopala V. Physics engine on reconfigurable processor — Low power optimized solution empowering next-generation graphics on embedded platforms. Proceedings of the 2012 17th International Conference on Computer Games: AI, Animation, Mobile, Interactive Multimedia, Educational & Serious Games (CGAMES). (138-142).

    https://doi.org/10.1109/CGames.2012.6314565

  • Liang G, Lee J and Peterson G. ALU Architecture with Dynamic Precision Support. Proceedings of the 2012 Symposium on Application Accelerators in High Performance Computing. (26-33).

    https://doi.org/10.1109/SAAHPC.2012.29

  • Smith M and Peterson G. (2012). Optimization of Shared High-Performance Reconfigurable Computing Resources. ACM Transactions on Embedded Computing Systems. 11:2. (1-22). Online publication date: 1-Jul-2012.

    https://doi.org/10.1145/2220336.2220348

  • Kritikakou A, Catthoor F, Athanasiou G, Kelefouras V and Goutis C. (2012). A template-based methodology for efficient microprocessor and FPGA accelerator co-design 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XII). 10.1109/SAMOS.2012.6404153. 978-1-4673-2297-3. (15-22).

    http://ieeexplore.ieee.org/document/6404153/

  • He M, Cui Y, Mahoor M and Voyles R. (2012). A heterogeneous modules interconnection architecture for FPGA-based partial dynamic reconfiguration 2012 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC). 10.1109/ReCoSoC.2012.6322887. 978-1-4673-2572-1. (1-7).

    http://ieeexplore.ieee.org/document/6322887/

  • le Roux R, van Schoor G and van Vuuren P. Block RAM Implementation of a Reconfigurable Real-time PID Controller. Proceedings of the 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems. (1383-1390).

    https://doi.org/10.1109/HPCC.2012.203

  • Nadeem M, Ashraf I, Ostadzadeh S, Wong S and Bertels K. Task Scheduling in Large-scale Distributed Systems Utilizing Partial Reconfigurable Processing Elements. Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum. (79-90).

    https://doi.org/10.1109/IPDPSW.2012.6

  • Rodriguez L, Miramond B, Kalbousi I and Granado B. Embodied Computing. Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum. (413-418).

    https://doi.org/10.1109/IPDPSW.2012.52

  • Li T, Liu Z, Du H, Zhang L, Han J, Jiang L and Dong Q. Reconfigurable Designs for Networking Silicon. Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum. (294-299).

    https://doi.org/10.1109/IPDPSW.2012.35

  • Chen N and Jiang J. Mapping Algorithm for Coarse-Grained Reconfigurable Multimedia Architectures. Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum. (288-293).

    https://doi.org/10.1109/IPDPSW.2012.34

  • Yin S, Yin C, Liu L, Zhu M, Wang Y and Wei S. (2012). Reducing configuration contexts for coarse-grained reconfigurable architecture 2012 IEEE International Symposium on Circuits and Systems - ISCAS 2012. 10.1109/ISCAS.2012.6271452. 978-1-4673-0219-7. (121-124).

    http://ieeexplore.ieee.org/document/6271452/

  • Cardoso J, Carvalho T, Coutinho J, Luk W, Nobre R, Diniz P and Petrov Z. LARA. Proceedings of the 11th annual international conference on Aspect-oriented Software Development. (179-190).

    https://doi.org/10.1145/2162049.2162071

  • Yang Y, Geilen M, Basten T, Stuijk S and Corporaal H. Playing games with scenario- and resource-aware SDF graphs through policy iteration. Proceedings of the Conference on Design, Automation and Test in Europe. (194-199).

    /doi/10.5555/2492708.2492758

  • Basile C, Carlo S and Scionti A. (2012). FPGA-Based Remote-Code Integrity Verification of Programs in Distributed Embedded Systems. IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews. 42:2. (187-200). Online publication date: 1-Mar-2012.

    https://doi.org/10.1109/TSMCC.2011.2106493

  • Amiri R and Elkeelany O. (2012). An embedded TCP/IP hard core for Smart Grid information and communication networks 2012 Southeastern Symposium on System Theory (SSST). 10.1109/SSST.2012.6195135. 978-1-4577-1493-1. (185-189).

    http://ieeexplore.ieee.org/document/6195135/

  • Casillo L and Silva I. (2012). Adapting a low complexity datapath to MIPS-1 2012 VIII Southern Conference on Programmable Logic (SPL). 10.1109/SPL.2012.6211779. 978-1-4673-0186-2. (1-6).

    http://ieeexplore.ieee.org/document/6211779/

  • Amiri R and Elkeelany O. (2012). A reconfigurable hardware networking platform for Smart Grid SOUTHEASTCON 2012. 10.1109/SECon.2012.6196910. 978-1-4673-1375-9. (1-4).

    http://ieeexplore.ieee.org/document/6196910/

  • Yang Yang , Geilen M, Basten T, Stuijk S and Corporaal H. (2012). Playing games with scenario- and resource-aware SDF graphs through policy iteration 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE 2012). 10.1109/DATE.2012.6176462. 978-1-4577-2145-8. (194-199).

    http://ieeexplore.ieee.org/document/6176462/

  • Zhang B, Gu G, Zhang X and Liu D. (2012). High Speed Transaction Hardware Channel in Loosely-Coupled Reconfigurable Computing Emulation System. Advanced Materials Research. 10.4028/www.scientific.net/AMR.462.456. 462. (456-463).

    https://www.scientific.net/AMR.462.456

  • Zhang J, Wu Q and Chen J. (2012). Research on Design Method of Dynamic Partial Reconfigurable System. Journal of Software Engineering. 10.3923/jse.2012.21.30. 6:2. (21-30). Online publication date: 1-Feb-2012.

    http://www.scialert.net/abstract/?doi=jse.2012.21.30

  • Gonzalez C, Mozos D, Resano J and Plaza A. FPGA Implementation of the N-FINDR Algorithm for Remotely Sensed Hyperspectral Image Analysis. IEEE Transactions on Geoscience and Remote Sensing. 10.1109/TGRS.2011.2171693. 50:2. (374-388).

    http://ieeexplore.ieee.org/document/6078416/

  • Gonzalez C, Resano J, Plaza A and Mozos D. FPGA Implementation of Abundance Estimation for Spectral Unmixing of Hyperspectral Data Using the Image Space Reconstruction Algorithm. IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing. 10.1109/JSTARS.2011.2171673. 5:1. (248-261).

    http://ieeexplore.ieee.org/document/6081957/

  • Fazlali M, Zakerolhosseini A and Gaydadjiev G. (2012). Efficient datapath merging for the overhead reduction of run-time reconfigurable systems. The Journal of Supercomputing. 59:2. (636-657). Online publication date: 1-Feb-2012.

    https://doi.org/10.1007/s11227-010-0458-3

  • POPESCU S, GONTEAN A and BUDURA G. (2012). Hardware Co-Simulation of the BPSK and QPSK Systems on FPGA. IFAC Proceedings Volumes. 10.3182/20120523-3-CZ-3015.00057. 45:7. (299-304).

    https://linkinghub.elsevier.com/retrieve/pii/S1474667015351065

  • XIAO J, ZHANG J, ZHU M, YANG J and SHI L. (2012). Fast AdaBoost-Based Face Detection System on a Dynamically Coarse Grain Reconfigurable Architecture. IEICE Transactions on Information and Systems. 10.1587/transinf.E95.D.392. E95-D:2. (392-402).

    http://joi.jlc.jst.go.jp/JST.JSTAGE/transinf/E95.D.392?from=CrossRef

  • YIN S, YIN C, LIU L, ZHU M and WEI S. (2012). Configuration Context Reduction for Coarse-Grained Reconfigurable Architecture. IEICE Transactions on Information and Systems. 10.1587/transinf.E95.D.335. E95-D:2. (335-344).

    http://joi.jlc.jst.go.jp/JST.JSTAGE/transinf/E95.D.335?from=CrossRef

  • Sigdel K, Galuzzi C, Bertels K, Thompson M and Pimentel A. (2012). Evaluation of runtime task mapping using the rSesame framework. International Journal of Reconfigurable Computing. 2012. (14-14). Online publication date: 1-Jan-2012.

    https://doi.org/10.1155/2012/234230

  • Lane Thames J, Eck O and Schaefer D. (2012). A Semantic Association Hardware Acceleration System for Integrated Product Data Management. Journal of Computing and Information Science in Engineering. 10.1115/1.4007405. 12:3. (031009).

    http://ComputingEngineering.asmedigitalcollection.asme.org/article.aspx?articleid=1475909

  • Duhem F, Muller F and Lorenzini P. (2012). Reconfiguration time overhead on field programmable gate arrays: reduction and cost model. IET Computers & Digital Techniques. 10.1049/iet-cdt.2011.0033. 6:2. (105).

    http://digital-library.theiet.org/content/journals/10.1049/iet-cdt.2011.0033

  • Cai Y, Zhao Y and Lan L. Design and Implementation of a Peripheral Bus Based on a New Kind of Reconfigurable System. Proceedings of the 2011 IEEE Ninth International Conference on Dependable, Autonomic and Secure Computing. (286-291).

    https://doi.org/10.1109/DASC.2011.66

  • Bernabe S, Lopez S, Plaza A, Sarmiento R and Rodriguez P. FPGA Design of an Automatic Target Generation Process for Hyperspectral Image Analysis. Proceedings of the 2011 IEEE 17th International Conference on Parallel and Distributed Systems. (1010-1015).

    https://doi.org/10.1109/ICPADS.2011.64

  • Li T and Liu Z. Video Stream Processing on a High Performance Reconfigurable Architecture. Proceedings of the 2011 International Conference on Digital Image Computing: Techniques and Applications. (388-393).

    https://doi.org/10.1109/DICTA.2011.72

  • Dake Liu , Karlsson A, Sohl J, Jian Wang , Petersson M and Wenbiao Zhou . (2011). ePUMA embedded parallel DSP processor with Unique Memory Access 2011 8th International Conference on Information, Communications & Signal Processing (ICICS 2011). 10.1109/ICICS.2011.6173516. 978-1-4577-0031-6. (1-5).

    http://ieeexplore.ieee.org/document/6173516/

  • Gong L and Diessel O. (2011). ReSim: A reusable library for RTL simulation of dynamic partial reconfiguration 2011 International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2011.6132709. 978-1-4577-1740-6. (1-8).

    http://ieeexplore.ieee.org/document/6132709/

  • Bao W, Jiang J, Fu Y and Sun Q. (2011). A reconfigurable macro-pipelined systolic accelerator architecture 2011 International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2011.6132702. 978-1-4577-1740-6. (1-6).

    http://ieeexplore.ieee.org/document/6132702/

  • Cardona L, Agrawal J, Guo Y, Oliver J and Ferrer C. Performance-Area Improvement by Partial Reconfiguration for an Aerospace Remote Sensing Application. Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs. (497-500).

    https://doi.org/10.1109/ReConFig.2011.69

  • Miao L, Xiaohui Y, Zibin D, Tao C and Liangsheng H. (2011). Research and implementation of micro-architecture for Elliptic Curve Cryptography processor 2011 International Conference of Electron Devices and Solid-State Circuits (EDSSC). 10.1109/EDSSC.2011.6117653. 978-1-4577-1997-4. (1-2).

    http://ieeexplore.ieee.org/document/6117653/

  • Duhem F, Muller F and Lorenzini P. (2011). Methodology for designing partially reconfigurable systems using transaction-level modeling 2011 Conference on Design and Architectures for Signal and Image Processing (DASIP). 10.1109/DASIP.2011.6136897. 978-1-4577-0621-9. (1-7).

    http://ieeexplore.ieee.org/document/6136897/

  • Palumbo F, Carta N and Raffo L. (2011). The Multi-Dataflow Composer tool: A runtime reconfigurable HDL platform composer 2011 Conference on Design and Architectures for Signal and Image Processing (DASIP). 10.1109/DASIP.2011.6136876. 978-1-4577-0621-9. (1-8).

    http://ieeexplore.ieee.org/document/6136876/

  • Perera D and Li K. FPGA-Based Reconfigurable Hardware for Compute Intensive Data Mining Applications. Proceedings of the 2011 International Conference on P2P, Parallel, Grid, Cloud and Internet Computing. (100-108).

    https://doi.org/10.1109/3PGCIC.2011.25

  • Damaj I. (2011). Co-designs of parallel Rijndael 2011 International Symposium on System-on-Chip - SOC. 10.1109/ISSOC.2011.6089220. 978-1-4577-0672-1. (72-77).

    http://ieeexplore.ieee.org/document/6089220/

  • Sklyarov V, Skliarova I and Sudnitson A. (2011). FPGA-based systems in information and communication 2011 5th International Conference on Application of Information and Communication Technologies (AICT). 10.1109/ICAICT.2011.6110989. 978-1-61284-832-7. (1-5).

    http://ieeexplore.ieee.org/document/6110989/

  • Shuang Liang , Shouyi Yin , Chongyong Yin , Leibo Liu and Shaojun Wei . (2011). Performance evaluation modeling for reconfigurable processor 2011 IEEE 9th International Conference on ASIC (ASICON 2011). 10.1109/ASICON.2011.6157269. 978-1-61284-193-9. (570-573).

    http://ieeexplore.ieee.org/document/6157269/

  • Nadeem M, Ostadzadeh S, Nadeem M, Wong S and Bertels K. A Simulation Framework for Reconfigurable Processors in Large-Scale Distributed Systems. Proceedings of the 2011 40th International Conference on Parallel Processing Workshops. (352-360).

    https://doi.org/10.1109/ICPPW.2011.50

  • (2011). Bibliography. Radio Engineering. 10.1002/9781118602218.biblio. (355-372). Online publication date: 6-Sep-2011.

    https://onlinelibrary.wiley.com/doi/10.1002/9781118602218.biblio

  • Wang S and Weng C. Algorithms and hardware architectures for variable block size motion estimation. Proceedings of the 8th international conference on Ubiquitous intelligence and computing. (536-549).

    /doi/10.5555/2035646.2035696

  • Paul S, Chatterjee S, Mukhopadhyay S and Bhunia S. (2011). Energy-Efficient Reconfigurable Computing Using a Circuit-Architecture-Software Co-Design Approach. IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 10.1109/JETCAS.2011.2165232. 1:3. (369-380). Online publication date: 1-Sep-2011.

    http://ieeexplore.ieee.org/document/6025217/

  • Marrows C and Hickey B. (2011). New directions in spintronics. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences. 10.1098/rsta.2011.0156. 369:1948. (3027-3036). Online publication date: 13-Aug-2011.

    http://rsta.royalsocietypublishing.org/cgi/doi/10.1098/rsta.2011.0156

  • Han G and Geng Z. (2011). The application of FPGA-based reconfigurable computing technology in Digital Light Processing systems Mechanical Engineering and Information Technology (EMEIT). 10.1109/EMEIT.2011.6023560. 978-1-61284-087-1. (2255-2258).

    http://ieeexplore.ieee.org/document/6023560/

  • (2011). References. Computer System Design. 10.1002/9781118009925.refs. (316-328). Online publication date: 18-Jul-2011.

    https://onlinelibrary.wiley.com/doi/10.1002/9781118009925.refs

  • Ostadzadeh S, Corina M, Galuzzi C and Bertels K. (2011). Runtime extraction of memory access information from the application source code Simulation (HPCS). 10.1109/HPCSim.2011.5999888. 978-1-61284-380-3. (647-655).

    http://ieeexplore.ieee.org/document/5999888/

  • Nadeem M, Ostadzadeh S, Wong S and Bertels K. (2011). Task scheduling strategies for dynamic reconfigurable processors in distributed systems Simulation (HPCS). 10.1109/HPCSim.2011.5999811. 978-1-61284-380-3. (90-97).

    http://ieeexplore.ieee.org/document/5999811/

  • Graczyk R, Stolarski M and Cormery P. (2011). Exploratory study about the use of new reconfigurable FPGAs in space 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS). 10.1109/AHS.2011.5963940. 978-1-4577-0598-4. (220-226).

    http://ieeexplore.ieee.org/document/5963940/

  • Ahmadi M, Shahbahrami A and Wong S. (2011). Collaboration of reconfigurable processors in grid computing. Future Generation Computer Systems. 27:6. (850-859). Online publication date: 1-Jun-2011.

    https://doi.org/10.1016/j.future.2010.10.014

  • Grasset A, Millet P, Bonnot P, Yehia S, Putzke-Roeming W, Campi F, Rosti A, Huebner M, Voros N, Rossi D, Sahlbach H and Ernst R. (2010). The MORPHEUS Heterogeneous Dynamically Reconfigurable Platform. International Journal of Parallel Programming. 10.1007/s10766-010-0160-3. 39:3. (328-356). Online publication date: 1-Jun-2011.

    http://link.springer.com/10.1007/s10766-010-0160-3

  • (2011). References. Design for Embedded Image Processing on FPGAs. 10.1002/9780470828519.refs. (441-473). Online publication date: 1-Jun-2011.

    https://onlinelibrary.wiley.com/doi/10.1002/9780470828519.refs

  • Xiong J, Nguyen T and Wu Q. FPGA Implementation of Blob Recognition. Proceedings of the 2011 Canadian Conference on Computer and Robot Vision. (125-131).

    https://doi.org/10.1109/CRV.2011.23

  • Rupnow K, Underwood K and Compton K. (2011). Scientific Application Demands on a Reconfigurable Functional Unit Interface. ACM Transactions on Reconfigurable Technology and Systems. 4:2. (1-30). Online publication date: 1-May-2011.

    https://doi.org/10.1145/1968502.1968510

  • Galuzzi C and Bertels K. (2011). The Instruction-Set Extension Problem. ACM Transactions on Reconfigurable Technology and Systems. 4:2. (1-28). Online publication date: 1-May-2011.

    https://doi.org/10.1145/1968502.1968509

  • Nazar G and Carro L. (2011). Energy efficient pseudo-cache architecture through fine-grained reconfigurability 2011 IEEE International Symposium on Circuits and Systems (ISCAS). 10.1109/ISCAS.2011.5938066. 978-1-4244-9473-6. (2317-2320).

    http://ieeexplore.ieee.org/document/5938066/

  • Tao Y, He G, He W, Wang Q, Ma J and Mao Z. (2011). Effective multi-standard macroblock prediction VLSI design for reconfigurable multimedia systems 2011 IEEE International Symposium on Circuits and Systems (ISCAS). 10.1109/ISCAS.2011.5937856. 978-1-4244-9473-6. (1487-1490).

    http://ieeexplore.ieee.org/document/5937856/

  • Santambrogio M, Cazzaniga A, Bonetto A and Sciuto D. (2011). ReBit: A Tool to Manage and Analyse FPGA-Based Reconfigurable Systems Distributed Processing, Workshops and Phd Forum (IPDPSW). 10.1109/IPDPS.2011.145. 978-1-61284-425-1. (220-227).

    http://ieeexplore.ieee.org/document/6008804/

  • Gong L and Diessel O. Modeling Dynamically Reconfigurable Systems for Simulation-Based Functional Verification. Proceedings of the 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines. (9-16).

    https://doi.org/10.1109/FCCM.2011.18

  • Flochova J, Holly J, Zapaticky M and Pivarcek J. (2011). Model based implementation of supervisors and diagnosers in VHDL code of programmable systems IEEE EUROCON 2011 - International Conference on Computer as a Tool. 10.1109/EUROCON.2011.5929351. 978-1-4244-7487-5. (1-4).

    http://ieeexplore.ieee.org/document/5929351/

  • Rutzig M, Beck A and Carro L. CReAMS. Proceedings of the 7th international conference on Reconfigurable computing: architectures, tools and applications. (118-124).

    /doi/10.5555/1987535.1987553

  • Fu T and Liu P. (2011). Theoretical Analysis of Resource Swap for Reuse Based Hardware Task Scheduling in Reconfigurable System. Advanced Materials Research. 10.4028/www.scientific.net/AMR.219-220.1679. 219-220. (1679-1682).

    https://www.scientific.net/AMR.219-220.1679

  • Xydis S, Economakos G, Soudris D and Pekmestzi K. (2011). High performance and area efficient flexible DSP datapath synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 19:3. (429-442). Online publication date: 1-Mar-2011.

    https://doi.org/10.1109/TVLSI.2009.2034167

  • Amiri R, Elkeelany O and Hassan R. (2011). Modeling TCP/IP stack in a single custom processor, with secure data transmission to an Altera-based Web server SOUTHEASTCON 2011. 10.1109/SECON.2011.5752978. 978-1-61284-739-9. (418-423).

    http://ieeexplore.ieee.org/document/5752978/

  • Gangani J, Samant A and Rao Y. (2011). Reconfigurable blocks for digital power electronics applications in FPGA 2011 IEEE Applied Power Electronics Conference and Exposition - APEC 2011. 10.1109/APEC.2011.5744880. 978-1-4244-8084-5. (2059-2064).

    http://ieeexplore.ieee.org/document/5744880/

  • Kenter T, Plessl C, Platzner M and Kauschke M. Performance estimation framework for automated exploration of CPU-accelerator architectures. Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays. (177-180).

    https://doi.org/10.1145/1950413.1950448

  • Safar M, Shalan M, El-Kharashi M and Salem A. (2011). A reconfigurable SAT-based automatic test pattern generator 2011 IEEE GCC Conference and Exhibition (GCC). 10.1109/IEEEGCC.2011.5752522. 978-1-61284-118-2. (33-36).

    http://ieeexplore.ieee.org/document/5752522/

  • Ramesh Kini M and Sumam David S. (2011). Address generation for DSP Kernels 2011 International Conference on Communications and Signal Processing (ICCSP). 10.1109/ICCSP.2011.5739281. 978-1-4244-9798-0. (112-116).

    http://ieeexplore.ieee.org/document/5739281/

  • Lotfifar F, Shahhoseini H and Khanzadi H. Dependency Aware Placement in Reconfigurable Computing Systems. Proceedings of the 2011 Second International Conference on Intelligent Systems, Modelling and Simulation. (272-276).

    https://doi.org/10.1109/ISMS.2011.82

  • Kirner R, Bünte S and Zolda M. Measurement-Based Timing Analysis for Reconfigurable Embedded Systems. Reconfigurable Embedded Control Systems. 10.4018/978-1-60960-086-0.ch005. (110-129).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-60960-086-0.ch005

  • Plessl C and Platzner M. Hardware Virtualization on Dynamically Reconfigurable Processors. Reconfigurable Embedded Control Systems. 10.4018/978-1-60960-086-0.ch004. (82-109).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-60960-086-0.ch004

  • Rutzig M, Beck A, Madruga F, Alves M, Freitas H, Maillard N, Navaux P and Carro L. (2011). Boosting parallel applications performance on applying DIM technique in a multiprocessing environment. International Journal of Reconfigurable Computing. 2011. (1-13). Online publication date: 1-Jan-2011.

    https://doi.org/10.1155/2011/546962

  • Vera G, Pattichis M and Lyke J. (2011). A Dynamic Dual Fixed-Point Arithmetic Architecture for FPGAs. International Journal of Reconfigurable Computing. 10.1155/2011/518602. 2011. (1-19).

    http://www.hindawi.com/journals/ijrc/2011/518602/

  • El-Araby E, Merchant S and El-Ghazawi T. (2011). A Framework for Evaluating High-Level Design Methodologies for High-Performance Reconfigurable Computers. IEEE Transactions on Parallel and Distributed Systems. 22:1. (33-45). Online publication date: 1-Jan-2011.

    https://doi.org/10.1109/TPDS.2010.67

  • Weber R, Gothandaraman A, Hinde R and Peterson G. Comparing Hardware Accelerators in Scientific Applications: A Case Study. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2010.125. 22:1. (58-68).

    http://ieeexplore.ieee.org/document/5482576/

  • Wang K, Tang Z, Zhao Y and Li H. (2011). A Dynamically Self-reconfigurable System Design Based on SSE Instruction Set. Procedia Engineering. 10.1016/j.proeng.2011.08.299. 15. (1605-1609).

    http://linkinghub.elsevier.com/retrieve/pii/S1877705811018005

  • Meyer J, Hübner M, Braun L, Sander O, Noguera J, Stewart R and Becker J. (2011). FPGA Startup Through Sequential Partial and Dynamic Reconfiguration. VLSI 2010 Annual Symposium. 10.1007/978-94-007-1488-5_17. (289-302).

    https://link.springer.com/10.1007/978-94-007-1488-5_17

  • Chen R, Ma L, Yue D, Wen W and Qi Z. (2011). Hierarchy Modeling and Co-simulation of a Dynamically Coarse-Grained Reconfigurable Architecture. Informatics in Control, Automation and Robotics. 10.1007/978-3-642-25992-0_80. (589-598).

    http://link.springer.com/10.1007/978-3-642-25992-0_80

  • Wang S and Weng C. (2011). Algorithms and Hardware Architectures for Variable Block Size Motion Estimation. Ubiquitous Intelligence and Computing. 10.1007/978-3-642-23641-9_43. (536-549).

    http://link.springer.com/10.1007/978-3-642-23641-9_43

  • Rutzig M, Beck A and Carro L. (2011). CReAMS: An Embedded Multiprocessor Platform. Reconfigurable Computing: Architectures, Tools and Applications. 10.1007/978-3-642-19475-7_14. (118-124).

    http://link.springer.com/10.1007/978-3-642-19475-7_14

  • Göhringer D, Hübner M and Becker J. (2011). Adaptive Multiprocessor System-on-Chip Architecture: New Degrees of Freedom in System Design and Runtime Support. Multiprocessor System-on-Chip. 10.1007/978-1-4419-6460-1_6. (127-151).

    https://link.springer.com/10.1007/978-1-4419-6460-1_6

  • Kriesten D, Pankalla V and Heinkel U. An Application Example of a Run-Time Reconfigurable Embedded System. Proceedings of the 2010 International Conference on Reconfigurable Computing and FPGAs. (97-102).

    https://doi.org/10.1109/ReConFig.2010.53

  • Nadeem M, Ahmadi M, Nadeem M and Wong S. Modeling and Simulation of Reconfigurable Processors in Grid Networks. Proceedings of the 2010 International Conference on Reconfigurable Computing and FPGAs. (226-231).

    https://doi.org/10.1109/ReConFig.2010.50

  • Yan L, Wen Y and Chen T. Input-Driven Reconfiguration for Area and Performance Adaption of Reconfigurable Accelerators. Proceedings of the 2010 13th IEEE International Conference on Computational Science and Engineering. (237-244).

    https://doi.org/10.1109/CSE.2010.64

  • Kahoul A, Smith A, Constantinides G and Cheung P. (2010). Efficient Heterogeneous Architecture Floorplan Optimization using Analytical Methods. ACM Transactions on Reconfigurable Technology and Systems. 4:1. (1-23). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1857927.1857930

  • Chiu J, Yang K and Yeh T. (2010). A hardware invocation mechanism for reconfigurable embedded system 2010 International Computer Symposium (ICS 2010). 10.1109/COMPSYM.2010.5685431. 978-1-4244-7639-8. (664-669).

    http://ieeexplore.ieee.org/document/5685431/

  • Sano K, Luzhou W, Hatsuda Y, Iizuka T and Yamamoto S. (2010). FPGA-Array with Bandwidth-Reduction Mechanism for Scalable and Power-Efficient Numerical Simulations Based on Finite Difference Methods. ACM Transactions on Reconfigurable Technology and Systems. 3:4. (1-35). Online publication date: 1-Nov-2010.

    https://doi.org/10.1145/1862648.1862651

  • Williams J, Massie C, George A, Richardson J, Gosrani K and Lam H. (2010). Characterization of Fixed and Reconfigurable Multi-Core Devices for Application Acceleration. ACM Transactions on Reconfigurable Technology and Systems. 3:4. (1-29). Online publication date: 1-Nov-2010.

    https://doi.org/10.1145/1862648.1862649

  • Fazlali M, Sabeghi M, Zakerolhosseini A and Bertels K. (2010). Efficient task scheduling for runtime reconfigurable systems. Journal of Systems Architecture: the EUROMICRO Journal. 56:11. (623-632). Online publication date: 1-Nov-2010.

    https://doi.org/10.1016/j.sysarc.2010.07.016

  • Tezuka Y, Ichikawa S and Noda Y. (2010). Evaluation of the hardware specialization techniques for vibration control applications 2010 IEEE Symposium on Industrial Electronics and Applications (ISIEA 2010). 10.1109/ISIEA.2010.5679396. 978-1-4244-7645-9. (596-601).

    http://ieeexplore.ieee.org/document/5679396/

  • Santambrogio M, Rana V, Beretta I and Sciuto D. (2010). Operating system runtime management of partially dynamically reconfigurable embedded systems 2010 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia). 10.1109/ESTMED.2010.5666975. 978-1-4244-9084-4. (1-10).

    http://ieeexplore.ieee.org/document/5666975/

  • Markert E, Billich E, Tischendorf C, Pross U, Leibelt T, Heinkel U, Knablein J and Schneider A. (2010). An in-band reconfigurable network node based on a heterogeneous platform 2010 Conference on Design and Architectures for Signal and Image Processing (DASIP). 10.1109/DASIP.2010.5706240. 978-1-4244-8734-9. (15-20).

    http://ieeexplore.ieee.org/document/5706240/

  • Bustio-Martínez L, Cumplido R, Hernández-Palancar J and Feregrino-Uribe C. On the design of a hardware-software architecture for acceleration of SVM's training phase. Proceedings of the 2nd Mexican conference on Pattern recognition: Advances in pattern recognition. (281-290).

    /doi/10.5555/1991289.1991323

  • Paek J, Choi K and Lee J. (2011). Binary acceleration using coarse-grained reconfigurable architecture. ACM SIGARCH Computer Architecture News. 38:4. (33-39). Online publication date: 14-Sep-2010.

    https://doi.org/10.1145/1926367.1926374

  • Purnaprajna M, Porrmann M, Rueckert U, Hussmann M, Thies M and Kastens U. (2010). Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis. ACM Transactions on Reconfigurable Technology and Systems. 3:3. (1-25). Online publication date: 1-Sep-2010.

    https://doi.org/10.1145/1839480.1839487

  • Wu B, Yan L, Wen Y and Chen T. (2010). Run-time configuration prefetching to reduce the overhead of dynamically reconfiguration 2010 IEEE International SOC Conference (SOCC). 10.1109/SOCC.2010.5784651. 978-1-4244-6682-5. (305-308).

    http://ieeexplore.ieee.org/document/5784651/

  • Benson B, Li Y, Faunce B, Domond K, Kimball D, Schurgers C and Kastner R. (2010). Design of a Low-Cost Underwater Acoustic Modem. IEEE Embedded Systems Letters. 2:3. (58-61). Online publication date: 1-Sep-2010.

    https://doi.org/10.1109/LES.2010.2050191

  • Coenen T, Schleifer J, Weiss O and Noll T. (2010). Interconnect routing of embedded FPGAs using standard VLSI routing tools 2010 International Symposium on System-on-Chip - SOC. 10.1109/ISSOC.2010.5625549. 978-1-4244-8279-5. (121-124).

    http://ieeexplore.ieee.org/document/5625549/

  • Cilardo A, Durante P, Lofiego C and Mazzeo A. Early Prediction of Hardware Complexity in HLL-to-HDL Translation. Proceedings of the 2010 International Conference on Field Programmable Logic and Applications. (483-488).

    https://doi.org/10.1109/FPL.2010.97

  • Bruschi F, Paolieri M and Rana V. A Reconfigurable System Based on a Parallel and Pipelined Solution for Regular Expression Matching. Proceedings of the 2010 International Conference on Field Programmable Logic and Applications. (44-49).

    https://doi.org/10.1109/FPL.2010.20

  • Van Dyken J and Delgado-Frias J. (2010). A medium-grain reconfigurable processing unit 2010 53rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). 10.1109/MWSCAS.2010.5548714. 978-1-4244-7771-5. (729-732).

    http://ieeexplore.ieee.org/document/5548714/

  • Hübner M, Meyer J, Sander O, Braun L, Becker J, Noguera J and Stewart R. Fast Sequential FPGA Startup Based on Partial and Dynamic Reconfiguration. Proceedings of the 2010 IEEE Annual Symposium on VLSI. (190-194).

    https://doi.org/10.1109/ISVLSI.2010.19

  • JianXiong and Jonathan Wu Q. (2010). An investigation of FPGA implementation for image processing 2010 International Conference on Communications, Circuits and Systems (ICCCAS). 10.1109/ICCCAS.2010.5581973. 978-1-4244-8224-5. (331-334).

    http://ieeexplore.ieee.org/document/5581973/

  • Greenwood G and Tyrrell A. (2010). Metamorphic systems: A new model for adaptive system design 2010 IEEE Congress on Evolutionary Computation (CEC). 10.1109/CEC.2010.5586381. 978-1-4244-6909-3. (1-8).

    http://ieeexplore.ieee.org/document/5586381/

  • Atak O and Atalar A. (2010). An efficient computation model for coarse grained reconfigurable architectures and its applications to a reconfigurable computer 2010 21st IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). 10.1109/ASAP.2010.5541009. 978-1-4244-6966-6. (289-292).

    http://ieeexplore.ieee.org/document/5541009/

  • Yang J, Yan L, Ju L, Wen Y, Zhang S and Chen T. Homogeneous NoC-based FPGA. Proceedings of the 2010 10th IEEE International Conference on Computer and Information Technology. (62-67).

    https://doi.org/10.1109/CIT.2010.54

  • Hu W, Wang C, Ma J, Chen T and Chen D. A Novel Approach for Finding Candidate Locations for Online FPGA Placement. Proceedings of the 2010 10th IEEE International Conference on Computer and Information Technology. (2509-2515).

    https://doi.org/10.1109/CIT.2010.428

  • Huang W, Wang B, Wang B and Yu J. (2010). A New Online Scheduling Algorithm of Reconfigurable Tasks for Differentiated Service. Journal of Computer-Aided Design & Computer Graphics. 10.3724/SP.J.1089.2010.10651. 22:4. (717-723). Online publication date: 11-Jun-2010.

    http://pub.chinasciencejournal.com/article/getArticleRedirect.action?doiCode=10.3724/SP.J.1089.2010.10651

  • Cardoso J, Diniz P and Weinhardt M. (2010). Compiling for reconfigurable computing. ACM Computing Surveys. 42:4. (1-65). Online publication date: 1-Jun-2010.

    https://doi.org/10.1145/1749603.1749604

  • Papadimitriou K, Anyfantis A and Dollas A. An Effective Framework to Evaluate Dynamic Partial Reconfiguration in FPGA Systems. IEEE Transactions on Instrumentation and Measurement. 10.1109/TIM.2009.2026607. 59:6. (1642-1651).

    http://ieeexplore.ieee.org/document/5463267/

  • Aqeel Iqbal M, Uzma Saeed Awan and Khan S. (2010). Reconfigurable computing technology used for modern scientific applications 2010 2nd International Conference on Education Technology and Computer (ICETC). 10.1109/ICETC.2010.5529949. 978-1-4244-6367-1. (V5-36-V5-41).

    http://ieeexplore.ieee.org/document/5529949/

  • Qadri M and McDonald-Maier K. (2010). A fuzzy logic based dynamic reconfiguration scheme for optimal energy and throughput in symmetric chip multiprocessors 2010 NASA/ESA Conference on Adaptive Hardware and Systems (AHS). 10.1109/AHS.2010.5546239. 978-1-4244-5887-5. (333-339).

    http://ieeexplore.ieee.org/document/5546239/

  • Völgyesi P, Sallai J, Lédeczi Á, Dutta P and Maróti M. Software development for a novel WSN platform. Proceedings of the 2010 ICSE Workshop on Software Engineering for Sensor Network Applications. (20-25).

    https://doi.org/10.1145/1809111.1809119

  • Huffmire T, Levin T, Nguyen T, Irvine C, Brotherton B, Wang G, Sherwood T and Kastner R. (2010). Security Primitives for Reconfigurable Hardware-Based Systems. ACM Transactions on Reconfigurable Technology and Systems. 3:2. (1-35). Online publication date: 1-May-2010.

    https://doi.org/10.1145/1754386.1754391

  • Benson B, Li Y, Kastner R, Faunce B, Domond K, Kimball D and Schurgers C. (2010). Design of a low-cost, underwater acoustic modem for short-range sensor networks OCEANS 2010 IEEE - Sydney. 10.1109/OCEANSSYD.2010.5603816. 978-1-4244-5221-7. (1-9).

    http://ieeexplore.ieee.org/document/5603816/

  • Banerjee S and Sinha A. (2010). A reconfigurable Digital Signal Processor using residue number system 2010 10th International Conference on Information Sciences, Signal Processing and their Applications (ISSPA). 10.1109/ISSPA.2010.5605457. 978-1-4244-7165-2. (405-408).

    http://ieeexplore.ieee.org/document/5605457/

  • Liu T, Zhao R, Yao Y and Qu J. (2010). Data reuse in sliding window operations base on storing block targeted hardware compilation 2010 2nd International Conference on Future Computer and Communication. 10.1109/ICFCC.2010.5497316. 978-1-4244-5821-9. (V1-808-V1-812).

    http://ieeexplore.ieee.org/document/5497316/

  • Weber J, Oruklu E and Saniie J. (2010). NoC datapath for polymorphic processors in embedded systems 2010 IEEE International Conference on Electro/Information Technology (EIT 2010). 10.1109/EIT.2010.5612135. 978-1-4244-6873-7. (1-6).

    http://ieeexplore.ieee.org/document/5612135/

  • Huang P, MacKay A and Teng D. (2010). A hardware/software codesign of WZ factorization to improve time to solve matrices 2010 IEEE 23rd Canadian Conference on Electrical and Computer Engineering - CCECE. 10.1109/CCECE.2010.5575119. 978-1-4244-5376-4. (1-5).

    http://ieeexplore.ieee.org/document/5575119/

  • Koltes A and O'Donnell J. (2010). A framework for FPGA functional units in high performance computing Distributed Processing, Workshops and Phd Forum (IPDPSW). 10.1109/IPDPSW.2010.5470769. 978-1-4244-6533-0. (1-8).

    http://ieeexplore.ieee.org/document/5470769/

  • Berticelli Lo T, Beck A, Rutzig M and Carro L. (2010). A low-energy approach for context memory in reconfigurable systems 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW 2010). 10.1109/IPDPSW.2010.5470745. 978-1-4244-6533-0. (1-8).

    http://ieeexplore.ieee.org/document/5470745/

  • Rutzig M, Madruga F, Alves M, Cota H, Beck A, Maillard N, Navaux P and Carro L. (2010). TLP and ILP exploitation through a reconfigurable multiprocessor system Distributed Processing, Workshops and Phd Forum (IPDPSW 2010). 10.1109/IPDPSW.2010.5470743. 978-1-4244-6533-0. (1-8).

    http://ieeexplore.ieee.org/document/5470743/

  • Giang Nguyen thi and Seon Wook Kim . (2010). Support of cross calls between a microprocessor and FPGA in CPU-FPGA coupling architecture Distributed Processing, Workshops and Phd Forum (IPDPSW). 10.1109/IPDPSW.2010.5470741. 978-1-4244-6533-0. (1-8).

    http://ieeexplore.ieee.org/document/5470741/

  • Daloukas K, Antonopoulos C, Bellas N and Chai S. (2010). Fisheye lens distortion correction on multicore and hardware accelerator platforms 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS). 10.1109/IPDPS.2010.5470360. 978-1-4244-6442-5. (1-10).

    http://ieeexplore.ieee.org/document/5470360/

  • Bystrom M, Richardson I, Kannangara S and de-Frutos-Lopez M. (2010). Dynamic replacement of video coding elements. Image Communication. 25:4. (303-313). Online publication date: 1-Apr-2010.

    https://doi.org/10.1016/j.image.2010.03.001

  • Proß U, Goller S, Markert E, Jüttner M, Langer J, Heinkel U, Knäblein J and Schneider A. Demonstration of an in-band reconfiguration data distribution and network node reconfiguration. Proceedings of the Conference on Design, Automation and Test in Europe. (614-617).

    /doi/10.5555/1870926.1871071

  • Pross U, Goller S, Markert E, Juttner M, Langer J, Heinkel U, Knablein J and Schneider A. (2010). Demonstration of an in-band reconfiguration data distribution and network node reconfiguration 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010). 10.1109/DATE.2010.5457134. 978-3-9810801-6-2. (614-617).

    http://ieeexplore.ieee.org/document/5457134/

  • Srinath S and Compton K. Automatic generation of high-performance multipliers for FPGAs with asymmetric multiplier blocks. Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays. (51-58).

    https://doi.org/10.1145/1723112.1723123

  • Qadri M and McDonald-Maier K. A Fuzzy Logic Reconfiguration Engine for Symmetric Chip Multiprocessors. Proceedings of the 2010 International Conference on Complex, Intelligent and Software Intensive Systems. (937-943).

    https://doi.org/10.1109/CISIS.2010.66

  • González C, Resano J, Mozos D, Plaza A and Valencia D. (2010). FPGA implementation of the pixel purity index algorithm for remotely sensed hyperspectral image analysis. EURASIP Journal on Advances in Signal Processing. 2010. (1-13). Online publication date: 1-Feb-2010.

    https://doi.org/10.1155/2010/969806

  • Pham-Quoc C and Dinh-Duc A. Hazard-free Muller Gates for Implementing Asynchronous Circuits on Xilinx FPGA. Proceedings of the 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications. (289-292).

    https://doi.org/10.1109/DELTA.2010.40

  • Bailey D and Johnston C. Algorithm Transformation for FPGA Implementation. Proceedings of the 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications. (77-81).

    https://doi.org/10.1109/DELTA.2010.17

  • Huffmire T, Irvine C, Nguyen T, Levin T, Kastner R and Sherwood T. (2010). Introduction and Motivation. Handbook of FPGA Design Security. 10.1007/978-90-481-9157-4_1. (1-25).

    http://link.springer.com/10.1007/978-90-481-9157-4_1

  • Schneider Beck Fl. A and Carro L. (2010). Reconfigurable Systems. Dynamic Reconfigurable Architectures and Transparent Optimization Techniques. 10.1007/978-90-481-3913-2_2. (13-44).

    https://link.springer.com/10.1007/978-90-481-3913-2_2

  • Lange S and Middendorf M. (2010). Models and Algorithms for Hyperreconfigurable Hardware. Dynamically Reconfigurable Systems. 10.1007/978-90-481-3485-4_4. (75-94).

    https://link.springer.com/10.1007/978-90-481-3485-4_4

  • Noll T, von Sydow T, Neumann B, Schleifer J, Coenen T and Kappen G. (2010). Reconfigurable Components for Application-Specific Processor Architectures. Dynamically Reconfigurable Systems. 10.1007/978-90-481-3485-4_2. (25-49).

    http://link.springer.com/10.1007/978-90-481-3485-4_2

  • Wyseur B. (2010). RE-TRUST: Trustworthy Execution of SW on Remote Untrusted Platforms. ISSE 2009 Securing Electronic Business Processes. 10.1007/978-3-8348-9363-5_33. (328-338).

    http://www.springerlink.com/index/10.1007/978-3-8348-9363-5_33

  • Bustio-Martínez L, Cumplido R, Hernández-Palancar J and Feregrino-Uribe C. (2010). On the Design of a Hardware-Software Architecture for Acceleration of SVM’s Training Phase. Advances in Pattern Recognition. 10.1007/978-3-642-15992-3_30. (281-290).

    http://link.springer.com/10.1007/978-3-642-15992-3_30

  • Joshi N, Dakhole P and Zode P. Embedded Web Server on Nios II Embedded FPGA Platform. Proceedings of the 2009 Second International Conference on Emerging Trends in Engineering & Technology. (372-377).

    https://doi.org/10.1109/ICETET.2009.89

  • Trouvé A, Gauthier L, Kando T, Ryder B, Pouzols S, Rao P, Yoshimatsu N and Murakami K. Accelerating Cryptographic Applications Using Dynamically Reconfigurable Functional Units. Proceedings of the 2009 International Conference on Reconfigurable Computing and FPGAs. (231-236).

    https://doi.org/10.1109/ReConFig.2009.56

  • Wang C, Miao L, Xie B and Chen T. An Application Mapping Scheme over Distributed Reconfigurable System. Proceedings of the 2009 15th International Conference on Parallel and Distributed Systems. (535-542).

    https://doi.org/10.1109/ICPADS.2009.75

  • Safar M, El-Kharashi M, Shalan M and Salem A. A Reconfigurable Five-Stage Pipelined SAT Solver. Proceedings of the 2009 10th International Workshop on Microprocessor Test and Verification. (95-100).

    https://doi.org/10.1109/MTV.2009.25

  • Beltrame G, Fossati L and Sciuto D. (2009). ReSP. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 28:12. (1857-1869). Online publication date: 1-Dec-2009.

    https://doi.org/10.1109/TCAD.2009.2030268

  • Fazlali M, Zakerolhosseini A, Shahbahrami A and Gaydadjiev G. (2009). High speed merged-datapath design for run-time reconfigurable systems 2009 International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2009.5377678. 978-1-4244-4375-8. (339-343).

    http://ieeexplore.ieee.org/document/5377678/

  • Gothandaraman A, Peterson G, Warren G, Hinde R and Harrison R. (2009). A Hardware-Accelerated Quantum Monte Carlo framework (HAQMC) for N-body systems. Computer Physics Communications. 10.1016/j.cpc.2009.06.027. 180:12. (2563-2573). Online publication date: 1-Dec-2009.

    http://linkinghub.elsevier.com/retrieve/pii/S0010465509002070

  • Wang G, Stitt G, Lam H and George A. A framework for core-level modeling and design of reconfigurable computing algorithms. Proceedings of the Third International Workshop on High-Performance Reconfigurable Computing Technology and Applications. (29-38).

    https://doi.org/10.1145/1646461.1646465

  • Uhrig S, Shehan B, Jahr R and Ungerer T. A Two-Dimensional Superscalar Processor Architecture. Proceedings of the 2009 Computation World: Future Computing, Service Computation, Cognitive, Adaptive, Content, Patterns. (608-611).

    https://doi.org/10.1109/ComputationWorld.2009.46

  • Shafique M, Bauer L and Henkel J. REMiS. Proceedings of the 2009 International Conference on Computer-Aided Design. (55-62).

    https://doi.org/10.1145/1687399.1687411

  • Shetti K, Koh C, Aung M and Bretschneider T. (2009). Development and code partitioning in a software configurable processor TENCON 2009 - 2009 IEEE Region 10 Conference. 10.1109/TENCON.2009.5396149. 978-1-4244-4546-2. (1-5).

    http://ieeexplore.ieee.org/document/5396149/

  • Jones J and Jin-Song Pei . Embedded Algorithms Within an FPGA to Classify Nonlinear Single-Degree-of-Freedom Systems. IEEE Sensors Journal. 10.1109/JSEN.2009.2019322. 9:11. (1486-1493).

    http://ieeexplore.ieee.org/document/5272820/

  • Fobel C, Gréwal G and Morton A. (2009). Hardware accelerated FPGA placement. Microelectronics Journal. 40:11. (1667-1671). Online publication date: 1-Nov-2009.

    https://doi.org/10.1016/j.mejo.2008.09.008

  • Taher M and El-Ghazawi T. (2009). Virtual Configuration Management. IEEE Transactions on Computers. 58:10. (1398-1410). Online publication date: 1-Oct-2009.

    https://doi.org/10.1109/TC.2009.81

  • Pham-Quoc C and Dinh-Duc A. (2009). New approaches to design asynchronous circuits on FPGAs 2009 International Conference on Advanced Technologies for Communications (ATC). 10.1109/ATC.2009.5349341. 978-1-4244-5139-5. (63-67).

    http://ieeexplore.ieee.org/document/5349341/

  • Wu B, Yan L, Feng D and Chen T. The Design and Implementation of Adaptive Reconfigurable Computing Array. Proceedings of the 2009 International Conference on Scalable Computing and Communications; Eighth International Conference on Embedded Computing. (245-250).

    https://doi.org/10.1109/EmbeddedCom-ScalCom.2009.51

  • Krikhaar R, Mosterman W, Veerman N and Verhoef C. (2009). Enabling system evolution through configuration management on the hardware/software boundary. Systems Engineering. 10.1002/sys.20122. 12:3. (233-264). Online publication date: 1-Sep-2009.

    https://incose.onlinelibrary.wiley.com/doi/10.1002/sys.20122

  • Chiu J, Yeh T and Leong M. The Software and Hardware Integration Linker for Reconfigurable Embedded System. Proceedings of the 2009 International Conference on Computational Science and Engineering - Volume 02. (520-525).

    https://doi.org/10.1109/CSE.2009.475

  • Economakos G and Xydis S. Optimized Reconfigurable RTL Components for Performance Improvements During High-Level Synthesis. Proceedings of the 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools. (164-171).

    https://doi.org/10.1109/DSD.2009.193

  • Wang G, Chen D, Chen J, Ma J and Chen T. A Performance Model for Run-Time Reconfigurable Hardware Accelerator. Proceedings of the 8th International Symposium on Advanced Parallel Processing Technologies. (54-66).

    https://doi.org/10.1007/978-3-642-03644-6_5

  • WANG D, DOU Y and LI S. (2009). Loop Kernel Pipelining Mapping onto Coarse-Grained Reconfigurable Architectures. Chinese Journal of Computers. 10.3724/SP.J.1016.2009.01089. 32:6. (1089-1099). Online publication date: 11-Aug-2009.

    http://pub.chinasciencejournal.com/article/getArticleRedirect.action?doiCode=10.3724/SP.J.1016.2009.01089

  • Azarian A and Ahmadi M. (2009). Reconfigurable computing architecture survey and introduction 2009 2nd IEEE International Conference on Computer Science and Information Technology. 10.1109/ICCSIT.2009.5234721. 978-1-4244-4519-6. (269-274).

    http://ieeexplore.ieee.org/document/5234721/

  • Awad M. (2009). FPGA supercomputing platforms: A survey 2009 International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2009.5272406. . (564-568).

    http://ieeexplore.ieee.org/document/5272406/

  • Fawaz K, Arslan T and Lindsay I. Implementation of Highly Pipelined Datapaths on a Reconfigurable Asynchronous Substrate. Proceedings of the 2009 NASA/ESA Conference on Adaptive Hardware and Systems. (112-119).

    https://doi.org/10.1109/AHS.2009.56

  • Pereira M and Carro L. Dynamically Adapted Low-Energy Fault Tolerant Processors. Proceedings of the 2009 NASA/ESA Conference on Adaptive Hardware and Systems. (91-97).

    https://doi.org/10.1109/AHS.2009.34

  • Zaykov P, Kuzmanov G and Gaydadjiev G. Reconfigurable Multithreading Architectures. Proceedings of the 9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation. (263-274).

    https://doi.org/10.1007/978-3-642-03138-0_29

  • Lima W, Lobato R, Manacero A and Spolon R. (2009). Towards a Java bytecodes compiler for Nios II soft-core processor 2009 IEEE Symposium on Computers and Communications (ISCC). 10.1109/ISCC.2009.5202253. 978-1-4244-4672-8. (104-109).

    http://ieeexplore.ieee.org/document/5202253/

  • Banerjee S and Sinha A. (2009). Performance analysis of different DSP algorithms on advanced microcontroller and FPGA 2009 International Conference on Advances in Computational Tools for Engineering Applications (ACTEA). 10.1109/ACTEA.2009.5227848. 978-1-4244-3833-4. (609-613).

    http://ieeexplore.ieee.org/document/5227848/

  • Wang X, Chen W, Wang Y, You H and Peng C. The Design and Implementation of Hardware Task Configuration Management Unit on Dynamically Reconfigurable SoC. Proceedings of the 2009 International Conference on Embedded Software and Systems. (179-184).

    https://doi.org/10.1109/ICESS.2009.83

  • Wang Y, Chen W, Wang X, You H and Peng C. The Hardware Thread Interface Design and Adaptation on Dynamically Reconfigurable SoC. Proceedings of the 2009 International Conference on Embedded Software and Systems. (173-178).

    https://doi.org/10.1109/ICESS.2009.56

  • Economakos G and Xydis S. High-level synthesis with coarse grain reconfigurable components. Proceedings of the 2009 IEEE International Symposium on Parallel&Distributed Processing. (1-4).

    https://doi.org/10.1109/IPDPS.2009.5161216

  • Sigdel K, Thompson M, Pimentel A, Galuzzi C and Bertels K. System-level runtime mapping exploration of reconfigurable architectures. Proceedings of the 2009 IEEE International Symposium on Parallel&Distributed Processing. (1-8).

    https://doi.org/10.1109/IPDPS.2009.5161199

  • Tumeo A, Borgio S, Bosisio D, Monchiero M, Palermo G, Ferrandi F and Sciuto D. A multiprocessor self-reconfigurable JPEG2000 encoder. Proceedings of the 2009 IEEE International Symposium on Parallel&Distributed Processing. (1-8).

    https://doi.org/10.1109/IPDPS.2009.5161198

  • Benson B, Irturk A, Junguk Cho and Kastner R. Energy benefits of reconfigurable hardware for use in underwater snesor nets. Proceedings of the 2009 IEEE International Symposium on Parallel&Distributed Processing. (1-7).

    https://doi.org/10.1109/IPDPS.2009.5161196

  • Pont M, Mora H, Chamizo J and de Miguel Casado G. Table-based method for reconfigurable function evaluation. Proceedings of the 2009 IEEE International Symposium on Parallel&Distributed Processing. (1-9).

    https://doi.org/10.1109/IPDPS.2009.5160870

  • Zain-ul-Abdin and Svensson B. (2009). Evolution in architectures and programming methodologies of coarse-grained reconfigurable computing. Microprocessors & Microsystems. 33:3. (161-178). Online publication date: 1-May-2009.

    https://doi.org/10.1016/j.micpro.2008.10.003

  • Sabeghi M and Bertels K. Toward a runtime system for reconfigurable computers. Proceedings of the Conference on Design, Automation and Test in Europe. (1576-1579).

    /doi/10.5555/1874620.1874997

  • Garcia P and Compton K. Shared Memory Cache Organizations for Reconfigurable Computing Systems. Proceedings of the 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines. (239-242).

    https://doi.org/10.1109/FCCM.2009.28

  • Bellas N, Chai S, Dwyer M and Linzmeier D. Real-Time Fisheye Lens Distortion Correction Using Automatically Generated Streaming Accelerators. Proceedings of the 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines. (149-156).

    https://doi.org/10.1109/FCCM.2009.16

  • JÓZWIAK L and NEDJAH N. (2009). MODERN ARCHITECTURES FOR EMBEDDED RECONFIGURABLE SYSTEMS — A SURVEY. Journal of Circuits, Systems and Computers. 10.1142/S0218126609005034. 18:02. (209-254). Online publication date: 1-Apr-2009.

    http://www.worldscientific.com/doi/abs/10.1142/S0218126609005034

  • Amaral A, Martins C and Kastensmidt F. (2009). Reducing reconfiguration times of FPGA-based systems using Multi-Level Reconfiguration 2009 5th Southern Conference on Programmable Logic (SPL). 10.1109/SPL.2009.4914914. 978-1-4244-3847-1. (217-222).

    http://ieeexplore.ieee.org/document/4914914/

  • Sabeghi M and Bertels K. (2009). Toward a runtime system for reconfigurable computers: A virtualization approach 2009 Design, Automation & Test in Europe Conference & Exhibition (DATE'09). 10.1109/DATE.2009.5090915. 978-1-4244-3781-8. (1576-1579).

    http://ieeexplore.ieee.org/document/5090915/

  • Becker T, Luk W and Cheung P. Parametric Design for Reconfigurable Software-Defined Radio. Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications. (15-26).

    https://doi.org/10.1007/978-3-642-00641-8_5

  • Kahoul A, Constantinides G, Smith A and Cheung P. Heterogeneous Architecture Exploration. Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications. (133-144).

    https://doi.org/10.1007/978-3-642-00641-8_15

  • Sahoo B and Padhy S. (2009). A Reconfigurable Accelerator for Parallel Longest Common Protein Subsequence Algorithm 2009 IEEE International Advance Computing Conference (IACC 2009). 10.1109/IADCC.2009.4809018. 978-1-4244-2927-1. (260-265).

    http://ieeexplore.ieee.org/document/4809018/

  • Astarloa A, Lázaro J, Bidarte U, Jiménez J and Zuloaga A. (2009). FPGA technology for multi-axis control systems. Mechatronics. 10.1016/j.mechatronics.2008.09.001. 19:2. (258-268). Online publication date: 1-Mar-2009.

    http://linkinghub.elsevier.com/retrieve/pii/S0957415808001414

  • Yan L, Wang G and Chen T. The input-aware dynamic adaptation of area and performance for reconfigurable accelerator. Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays. (281-281).

    https://doi.org/10.1145/1508128.1508191

  • Cong J, Gururaj K and Han G. Synthesis of reconfigurable high-performance multicore systems. Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays. (201-208).

    https://doi.org/10.1145/1508128.1508159

  • Bomel P, Crenne J, Ye L, Diguet J and Gogniat G. Ultra-Fast Downloading of Partial Bitstreams through Ethernet. Proceedings of the 22nd International Conference on Architecture of Computing Systems. (72-83).

    https://doi.org/10.1007/978-3-642-00454-4_10

  • (2009). References. Reconfigurable System Design and Verification. 10.1201/9781420062670.bmatt. (225-244). Online publication date: 17-Feb-2009.

    http://www.crcnetbase.com/doi/10.1201/9781420062670.bmatt

  • Tanougast C, Janiaut M, Berviller Y, Rabah H, Weber S and Bouridane A. (2009). An embedded and programmable system based FPGA for real time, MPEG stream buffer analysis. IEEE Transactions on Circuits and Systems for Video Technology. 19:2. (237-249). Online publication date: 1-Feb-2009.

    https://doi.org/10.1109/TCSVT.2008.2009254

  • Sahoo B, Swarnkar T and Padhy S. Implementation of parallel edit distance algorithm for protein sequences using reconfigurable accelerator. Proceedings of the International Conference on Advances in Computing, Communication and Control. (26-29).

    https://doi.org/10.1145/1523103.1523109

  • Cancare F, Santambrogio M and Sciuto D. An application-centered design flow for self reconfigurable systems implementation. Proceedings of the 2009 Asia and South Pacific Design Automation Conference. (248-253).

    /doi/10.5555/1509633.1509702

  • Shih K and Hsiung P. Reconfigurable Computing Technologies Overview. Encyclopedia of Information Science and Technology, Second Edition. 10.4018/978-1-60566-026-4.ch517. (3241-3250).

    http://services.igi-global.com/resolvedoi/resolve.aspx?doi=10.4018/978-1-60566-026-4.ch517

  • Lanzagorta M, Bique S and Rosenberg R. (2009). Introduction to Reconfigurable Supercomputing. Synthesis Lectures on Computer Architecture. 10.2200/S00238ED1V01Y200911CAC009. 4:1. (1-103). Online publication date: 1-Jan-2009.

    http://www.morganclaypool.com/doi/abs/10.2200/S00238ED1V01Y200911CAC009

  • Cancare F, Santambrogio M and Sciuto D. (2009). An application-centered design flow for self reconfigurable systems implementation 2009 Asia and South Pacific Design Automation Conference (ASP-DAC). 10.1109/ASPDAC.2009.4796488. 978-1-4244-2748-2. (248-253).

    http://ieeexplore.ieee.org/document/4796488/

  • Liu Q, Constantinides G, Masselos K and Cheung P. (2009). Data-reuse exploration under an on-chip memory constraint for low-power FPGA-based systems. IET Computers & Digital Techniques. 10.1049/iet-cdt.2008.0039. 3:3. (235).

    http://digital-library.theiet.org/content/journals/10.1049/iet-cdt.2008.0039

  • Lam S and Srikanthan T. (2009). Rapid design of area-efficient custom instructions for reconfigurable embedded processing. Journal of Systems Architecture: the EUROMICRO Journal. 55:1. (1-14). Online publication date: 1-Jan-2009.

    https://doi.org/10.1016/j.sysarc.2008.06.003

  • Rosti A, Campi F, Bonnot P and Brelet P. (2009). State of the Art. Dynamic System Reconfiguration in Heterogeneous Platforms. 10.1007/978-90-481-2427-5_2. (13-27).

    http://www.springerlink.com/index/10.1007/978-90-481-2427-5_2

  • Jiao Y, Wang X and Ni X. (2009). A Fully Data-Driven Reconfigurable Architecture with Very Coarse-Grained Execution Units. Scalable Information Systems. 10.1007/978-3-642-10485-5_1. (1-13).

    http://link.springer.com/10.1007/978-3-642-10485-5_1

  • Configuration System Based on Internal FPGA Decompression. Electronics System Design Techniques for Safety Critical Applications. 10.1007/978-1-4020-8979-4_6. (103-115).

    http://link.springer.com/10.1007/978-1-4020-8979-4_6

  • Schneider Beck A and Carro L. (2009). Reconfigurable Acceleration with Binary Compatibility for General Purpose Processors. VLSI-SoC: Advanced Topics on Systems on a Chip. 10.1007/978-0-387-89558-1_15. (1-16).

    http://link.springer.com/10.1007/978-0-387-89558-1_15

  • Ruiz J, Andrés D, Blanc S and Gil P. Generic Design and Automatic Deployment of NMR Strategies on HW Cores. Proceedings of the 2008 14th IEEE Pacific Rim International Symposium on Dependable Computing. (265-272).

    https://doi.org/10.1109/PRDC.2008.51

  • Yong-Sheng Y, Gao-Ming D and Yu-Kun S. Study on the Multi-pipeline Reconfigurable Computing System. Proceedings of the 2008 International Conference on Computer Science and Software Engineering - Volume 04. (122-125).

    https://doi.org/10.1109/CSSE.2008.1068

  • Liu S, Li L, Zhao Y and Fan J. GMIP. Proceedings of the 2008 14th IEEE International Conference on Parallel and Distributed Systems. (138-144).

    https://doi.org/10.1109/ICPADS.2008.30

  • Nascimento P, de Medeiros V, Souza V, Barros A and Lima M. A Temporal Partitioning Methodology for Reconfigurable High Performance Computers. Proceedings of the 2008 International Conference on Reconfigurable Computing and FPGAs. (307-312).

    https://doi.org/10.1109/ReConFig.2008.73

  • Hanoun A, Mayer-Lindenberg F and Soudan B. Reconfigurable Cell Architecture for Systolic and Pipelined Computing Datapaths. Proceedings of the 2008 International Conference on Reconfigurable Computing and FPGAs. (319-324).

    https://doi.org/10.1109/ReConFig.2008.67

  • Bassiri M and Shahhoseini H. (2008). A HW/SW partitioning algorithm for multitask reconfigurable embedded systems 2008 International Conference on Microelectronics - ICM. 10.1109/ICM.2008.5393536. 978-1-4244-2369-9. (143-146).

    http://ieeexplore.ieee.org/document/5393536/

  • Garcia P and Compton K. (2008). Kernel sharing on reconfigurable multiprocessor systems 2008 International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2008.4762387. 978-1-4244-2795-6. (225-232).

    http://ieeexplore.ieee.org/document/4762387/

  • Kuo J, Ku A, Jingling Xue , Diessel O and Malik U. (2008). ACS: An Addressless Configuration Support for efficient partial reconfigurations 2008 International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2008.4762379. 978-1-4244-2795-6. (161-168).

    http://ieeexplore.ieee.org/document/4762379/

  • Hölzl M, Rauschmayer A and Wirsing M. Engineering of Software-Intensive Systems. Software-Intensive Systems and New Computing Paradigms. (1-44).

    https://doi.org/10.1007/978-3-540-89437-7_1

  • Goddeke D, Strzodka R, Mohd-Yusof J, McCormick P, Wobker H, Becker C and Turek S. (2008). Using GPUs to improve multigrid solver performance on a cluster. International Journal of Computational Science and Engineering. 4:1. (36-55). Online publication date: 1-Nov-2008.

    https://doi.org/10.1504/IJCSE.2008.021111

  • Huffmire T, Brotherton B, Sherwood T, Kastner R, Levin T, Nguyen T and Irvine C. (2008). Managing Security in FPGA-Based Embedded Systems. IEEE Design & Test. 25:6. (590-598). Online publication date: 1-Nov-2008.

    https://doi.org/10.1109/MDT.2008.166

  • Li L, Liu S, Chen M and Fan J. Grid Memory Service Architecture for High Performance Computing. Proceedings of the 2008 Seventh International Conference on Grid and Cooperative Computing. (22-27).

    https://doi.org/10.1109/GCC.2008.45

  • Pasciak A and Ford J. (2008). High-speed evaluation of track-structure Monte Carlo electron transport simulations. Physics in Medicine and Biology. 10.1088/0031-9155/53/19/018. 53:19. (5539-5553). Online publication date: 7-Oct-2008.

    http://stacks.iop.org/0031-9155/53/i=19/a=018?key=crossref.595fb3cff1cce0bf880d6c08da08a98a

  • Huffmire T, Sherwood T, Kastner R and Levin T. (2008). Enforcing memory policy specifications in reconfigurable hardware. Computers and Security. 27:5-6. (197-215). Online publication date: 1-Oct-2008.

    https://doi.org/10.1016/j.cose.2008.05.002

  • Economakos G and Xydis S. A Scheduling Postprocessor to Exploit Morphable RTL Components During High-Level Synthesis. Proceedings of the 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools. (494-499).

    https://doi.org/10.1109/DSD.2008.85

  • Baradaran N and Diniz P. (2008). A compiler approach to managing storage and memory bandwidth in configurable architectures. ACM Transactions on Design Automation of Electronic Systems. 13:4. (1-26). Online publication date: 1-Sep-2008.

    https://doi.org/10.1145/1391962.1391969

  • Hsiung P, Lin C and Liao C. (2008). Perfecto. ACM Transactions on Reconfigurable Technology and Systems. 1:3. (1-30). Online publication date: 1-Sep-2008.

    https://doi.org/10.1145/1391732.1391737

  • Alves J and Cruz N. (2008). FASt - an autonomous sailing platform for oceanographic missions OCEANS 2008. 10.1109/OCEANS.2008.5152114. 978-1-4244-2619-5. (1-7).

    http://ieeexplore.ieee.org/document/5152114/

  • Pionteck T, Albrecht C, Koch R and Maehle E. (2008). On the design parameters of runtime reconfigurable systems 2008 International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2008.4630039. 978-1-4244-1960-9. (683-686).

    http://ieeexplore.ieee.org/document/4630039/

  • Syed Waqar Nabi , Wells C and Vanderbauwhede W. (2008). Interface and Reconfiguration Controller for a wireless MAC-oriented dynamically reconfigurable hardware co-processor 2008 International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2008.4630016. 978-1-4244-1960-9. (591-594).

    http://ieeexplore.ieee.org/document/4630016/

  • Karras K and Manolakos E. (2008). An embedded dynamically self-reconfigurable Master-Slaves MPSoC architecture 2008 International Conference on Field Programmable Logic and Applications (FPL). 10.1109/FPL.2008.4629976. 978-1-4244-1960-9. (431-434).

    http://ieeexplore.ieee.org/document/4629976/

  • Saponara S, Casula M and Fanucci L. (2008). ASIP-based reconfigurable architectures for power-efficient and real-time image/video processing. Journal of Real-Time Image Processing. 10.1007/s11554-008-0084-y. 3:3. (201-216). Online publication date: 1-Sep-2008.

    http://link.springer.com/10.1007/s11554-008-0084-y

  • Hassanli K, Mahani A, Shahhoseini H and Teimoury E. Queuing Analysis for Reconfigurable Computing. Proceedings of the 2008 Workshop on Power Electronics and Intelligent Transportation System. (284-288).

    https://doi.org/10.1109/PEITS.2008.125

  • Zhuo L and Prasanna V. (2008). High-Performance Designs for Linear Algebra Operations on Reconfigurable Hardware. IEEE Transactions on Computers. 57:8. (1057-1071). Online publication date: 1-Aug-2008.

    https://doi.org/10.1109/TC.2008.55

  • Freitas H and Navaux P. Evaluating On-Chip Interconnection Architectures for Parallel Processing. Proceedings of the 2008 11th IEEE International Conference on Computational Science and Engineering - Workshops. (188-193).

    https://doi.org/10.1109/CSEW.2008.60

  • Freitas H and Navaux P. A High-Throughput Multi-cluster NoC Architecture. Proceedings of the 2008 11th IEEE International Conference on Computational Science and Engineering. (56-63).

    https://doi.org/10.1109/CSE.2008.59

  • Chattopadhyay A, Ishebabi H, Chen X, Rakosi Z, Karuri K, Kammler D, Leupers R, Ascheid G and Meyr H. (2008). Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors. ACM Transactions on Embedded Computing Systems. 7:4. (1-31). Online publication date: 1-Jul-2008.

    https://doi.org/10.1145/1376804.1376808

  • Merchant S, Holland B, Reardon C, George A, Lam H, Stitt G, Smith M, Alam N, Gonzalez I, El-Araby E, Saha P, El-Ghazawi T and Simmler H. (2008). Strategic Challenges for Application Development Productivity in Reconfigurable Computing 2008 IEEE National Aerospace and Electronics Conference. 10.1109/NAECON.2008.4806548. 978-1-4244-2615-7. (209-218).

    http://ieeexplore.ieee.org/document/4806548/

  • Vahid F, Stitt G and Lysecky R. (2008). Warp Processing. Computer. 41:7. (40-46). Online publication date: 1-Jul-2008.

    https://doi.org/10.1109/MC.2008.240

  • Bomel P, Diguet J, Gogniat G and Crenne J. Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems. Proceedings of the 2008 International Symposium on Parallel and Distributed Computing. (228-234).

    https://doi.org/10.1109/ISPDC.2008.14

  • Chen W, Wang Y, Wang X and Peng C. (2008). A New Placement Approach to Minimizing FPGA Reconfiguration Data 2008 International Conference on Embedded Software and Systems. 10.1109/ICESS.2008.20. 978-0-7695-3287-5. (169-174).

    http://ieeexplore.ieee.org/document/4595554/

  • Li P, Han J and He J. (2008). Reliable Networked Reconfiguration of FPGAs with HW/SW Co-design Architecture 2008 International Conference on Embedded Software and Systems. 10.1109/ICESS.2008.19. 978-0-7695-3287-5. (580-586).

    http://ieeexplore.ieee.org/document/4595613/

  • Nabi S, Wells C and Vanderbauwhede W. A Dynamically Reconfigurable Hardware Co-Processor for a Multi-Standard Wireless MAC Processor. Proceedings of the 2008 NASA/ESA Conference on Adaptive Hardware and Systems. (368-375).

    https://doi.org/10.1109/AHS.2008.54

  • Xydis S, Economakos G, Soudris D and Pekmestzi K. Mapping DSP Applications onto High-Performance Architectural Templates with Inlined Flexibility. Proceedings of the 2008 NASA/ESA Conference on Adaptive Hardware and Systems. (346-353).

    https://doi.org/10.1109/AHS.2008.20

  • Beltrame G, Fossati L and Sciuto D. High-Level Modeling and Exploration of Reconfigurable MPSoCs. Proceedings of the 2008 NASA/ESA Conference on Adaptive Hardware and Systems. (330-337).

    https://doi.org/10.1109/AHS.2008.15

  • Leeser M. (2008). Field Programmable Gate Arrays. High Performance Embedded Computing Handbook. 10.1201/9781420006667.ch10. (217-231). Online publication date: 20-Jun-2008.

    http://www.crcnetbase.com/doi/10.1201/9781420006667.ch10

  • Bauer L, Shafique M and Henkel J. Run-time instruction set selection in a transmutable embedded processor. Proceedings of the 45th annual Design Automation Conference. (56-61).

    https://doi.org/10.1145/1391469.1391486

  • Yang K and Beaubouef T. (2008). A field programmable gate array media player for realmedia files. Journal of Computing Sciences in Colleges. 23:6. (133-139). Online publication date: 1-Jun-2008.

    /doi/10.5555/1352383.1352408

  • Chu A, Miller S and Sima M. Reconfigurable solutions for very-long arithmetic with applications in cryptography. Proceedings of the 18th ACM Great Lakes symposium on VLSI. (59-64).

    https://doi.org/10.1145/1366110.1366127

  • Compton K and Hauck S. (2008). Automatic design of reconfigurable domain-specific flexible cores. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 16:5. (493-503). Online publication date: 1-May-2008.

    https://doi.org/10.1109/TVLSI.2007.915439

  • Wang H, Leray P and Palicot J. (2008). An Efficient MIMO V-BLAST Decoder Based on a Dynamically Reconfigurable FPGA Including its Reconfiguration Management 2008 IEEE International Conference on Communications. 10.1109/ICC.2008.146. 978-1-4244-2075-9. (746-750).

    http://ieeexplore.ieee.org/document/4533182/

  • Pellizzoni R and Caccamo M. Hybrid Hardware-Software Architecture for Reconfigurable Real-Time Systems. Proceedings of the 2008 IEEE Real-Time and Embedded Technology and Applications Symposium. (273-284).

    https://doi.org/10.1109/RTAS.2008.14

  • Fu W and Compton K. Scheduling Intervals for Reconfigurable Computing. Proceedings of the 2008 16th International Symposium on Field-Programmable Custom Computing Machines. (87-96).

    https://doi.org/10.1109/FCCM.2008.48

  • Cancare F, Santambrogio M and Sciuto D. (2008). A design flow tailored for self dynamic reconfigurable architecture Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2008.4536526. 978-1-4244-1693-6. (1-8).

    http://ieeexplore.ieee.org/document/4536526/

  • Rutzig M, Beck A and Carro L. (2008). Balancing reconfigurable data path resources according to application requirements Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2008.4536522. 978-1-4244-1693-6. (1-8).

    http://ieeexplore.ieee.org/document/4536522/

  • Svensson H, Lenart T and Owall V. (2008). Modelling and exploration of a reconfigurable array using systemC TLM Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2008.4536521. 978-1-4244-1693-6. (1-8).

    http://ieeexplore.ieee.org/document/4536521/

  • Birla M and Vikram K. (2008). Partial run-time reconfiguration of FPGA for computer vision applications Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2008.4536518. 978-1-4244-1693-6. (1-6).

    http://ieeexplore.ieee.org/document/4536518/

  • Liu W, Tang R, Li H and Hu X. (2008). Design of an IPv4/IPv6 Translator Based on SOPC Technology 2008 IEEE International Conference on Networking, Sensing and Control (ICNSC). 10.1109/ICNSC.2008.4525322. 978-1-4244-1685-1. (786-790).

    http://ieeexplore.ieee.org/document/4525322/

  • Sourdis I, Bispo J, Cardoso J and Vassiliadis S. (2008). Regular Expression Matching in Reconfigurable Hardware. Journal of Signal Processing Systems. 51:1. (99-121). Online publication date: 1-Apr-2008.

    https://doi.org/10.1007/s11265-007-0131-0

  • Lotfifar F and Shahhoseini H. Performance modeling of partially reconfigurable computing systems. Proceedings of the 2008 IEEE/ACS International Conference on Computer Systems and Applications. (94-99).

    https://doi.org/10.1109/AICCSA.2008.4493522

  • Safar M, Shalan M, Watheq El-Kharashi M and Salem A. Hardware based algorithm for conflict diagnosis in SAT solver. Proceedings of the 2008 IEEE/ACS International Conference on Computer Systems and Applications. (89-93).

    https://doi.org/10.1109/AICCSA.2008.4493521

  • Jovanović S, Tanougast C and Weber S. A New Self-managing Hardware Design Approach for FPGA-Based Reconfigurable Systems. Proceedings of the 4th international workshop on Reconfigurable Computing: Architectures, Tools and Applications. (160-171).

    https://doi.org/10.1007/978-3-540-78610-8_17

  • Beck A, Rutzig M, Gaydadjiev G and Carro L. Run-Time Adaptable Architectures for Heterogeneous Behavior Embedded Systems. Proceedings of the 4th international workshop on Reconfigurable Computing: Architectures, Tools and Applications. (111-124).

    https://doi.org/10.1007/978-3-540-78610-8_13

  • Beck A, Rutzig M, Gaydadjiev G and Carro L. Transparent reconfigurable acceleration for heterogeneous embedded applications. Proceedings of the conference on Design, automation and test in Europe. (1208-1213).

    https://doi.org/10.1145/1403375.1403669

  • Bauer L, Shafique M, Kreutz S and Henkel J. Run-time system for an extensible embedded processor with dynamic instruction set. Proceedings of the conference on Design, automation and test in Europe. (752-757).

    https://doi.org/10.1145/1403375.1403558

  • Wilder J, Uzelac V, Milenkovic A and Jovanov E. (2008). Runtime Hardware Reconfiguration in Wireless Sensor Networks 2008 40th Southeastern Symposium on System Theory (SSST). 10.1109/SSST.2008.4480210. 978-1-4244-1806-0. (154-158).

    http://ieeexplore.ieee.org/document/4480210/

  • Andres E, Molina M, Botella G, del Barrio A and Mendias J. (2008). Aerodynamics Analysis Acceleration through Reconfigurable Hardware 2008 4th Southern Conference on Programmable Logic (SPL). 10.1109/SPL.2008.4547740. 978-1-4244-1992-0. (105-110).

    http://ieeexplore.ieee.org/document/4547740/

  • Beck A, Rutzig M, Gaydadjiev G and Carro L. (2008). Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications 2008 Design, Automation and Test in Europe. 10.1109/DATE.2008.4484843. 978-3-9810801-3-1. (1208-1213).

    http://ieeexplore.ieee.org/document/4484843/

  • Bauer L, Shafique M, Kreutz S and Henkel J. (2008). Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set 2008 Design, Automation and Test in Europe. 10.1109/DATE.2008.4484769. 978-3-9810801-3-1. (752-757).

    http://ieeexplore.ieee.org/document/4484769/

  • Graml R and Wigley G. (2008). Bushfire Hotspot Detection Through Uninhabited Aerial Vehicles and Reconfigurable Computing 2008 IEEE Aerospace Conference. 10.1109/AERO.2008.4526475. 978-1-4244-1487-1. (1-13).

    http://ieeexplore.ieee.org/document/4526475/

  • Kasbah S, Damaj I and Haraty R. (2008). Multigrid solvers in reconfigurable hardware. Journal of Computational and Applied Mathematics. 213:1. (79-94). Online publication date: 1-Mar-2008.

    https://doi.org/10.1016/j.cam.2006.12.031

  • Barros F. (2008). Modeling and simulation of parallel adaptive divide-and-conquer algorithms. The Journal of Supercomputing. 43:3. (241-255). Online publication date: 1-Mar-2008.

    https://doi.org/10.1007/s11227-007-0143-3

  • Albrecht C, Ross P, Koch R, Pionteck T and Maehle E. Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. Proceedings of the 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008). (200-205).

    https://doi.org/10.1109/PDP.2008.52

  • Lai Y and Chen L. (2008). A defect-tolerant reconfigurable nanoarchitecture design for multimedia applications. Colloids and Surfaces A: Physicochemical and Engineering Aspects. 10.1016/j.colsurfa.2007.05.049. 313-314. (465-468). Online publication date: 1-Feb-2008.

    http://linkinghub.elsevier.com/retrieve/pii/S0927775707005626

  • Raabe A, Hartmann P and Anlauf J. (2008). ReChannel. ACM Transactions on Design Automation of Electronic Systems. 13:1. (1-18). Online publication date: 1-Jan-2008.

    https://doi.org/10.1145/1297666.1297681

  • Lenart T, Svensson H and Öwall V. (2008). A Hybrid Interconnect Network-on-Chip and a Transaction Level Modeling Approach for Reconfigurable Computing 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008). 10.1109/DELTA.2008.85. 978-0-7695-3110-6. (398-404).

    http://ieeexplore.ieee.org/document/4459580/

  • Ajiro T and Tsuchida K. (2008). A Model of Computation for Bit-Level Concurrent Computing and Programming. IEICE - Transactions on Information and Systems. E91-D:1. (1-14). Online publication date: 1-Jan-2008.

    https://doi.org/10.1093/ietisy/e91-d.1.1

  • Karlström P, Ehliar A and Liu D. (2008). High-performance, low-latency field-programmable gate array-based floating-point adder and multiplier units in a Virtex 4. IET Computers & Digital Techniques. 10.1049/iet-cdt:20070075. 2:4. (305).

    http://digital-library.theiet.org/content/journals/10.1049/iet-cdt_20070075

  • Vahid F and Stitt G. (2008). Hardware/Software Partitioning. Reconfigurable Computing. 10.1016/B978-012370522-8.50034-0. (539-560).

    http://linkinghub.elsevier.com/retrieve/pii/B9780123705228500340

  • Paiz C, Pohl C and Porrmann M. Hardware-in-the-Loop Simulations for FPGA-based Digital Control Design. Informatics in Control Automation and Robotics. 10.1007/978-3-540-79142-3_27. (355-372).

    http://link.springer.com/10.1007/978-3-540-79142-3_27

  • Busonera G, Carucci S, Pani D and Raffo L. (2008). Self-Organization on Silicon: System Integration of a Fixed-Point Swarm Coprocessor. Nature Inspired Cooperative Strategies for Optimization (NICSO 2007). 10.1007/978-3-540-78987-1_14. (149-158).

    http://link.springer.com/10.1007/978-3-540-78987-1_14

  • Woods R and Lightbody G. (2008). Robustness in Digital Hardware. Robust Intelligent Systems. 10.1007/978-1-84800-261-6_1. (3-21).

    http://link.springer.com/10.1007/978-1-84800-261-6_1

  • Kasbah S, Haraty R and Damaj I. (2008). Reconfigurable Hardware Implementation of the Successive Overrelaxation Method. Advances in Industrial Engineering and Operations Research. 10.1007/978-0-387-74905-1_32. (453-466).

    http://link.springer.com/10.1007/978-0-387-74905-1_32

  • Pellizzoni R and Caccamo M. (2007). Real-Time Management of Hardware and Software Tasks for FPGA-based Embedded Systems. IEEE Transactions on Computers. 56:12. (1666-1680). Online publication date: 1-Dec-2007.

    https://doi.org/10.1109/TC.2007.70763

  • Zhang X, Rabah H and Weber S. (2007). Cluster-Based Hybrid Reconfigurable Architecture for Auto-adaptive SoC 2007 14th IEEE International Conference on Electronics, Circuits and Systems (ICECS '07). 10.1109/ICECS.2007.4511156. 978-1-4244-1377-5. (979-982).

    http://ieeexplore.ieee.org/document/4511156/

  • El-Araby E, Nosum P and El-Ghazawi T. (2007). Productivity of High-Level Languages on Reconfigurable Computers: An HPC Perspective 2007 International Conference on Field-Programmable Technology. 10.1109/FPT.2007.4439260. 978-1-4244-1471-0. (257-260).

    http://ieeexplore.ieee.org/document/4439260/

  • Sriram V and Kearney D. (2007). Research Issues in Using Reconfigurable Computing to Accelerate Infrared Simulation 9th Biennial Conference of the Australian Pattern Recognition Society on Digital Image Computing Techniques and Applications (DICTA 2007). 10.1109/DICTA.2007.4426848. 0-7695-3067-2. (569-572).

    http://ieeexplore.ieee.org/document/4426848/

  • Kim J, Cho J and Kim T. (2007). Temporal Partitioning to Amortize Reconfiguration Overhead for Dynamically Reconfigurable Architectures. IEICE - Transactions on Information and Systems. E90-D:12. (1977-1985). Online publication date: 1-Dec-2007.

    https://doi.org/10.1093/ietisy/e90-d.12.1977

  • Holzer M, Knerr B and Rupp M. (2007). Design Space Exploration for Real-Time Reconfigurable Computing 2007 41st Asilomar conference on Signals, Systems and Computers (ACSSC). 10.1109/ACSSC.2007.4487583. 978-1-4244-2109-1. (1981-1985).

    http://ieeexplore.ieee.org/document/4487583/

  • El-Araby E, Le Moigne J, Taher M and El-Ghazawi T. (2007). Remote Sensing and High-Performance Reconfigurable Computing Systems. High Performance Computing in Remote Sensing. 10.1201/9781420011616.ch15. (359-377). Online publication date: 18-Oct-2007.

    http://www.crcnetbase.com/doi/abs/10.1201/9781420011616.ch15

  • Sriram V and Kearney D. (2007). Towards A Multi-FPGA Infrared Simulator. The Journal of Defense Modeling and Simulation: Applications, Methodology, Technology. 10.1177/154851290700400404. 4:4. (343-355). Online publication date: 1-Oct-2007.

    https://journals.sagepub.com/doi/10.1177/154851290700400404

  • Pranav and Jaehwan John Lee . (2007). Simulation of hybrid computer architectures: simulators, methodologies and recommendations 2007 IFIP International Conference on Very Large Scale Integration. 10.1109/VLSISOC.2007.4402490. 978-1-4244-1709-4. (157-162).

    http://ieeexplore.ieee.org/document/4402490/

  • Arash Mehdizadeh , Behnam Ghavami , Morteza Saheb Zamani , Hossein Pedram and Farhad Mehdipour . (2007). An efficient heterogeneous reconfigurable functional unit for an adaptive dynamic extensible processor 2007 IFIP International Conference on Very Large Scale Integration. 10.1109/VLSISOC.2007.4402489. 978-1-4244-1709-4. (151-156).

    http://ieeexplore.ieee.org/document/4402489/

  • Schneider Beck A and Carro L. (2007). Transparent acceleration of data dependent instructions for general purpose processors 2007 IFIP International Conference on Very Large Scale Integration. 10.1109/VLSISOC.2007.4402474. 978-1-4244-1709-4. (66-71).

    http://ieeexplore.ieee.org/document/4402474/

  • Wang Y, Chen W, Wang X, You H and Peng C. (2007). Enabling Reconfigurable SoC in Multimedia Processing 7th IEEE International Conference on Computer and Information Technology (CIT 2007). 10.1109/CIT.2007.30. 0-7695-2983-6. (811-816).

    http://ieeexplore.ieee.org/document/4385185/

  • Torresen J and Glette K. Improving flexibility in on-line evolvable systems by reconfigurable computing. Proceedings of the 7th international conference on Evolvable systems: from biology to hardware. (391-402).

    /doi/10.5555/1792161.1792205

  • Sun K, Zheng J, Li Y and Pan X. Design of a simulator for mesh-based reconfigurable architectures. Proceedings of the 2007 IFIP international conference on Network and parallel computing. (526-535).

    /doi/10.5555/1789295.1789365

  • Jianwen L and Chuen J. (2007). A System-on-Chip Dynamically Reconfigurable FPGA Platform for Matrix Inversion 2007 International Symposium on Integrated Circuits - ISIC 2007. 10.1109/ISICIR.2007.4441899. 978-1-4244-0796-5. (465-468).

    http://ieeexplore.ieee.org/document/4441899/

  • Sotiriades E and Dollas A. (2007). A General Reconfigurable Architecture for the BLAST Algorithm. Journal of VLSI Signal Processing Systems. 48:3. (189-208). Online publication date: 1-Sep-2007.

    https://doi.org/10.1007/s11265-007-0069-2

  • Zhang W, Bi J, Wu J and Qin Z. An Approach to Optimize Local Trust Algorithm in SureMsg Service. Proceedings of the 2007 ECSIS Symposium on Bio-inspired, Learning, and Intelligent Systems for Security. (51-54).

    https://doi.org/10.1109/BLISS.2007.10

  • Nousias I, Khawam S, Milward M, Muir M and Arslan T. A Multi-object GA Based Physical Placement Algorithm for Heterogeneous Dynamicaly Reconfigurable Arrays. Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems. (504-510).

    https://doi.org/10.1109/AHS.2007.8

  • Wang F, Huang D and Sheng G. (2007). A Pipelined Reconfigurable Architecture for Real-time Image Processing of Robot Vision Servoing 2007 International Conference on Mechatronics and Automation. 10.1109/ICMA.2007.4303905. 978-1-4244-0827-6. (2264-2269).

    http://ieeexplore.ieee.org/document/4303905/

  • Li Dongdong , Liming W, Gaofeng Z and Nanhui L. (2007). Design of Reconfigurable CNC System Based on FPGA 2007 8th International Conference on Electronic Measurement and Instruments. 10.1109/ICEMI.2007.4351278. 978-1-4244-1135-1. (4-857-4-861).

    http://ieeexplore.ieee.org/document/4351278/

  • Gallini A, Pavesi L, Ferretti C, Rosti A and Bocchio S. (2007). An Automatic Compilation Framework for Configurable Architectures 2007 International Conference on Field Programmable Logic and Applications. 10.1109/FPL.2007.4380708. 978-1-4244-1059-0. (525-528).

    http://ieeexplore.ieee.org/document/4380708/

  • Chung-Ching Shen , Badr C, Kamiar Kordari , Bhattacharyya S, Blankenship G and Goldsman N. A rapid prototyping methodology for application-specific sensor networks. Proceedings of the 2007 IEEE International Conference on Application-Specific Systems, Architectures and Processors. (130-135).

    https://doi.org/10.1109/ASAP.2007.4429977

  • Syed Waqar Nabi , Wells C and Wim Vanderbauwhede . (2007). A dynamically reconfigurable system-on-chip for implementing wireless MACs 2007 Ph.D Research in Microelectronics and Electronics Conference. 10.1109/RME.2007.4401805. 978-1-4244-1000-2. (37-40).

    http://ieeexplore.ieee.org/document/4401805/

  • Gonzalez I, Aguayo E and Lopez-Buedo S. (2007). Self-Reconfigurable Embedded Systems on Low-Cost FPGAs. IEEE Micro. 27:4. (49-57). Online publication date: 1-Jul-2007.

    https://doi.org/10.1109/MM.2007.72

  • Delahaye J, Palicot J, Moy C and Leray P. (2007). Partial Reconfiguration of FPGAs for Dynamical Reconfiguration of a Software Radio Platform 2007 16th IST Mobile and Wireless Communications Summit. 10.1109/ISTMWC.2007.4299250. 1-4244-1662-0. (1-5).

    http://ieeexplore.ieee.org/document/4299250/

  • Vassiliadis N, Theodoridis G and Nikolaidis S. (2007). The ARISE Reconfigurable Instruction Set Extensions Framework 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. 10.1109/ICSAMOS.2007.4285746. 1-4244-1058-4. (153-160).

    http://ieeexplore.ieee.org/document/4285746/

  • Xydis S, Economakos G and Pekmestzi K. (2007). Flexibility Inlining into Arithmetic Data-paths Exploiting A Regular Interconnection Scheme 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. 10.1109/ICSAMOS.2007.4285744. 1-4244-1058-4. (137-144).

    http://ieeexplore.ieee.org/document/4285744/

  • Wu K and Madsen J. (2007). COSMOS: A System-Level Modelling and Simulation Framework for Coprocessor-Coupled Reconfigurable Systems 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. 10.1109/ICSAMOS.2007.4285743. 1-4244-1058-4. (128-136).

    http://ieeexplore.ieee.org/document/4285743/

  • Lam S and Srikanthan T. (2007). Estimating Area Costs of Custom Instructions for FPGA-based Reconfigurable Processors 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors. 10.1109/ASAP.2007.4429963. 978-1-4244-1026-2. (89-94).

    http://ieeexplore.ieee.org/document/4429963/

  • Tumeo A, Monchiero M, Palermo G, Ferrandi F and Sciuto D. (2007). A Self-Reconfigurable Implementation of the JPEG Encoder 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors. 10.1109/ASAP.2007.4429953. 978-1-4244-1026-2. (24-29).

    http://ieeexplore.ieee.org/document/4429953/

  • Göddeke D, Strzodka R and Turek S. (2007). Performance and accuracy of hardware-oriented native-, emulated-and mixed-precision solvers in FEM simulations. International Journal of Parallel, Emergent and Distributed Systems. 22:4. (221-256). Online publication date: 1-Jul-2007.

    https://doi.org/10.1080/17445760601122076

  • Coe S, Areibi S and Moussa M. (2007). A hardware Memetic accelerator for VLSI circuit partitioning. Computers and Electrical Engineering. 33:4. (233-248). Online publication date: 1-Jul-2007.

    https://doi.org/10.1016/j.compeleceng.2007.02.003

  • Chung‐Kuan C, Kahng A and Leong P. (2007). Reconfigurable Computing. Wiley Encyclopedia of Electrical and Electronics Engineering. 10.1002/047134608X.W7603.pub2.

    https://onlinelibrary.wiley.com/doi/10.1002/047134608X.W7603.pub2

  • Bauer L, Shafique M, Kramer S and Henkel J. RISPP. Proceedings of the 44th annual Design Automation Conference. (791-796).

    https://doi.org/10.1145/1278480.1278678

  • Najaf-abadi H and Rotenberg E. (2007). Architectural contesting. ACM SIGARCH Computer Architecture News. 35:3. (28-35). Online publication date: 1-Jun-2007.

    https://doi.org/10.1145/1294313.1294321

  • Bellas N, Chai S, Dwyer M and Linzmeier D. (2007). Mapping streaming architectures on reconfigurable platforms. ACM SIGARCH Computer Architecture News. 35:3. (2-8). Online publication date: 1-Jun-2007.

    https://doi.org/10.1145/1294313.1294318

  • Myjak M and Delgado-Frias J. Medium-Grain Cells for Reconfigurable DSP Hardware. IEEE Transactions on Circuits and Systems I: Regular Papers. 10.1109/TCSI.2007.895384. 54:6. (1255-1265).

    http://ieeexplore.ieee.org/document/4232588/

  • Economakos G and Economakos C. (2007). A run-time reconfigurable fuzzy PID controller based on modern FPGA devices 2007 Mediterranean Conference on Control & Automation. 10.1109/MED.2007.4433812. 978-1-4244-1281-5. (1-6).

    http://ieeexplore.ieee.org/document/4433812/

  • Wang X, Ziavras S, Nwankpa C, Johnson J and Nagvajara P. (2007). Parallel solution of Newton’s power flow equations on configurable chips. International Journal of Electrical Power & Energy Systems. 10.1016/j.ijepes.2006.10.006. 29:5. (422-431). Online publication date: 1-Jun-2007.

    http://linkinghub.elsevier.com/retrieve/pii/S014206150600192X

  • Mattos J, Beck A and Carro L. Object-Oriented Reconfiguration. Proceedings of the 18th IEEE/IFIP International Workshop on Rapid System Prototyping. (69-74).

    https://doi.org/10.1109/RSP.2007.31

  • Huffmire T, Brotherton B, Wang G, Sherwood T, Kastner R, Levin T, Nguyen T and Irvine C. Moats and Drawbridges. Proceedings of the 2007 IEEE Symposium on Security and Privacy. (281-295).

    https://doi.org/10.1109/SP.2007.28

  • Dittmann F. Algorithmic skeletons for the programming of reconfigurable systems. Proceedings of the 5th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems. (358-367).

    /doi/10.5555/1778978.1779020

  • Compton K and Hauck S. (2007). Automatic Design of Area-Efficient Configurable ASIC Cores. IEEE Transactions on Computers. 56:5. (662-672). Online publication date: 1-May-2007.

    https://doi.org/10.1109/TC.2007.1035

  • Svensson H, Lenart T and Owall V. (2007). Accelerating Vector Operations by Utilizing Reconfigurable Coprocessor Architectures 2007 IEEE International Symposium on Circuits and Systems. 10.1109/ISCAS.2007.378670. 1-4244-0920-9. (3972-3975).

    http://ieeexplore.ieee.org/document/4253552/

  • Itradat A, Ahmad M and Shatnawi A. (2007). Architectural Synthesis of DSP Applications with Dynamically Reconfigurable Functional Units 2007 IEEE International Symposium on Circuits and Systems. 10.1109/ISCAS.2007.378147. 1-4244-0920-9. (1037-1040).

    http://ieeexplore.ieee.org/document/4252815/

  • Sirowy S, Wu Y, Lonardi S and Vahid F. Two-level microprocessor-accelerator partitioning. Proceedings of the conference on Design, automation and test in Europe. (313-318).

    /doi/10.5555/1266366.1266433

  • Safar M, Shalan M, El-Kharashi M and Salem A. Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver. Proceedings of the conference on Design, automation and test in Europe. (153-158).

    /doi/10.5555/1266366.1266400

  • Sun K, Pan X, Wang J and Ping L. PAD. Proceedings of the International Conference on Information Technology. (964-965).

    https://doi.org/10.1109/ITNG.2007.146

  • Czarnecki R and Deniziak S. (2007). Resource Constrained Co-synthesis of Self-reconfigurable SOPCs 2007 IEEE Design and Diagnostics of Electronic Circuits and Systems. 10.1109/DDECS.2007.4295253. 1-4244-1161-0. (1-6).

    http://ieeexplore.ieee.org/document/4295253/

  • Safar M, Shalan M, El-Kharashi M and Salem A. (2007). A Shift Register based Clause Evaluator for Reconfigurable SAT Solver Design, Automation & Test in Europe Conference. 10.1109/DATE.2007.364583. 978-3-9810801-2-4. (1-6).

    http://ieeexplore.ieee.org/document/4211788/

  • Itradat A, Ahmad M and Shatnawi A. (2007). Dynamically Reconfigurable Adaptable MultiModule Based Synthesis of DSP Data Flow Graphs 2007 Canadian Conference on Electrical and Computer Engineering. 10.1109/CCECE.2007.377. 1-4244-1020-7. (1515-1518).

    http://ieeexplore.ieee.org/document/4233036/

  • Mintz T and Davis J. Low-power tradeoffs for mobile computing applications. Proceedings of the 45th annual ACM Southeast Conference. (144-149).

    https://doi.org/10.1145/1233341.1233368

  • Sterpone L and Violante M. A new decompression system for the configuration process of SRAM-based FPGAS. Proceedings of the 17th ACM Great Lakes symposium on VLSI. (241-246).

    https://doi.org/10.1145/1228784.1228846

  • Rutzig M, Beck A and Carro L. Transparent Dataflow Execution for Embedded Applications. Proceedings of the IEEE Computer Society Annual Symposium on VLSI. (47-54).

    https://doi.org/10.1109/ISVLSI.2007.98

  • Tumeo A, Monchiero M, Palermo G, Ferrandi F and Sciuto D. An Internal Partial Dynamic Reconfiguration Implementation of the JPEG Encoder for Low-Cost FPGAsb. Proceedings of the IEEE Computer Society Annual Symposium on VLSI. (449-450).

    https://doi.org/10.1109/ISVLSI.2007.25

  • Wang H, Delahaye J, Leray P and Palicot J. (2007). Managing dynamic reconfiguration on MIMO Decoder 2007 IEEE International Parallel and Distributed Processing Symposium. 10.1109/IPDPS.2007.370387. 1-4244-0909-8. (1-8).

    http://ieeexplore.ieee.org/document/4228115/

  • Oppold T, Eisenhardt S and Rosenstiel W. (2007). Optimization of Area and Performance by Processor-Like Reconfiguration 2007 IEEE International Parallel and Distributed Processing Symposium. 10.1109/IPDPS.2007.370365. 1-4244-0909-8. (1-8).

    http://ieeexplore.ieee.org/document/4228093/

  • Wang L and Kulkarni S. (2007). Authentication in Reprogramming of Sensor Networks for Mote Class Adversaries 2007 IEEE International Parallel and Distributed Processing Symposium. 10.1109/IPDPS.2007.370351. 1-4244-0909-8. (1-8).

    http://ieeexplore.ieee.org/document/4228079/

  • Wang C, Mueller F, Engelmann C and Scott S. (2007). A Job Pause Service under LAM/MPI+BLCR for Transparent Fault Tolerance 2007 IEEE International Parallel and Distributed Processing Symposium. 10.1109/IPDPS.2007.370307. 1-4244-0909-8. (1-10).

    http://ieeexplore.ieee.org/document/4228035/

  • Meyer J and Kocan F. (2007). Sharing of SRAM tables among NPN-equivalent LUTs in SRAM-based FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 15:2. (182-195). Online publication date: 1-Feb-2007.

    https://doi.org/10.1109/TVLSI.2007.893581

  • El-Araby E, Taher M, Abouellail M, El-Ghazawi T and Newby G. (2007). Comparative Analysis of High Level Programming for Reconfigurable Computers: Methodology and Empirical Study 2007 3rd Southern Conference on Programmable Logic. 10.1109/SPL.2007.371731. 1-4244-0606-4. (99-106).

    http://ieeexplore.ieee.org/document/4234328/

  • Becker J, Hubner M, Hettich G, Constapel R, Eisenmann J and Luka J. Dynamic and Partial FPGA Exploitation. Proceedings of the IEEE. 10.1109/JPROC.2006.888404. 95:2. (438-452).

    http://ieeexplore.ieee.org/document/4142918/

  • Myjak M and Delgado-Frias J. (2007). A two-level reconfigurable architecture for digital signal processing. Microelectronic Engineering. 10.1016/j.mee.2006.02.008. 84:2. (244-252). Online publication date: 1-Feb-2007.

    http://linkinghub.elsevier.com/retrieve/pii/S0167931706003054

  • Craven S and Athanas P. (2007). Examining the Viability of FPGA Supercomputing. EURASIP Journal on Embedded Systems. 10.1186/1687-3963-2007-093652. 2007:1. (093652).

    http://jes.eurasipjournals.com/content/2007/093652

  • Craven S and Athanas P. (2007). Examining the viability of FPGA supercomputing. EURASIP Journal on Embedded Systems. 2007:1. (13-13). Online publication date: 1-Jan-2007.

    https://doi.org/10.1155/2007/93652

  • Kearney D and Jasiunas M. (2007). Using simulated partial dynamic run-time reconfiguration to share embedded FPGA compute and power resources across a swarm of unpiloted airborne vehicles. EURASIP Journal on Embedded Systems. 2007:1. (8-8). Online publication date: 1-Jan-2007.

    https://doi.org/10.1155/2007/48521

  • Danne K, Mühlenbernd R and Platzner M. (2007). Server-based execution of periodic tasks on dynamically reconfigurable hardware. IET Computers & Digital Techniques. 10.1049/iet-cdt:20060186. 1:4. (295).

    http://digital-library.theiet.org/content/journals/10.1049/iet-cdt_20060186

  • Dittmann F. (2007). Algorithmic Skeletons for the Programming of Reconfigurable Systems. Software Technologies for Embedded and Ubiquitous Systems. 10.1007/978-3-540-75664-4_36. (358-367).

    http://link.springer.com/10.1007/978-3-540-75664-4_36

  • Sun K, Zheng J, Li Y and Pan X. (2007). Design of a Simulator for Mesh-Based Reconfigurable Architectures. Network and Parallel Computing. 10.1007/978-3-540-74784-0_53. (526-535).

    http://link.springer.com/10.1007/978-3-540-74784-0_53

  • Torresen J and Glette K. Improving Flexibility in On-Line Evolvable Systems by Reconfigurable Computing. Evolvable Systems: From Biology to Hardware. 10.1007/978-3-540-74626-3_37. (391-402).

    http://link.springer.com/10.1007/978-3-540-74626-3_37

  • Sima M, Vassiliadis S and Cotofana S. A Taxonomy of Field-Programmable Custom Computing Machines. Fine- and Coarse-Grain Reconfigurable Computing. 10.1007/978-1-4020-6505-7_7. (299-378).

    http://link.springer.com/10.1007/978-1-4020-6505-7_7

  • Theodoridis G, Soudris D and Vassiliadis S. A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools. Fine- and Coarse-Grain Reconfigurable Computing. 10.1007/978-1-4020-6505-7_2. (89-149).

    http://link.springer.com/10.1007/978-1-4020-6505-7_2

  • Boa R, Amaral A, da Penha D, da Silva Martins C and Ekel P. Parallel image segmentation in reconfigurable chip multiprocessors. Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking. (728-737).

    https://doi.org/10.1007/11942634_75

  • Boa R, da Penha D, Amaral A, de Souza M, da Silva Martins C and Ekel P. RCMP. Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking. (94-103).

    https://doi.org/10.1007/11942634_11

  • Papadimitriou K, Dollas A and Sotiropoulos S. Low-Cost Real-Time 2-D Motion Detection Based on Reconfigurable Computing. IEEE Transactions on Instrumentation and Measurement. 10.1109/TIM.2006.884280. 55:6. (2234-2243).

    http://ieeexplore.ieee.org/document/4014725/

  • Rosario J, Pegoraro R, Ferasoli H and Dumur D. (2006). Conception of Wheeled Mobile Robots with Reconfigurable Control using Integrate Prototyping 2006 IEEE Conference on Robotics, Automation and Mechatronics. 10.1109/RAMECH.2006.252700. 1-4244-0024-4. (1-6).

    http://ieeexplore.ieee.org/document/4018816/

  • Hafner A, Erig Lima C and Lopes H. (2006). An Electric Energy Quality Meter Using Hardware Reconfigurable Computing 2006 1ST IEEE Conference on Industrial Electronics and Applications. 10.1109/ICIEA.2006.257363. 0-7803-9513-1. (1-6).

    http://ieeexplore.ieee.org/document/4025964/

  • Moritz G, Lopes H and Erig Lima C. (2006). Hardalign: a parallel pairwise alignment hardware application 2006 IEEE International Conference on Field Programmable Technology. 10.1109/FPT.2006.270350. 0-7803-9728-2. (369-372).

    http://ieeexplore.ieee.org/document/4042472/

  • Zhou X, Wang Y, Huang X and Peng C. (2006). On-line scheduling of real-time tasks for reconfigurable computing system 2006 IEEE International Conference on Field Programmable Technology. 10.1109/FPT.2006.270295. 0-7803-9728-2. (57-64).

    http://ieeexplore.ieee.org/document/4042416/

  • Tadigotla V and Commuri S. Dynamic image filter selection using partially reconfigurable FPGAs for imaging operations. Proceedings of the 5th WSEAS International Conference on Circuits, Systems, Electronics, Control & Signal Processing. (60-65).

    /doi/10.5555/1376148.1376160

  • Moreano N, Borin E, Souza C and Araujo G. (2006). Efficient datapath merging for partially reconfigurable architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 24:7. (969-980). Online publication date: 1-Nov-2006.

    https://doi.org/10.1109/TCAD.2005.850844

  • Yaqoob A and Chughtai M. (2006). Temporal Partitioning of Tasks on a Heterogeneous Reconfigurable Architecture 2006 IEEE International Conference on Semiconductor Electronics. 10.1109/SMELEC.2006.381086. 0-7803-9730-4. (382-387).

    http://ieeexplore.ieee.org/document/4266636/

  • Safar M, Shalan M, El-kharashi M and Salem A. (2006). A Hardware Accelerator for SAT Solving 2006 International Conference on Computer Engineering and Systems. 10.1109/ICCES.2006.320437. 1-4244-0271-9. (132-135).

    http://ieeexplore.ieee.org/document/4115497/

  • Wang S, Wu J, Hsu C and Ni W. (2006). Software downloading in reconfigurable networks of open wireless architecture using SDR technology. IEEE Communications Magazine. 44:10. (128-134). Online publication date: 1-Oct-2006.

    https://doi.org/10.1109/MCOM.2006.1710424

  • Lombardi M and Milano M. Stochastic allocation and scheduling for conditional task graphs in MPSoCs. Proceedings of the 12th international conference on Principles and Practice of Constraint Programming. (299-313).

    https://doi.org/10.1007/11889205_23

  • Mattos J, Wong S and Carro L. The Molen FemtoJava Engine. Proceedings of the IEEE 17th International Conference on Application-specific Systems, Architectures and Processors. (19-22).

    https://doi.org/10.1109/ASAP.2006.64

  • Vikram K and Vasudevan V. (2006). Mapping data-parallel tasks onto partially reconfigurable hybrid processor architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 14:9. (1010-1023). Online publication date: 1-Sep-2006.

    https://doi.org/10.1109/TVLSI.2006.884052

  • Abhyankar Y, Sajish C, Agarwal Y, Subrahmanya C and Prasad P. (2006). High Performance Power Spectrum Analysis Using a FPGA Based Reconfigurable Computing Platform 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006). 10.1109/RECONF.2006.307786. 1-4244-0689-7. (1-5).

    http://ieeexplore.ieee.org/document/4100006/

  • Moritz G, Jory C, Lopes H and Erig Lima C. (2006). Implementation of a Parallel Algorithm for Protein Pairwise Alignment Using Reconfigurable Computing 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006). 10.1109/RECONF.2006.307759. 1-4244-0689-7. (1-7).

    http://ieeexplore.ieee.org/document/4099979/

  • E Silva J and Marques E. (2006). Executing Algorithms for Dynamic Dataflow Reconfigurable Hardware -The Operators Protocol 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006). 10.1109/RECONF.2006.307754. 1-4244-0689-7. (1-7).

    http://ieeexplore.ieee.org/document/4099974/

  • Dam A, Lammertink M, Rovers K, Slagman J and Wellink A. Hardware/Software Co-design Applied to Reed-Solomon Decoding for the DMB Standard. Proceedings of the 9th EUROMICRO Conference on Digital System Design. (447-455).

    https://doi.org/10.1109/DSD.2006.59

  • do Nascimento P, de Lima M, da Silva S and Seixas J. Mapping of image processing systems to FPGA computer based on temporal partitioning and design space exploration. Proceedings of the 19th annual symposium on Integrated circuits and systems design. (50-55).

    https://doi.org/10.1145/1150343.1150361

  • Dillinger P, Vogelbruch J, Leinen J, Suslov S, Patzak R, Winkler H and Schwan K. FPGA-Based Real-Time Image Segmentation for Medical Systems and Data Processing. IEEE Transactions on Nuclear Science. 10.1109/TNS.2006.877268. 53:4. (2097-2101).

    http://ieeexplore.ieee.org/document/1684071/

  • Liu Y, Meng Q, Dai Z and Liu J. (2006). Design and Implementation of Reconfigurable Modulo (2n+1) Multiplication IP Core using FPGAs 2006 First International Symposium on Pervasive Computing and Applications. 10.1109/SPCA.2006.297478. 1-4244-0325-1. (539-542).

    http://ieeexplore.ieee.org/document/4079049/

  • Arce-Nazario R, Jimenez M and Rodriguez D. (2006). Functionally-aware Partitioning of Discrete Signal Transforms for Distributed Hardware Architectures 2006 49th IEEE International Midwest Symposium on Circuits and Systems. 10.1109/MWSCAS.2006.381799. 1-4244-0172-0. (590-594).

    http://ieeexplore.ieee.org/document/4267423/

  • Sriram V and Kearney D. (2006). High Speed High Fidelity Infrared Scene Simulation Using Reconfigurable Computing 2006 International Conference on Field Programmable Logic and Applications. 10.1109/FPL.2006.311360. 1-4244-0312-X. (1-2).

    http://ieeexplore.ieee.org/document/4101122/

  • Arce-nazario R, Jimenez M and Rodriguez D. (2006). High-Level Partitioning of Discrete Signal Transforms for Multi-FPGA Architectures 2006 International Conference on Field Programmable Logic and Applications. 10.1109/FPL.2006.311341. 1-4244-0312-X. (1-4).

    http://ieeexplore.ieee.org/document/4101103/

  • Hsiung P, Huang C and Liao C. (2006). Perfecto: A Systemc-Based Performance Evaluation Framework for Dynamically Partially Reconfigurable Systems 2006 International Conference on Field Programmable Logic and Applications. 10.1109/FPL.2006.311213. 1-4244-0312-X. (1-6).

    http://ieeexplore.ieee.org/document/4100975/

  • Pani D and Raffo L. (2006). Stigmergic approaches applied to flexible fault-tolerant digital VLSI architectures. Journal of Parallel and Distributed Computing. 66:8. (1014-1024). Online publication date: 1-Aug-2006.

    https://doi.org/10.1016/j.jpdc.2005.11.001

  • Carta S, Pani D and Raffo L. (2006). Reconfigurable Coprocessor for Multimedia Application Domain. Journal of VLSI Signal Processing Systems. 44:1-2. (135-152). Online publication date: 1-Aug-2006.

    https://doi.org/10.1007/s11265-006-7512-7

  • Yan L, Srikanthan T and Gang N. (2006). Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures. ACM SIGPLAN Notices. 41:7. (182-188). Online publication date: 12-Jul-2006.

    https://doi.org/10.1145/1159974.1134677

  • Danne K and Platzner M. (2006). An EDF schedulability test for periodic tasks on reconfigurable hardware devices. ACM SIGPLAN Notices. 41:7. (93-102). Online publication date: 12-Jul-2006.

    https://doi.org/10.1145/1159974.1134665

  • Khan E, El-kharashi M, Gebali F and Abd-El-Barr M. (2006). Designing an HMAC-Hash Unit on FPGAs Using Handel-C 2006 IEEE International Symposium on Industrial Electronics. 10.1109/ISIE.2006.295697. 1-4244-0497-5. (1521-1526).

    http://ieeexplore.ieee.org/document/4078312/

  • Al-Khaleel O, Papachristou C, Wolff F and Pekmestzi K. A Large Scale Adaptable Multiplier for Cryptographic Applications. Proceedings of the first NASA/ESA conference on Adaptive Hardware and Systems. (477-484).

    https://doi.org/10.1109/AHS.2006.6

  • Yan L, Srikanthan T and Gang N. Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures. Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems. (182-188).

    https://doi.org/10.1145/1134650.1134677

  • Danne K and Platzner M. An EDF schedulability test for periodic tasks on reconfigurable hardware devices. Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems. (93-102).

    https://doi.org/10.1145/1134650.1134665

  • Schneider K, Schuele T and Trapp M. Verifying the adaptation behavior of embedded systems. Proceedings of the 2006 international workshop on Self-adaptation and self-managing systems. (16-22).

    https://doi.org/10.1145/1137677.1137681

  • Safar M, El-kharashi M and Salem A. (2006). FPGA-Based SAT Solver 2006 Canadian Conference on Electrical and Computer Engineering. 10.1109/CCECE.2006.277452. 1-4244-0038-4. (1901-1904).

    http://ieeexplore.ieee.org/document/4054862/

  • Uhrig S, Maier S, Kuzmanov G and Ungere T. Coupling of a reconfigurable architecture and a multithreaded processor core with integrated real-time scheduling. Proceedings of the 20th international conference on Parallel and distributed processing. (209-209).

    /doi/10.5555/1898953.1899167

  • Siozios K, Tatas K, Soudris D and Thanailakis A. Platform-based FPGA architecture. Proceedings of the 20th international conference on Parallel and distributed processing. (203-203).

    /doi/10.5555/1898953.1899159

  • Taher M and El-Ghazawi T. Exploiting processing locality through paging configurations in multitasked reconfigurable systems. Proceedings of the 20th international conference on Parallel and distributed processing. (201-201).

    /doi/10.5555/1898953.1899155

  • Danne K and Platzner M. Partitioned scheduling of periodic real-time tasks onto reconfigurable hardware. Proceedings of the 20th international conference on Parallel and distributed processing. (197-197).

    /doi/10.5555/1898953.1899146

  • Bellas N, Chai S, Dwyer M and Linzmeier D. FPGA implementation of a license plate recognition SoC using automatically generated streaming accelerators. Proceedings of the 20th international conference on Parallel and distributed processing. (190-190).

    /doi/10.5555/1898953.1899132

  • Mehdipour F, Zamani M, Ahmadifar H, Sedighi M and Murakami K. Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework. Proceedings of the 20th international conference on Parallel and distributed processing. (308-308).

    /doi/10.5555/1898699.1898838

  • Carvalho M, Góes L and Martins C. Dynamically reconfigurable cache architecture using adaptive block allocation policy. Proceedings of the 20th international conference on Parallel and distributed processing. (217-217).

    /doi/10.5555/1898699.1898713

  • Economakos G. High-level synthesis with reconfigurable datapath components. Proceedings of the 20th international conference on Parallel and distributed processing. (212-212).

    /doi/10.5555/1898699.1898703

  • Lai Y, Chen L and Chen J. (2006). A Reconfigurable Computing Processor Core for Multimedia System-on-Chip Applications. Japanese Journal of Applied Physics. 10.1143/JJAP.45.3336. 45:4S. (3336). Online publication date: 1-Apr-2006.

    https://iopscience.iop.org/article/10.1143/JJAP.45.3336

  • ROY K, VAIDYANATHAN R and TRAHAN J. (2006). ROUTING MULTIPLE WIDTH COMMUNICATIONS ON THE CIRCUIT SWITCHED TREE. International Journal of Foundations of Computer Science. 10.1142/S0129054106003826. 17:02. (271-285). Online publication date: 1-Apr-2006.

    http://www.worldscientific.com/doi/abs/10.1142/S0129054106003826

  • Pasciak A and Ford J. A new high speed solution for the evaluation of Monte Carlo radiation transport computations. IEEE Transactions on Nuclear Science. 10.1109/TNS.2006.870943. 53:2. (491-499).

    http://ieeexplore.ieee.org/document/1621353/

  • Martina M, Masera G, Molino A, Vacca F, Sterpone L and Violante M. A new approach to compress the configuration information of programmable devices. Proceedings of the conference on Design, automation and test in Europe: Designers' forum. (48-51).

    /doi/10.5555/1131355.1131366

  • . F. (2006). Prototyping a Reconfigurable Computing System for Education. Information Technology Journal. 10.3923/itj.2006.364.372. 5:2. (364-372). Online publication date: 1-Feb-2006.

    http://www.scialert.net/abstract/?doi=itj.2006.364.372

  • Ho W and Pinkston T. (2006). A Design Methodology for Efficient Application-Specific On-Chip Interconnects. IEEE Transactions on Parallel and Distributed Systems. 17:2. (174-190). Online publication date: 1-Feb-2006.

    https://doi.org/10.1109/TPDS.2006.15

  • Mehdipour F, Zamani M and Sedighi M. (2006). An integrated temporal partitioning and physical design framework for static compilation of reconfigurable computing systems. Microprocessors and Microsystems. 10.1016/j.micpro.2005.03.002. 30:1. (52-62). Online publication date: 1-Feb-2006.

    http://linkinghub.elsevier.com/retrieve/pii/S0141933105000396

  • Tabero J, Septién J, Mecha H and Mozos D. Task placement heuristic based on 3D-adjacency and look-ahead in reconfigurable systems. Proceedings of the 2006 Asia and South Pacific Design Automation Conference. (396-401).

    https://doi.org/10.1145/1118299.1118397

  • Garcia P, Compton K, Schulte M, Blem E and Fu W. (2006). An overview of reconfigurable hardware in embedded systems. EURASIP Journal on Embedded Systems. 2006:1. (13-13). Online publication date: 1-Jan-2006.

    https://doi.org/10.1155/ES/2006/56320

  • Zhenyu Liu , Arslan T and Erdogan A. An Embedded Low Power Reconfigurable Fabric For Finite State Machine Operations 2006 IEEE International Symposium on Circuits and Systems. 10.1109/ISCAS.2006.1693597. 0-7803-9389-9. (4371-4374).

    http://ieeexplore.ieee.org/document/1693597/

  • Freitas H, Carvalho M, Amaral A, Diniz A, Martins C and Ramos L. Reconfigurable crossbar switch architecture for network processors 2006 IEEE International Symposium on Circuits and Systems. 10.1109/ISCAS.2006.1693516. 0-7803-9389-9. (4).

    http://ieeexplore.ieee.org/document/1693516/

  • Mehdipour F, Zamani M, Ahmadifar H, Sedighi M and Murakami K. (2006). Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639611. 1-4244-0054-6. (8 pp.).

    http://ieeexplore.ieee.org/document/1639611/

  • Carvalho M, Goes L and Martins C. (2006). Dynamically reconfigurable cache architecture using adaptive block allocation policy Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639487. 1-4244-0054-6. (4 pp.).

    http://ieeexplore.ieee.org/document/1639487/

  • Economakos G. (2006). High-level synthesis with reconfigurable datapath components Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639477. 1-4244-0054-6. (4 pp.).

    http://ieeexplore.ieee.org/document/1639477/

  • Uhrig S, Maier S, Kuzmanov G and Ungerer T. (2006). Coupling of a reconfigurable architecture and a multithreaded processor core with integrated real-time scheduling Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639471. 1-4244-0054-6. (4 pp.).

    http://ieeexplore.ieee.org/document/1639471/

  • Siozios K, Tatas K, Soudris D and Thanailakis A. (2006). Platform-based FPGA architecture: designing high-performance and low-power routing structure for realizing DSP applications Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639462. 1-4244-0054-6. (10 pp.).

    http://ieeexplore.ieee.org/document/1639462/

  • Taher T and El-Ghazawi T. (2006). Exploiting processing locality through paging configurations in multitasked reconfigurable systems Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639459. 1-4244-0054-6. (8 pp.).

    http://ieeexplore.ieee.org/document/1639459/

  • Danne K and Platzner M. (2006). Partitioned scheduling of periodic real-time tasks onto reconfigurable hardware Proceedings 20th IEEE International Parallel & Distributed Processing Symposium. 10.1109/IPDPS.2006.1639451. 1-4244-0054-6. (8 pp.).

    http://ieeexplore.ieee.org/document/1639451/

  • Bellas N, Chai S, Dwyer M and Linzmeier D. (2006). FPGA implementation of a license plate recognition SoC using automatically generated streaming accelerators Proceedings. 20th International Parallel and Distributed Processing Symposium. 10.1109/IPDPS.2006.1639437. 1-4244-0054-6. (8 pp.).

    https://ieeexplore.ieee.org/document/1639437/

  • Ben Abdallah F and Bouallegue A. System Level Methodology Evaluation of Reconfigurable Computing Architectures 2006 2nd International Conference on Information & Communication Technologies. 10.1109/ICTTA.2006.1684813. 0-7803-9521-2. (2567-2572).

    http://ieeexplore.ieee.org/document/1684813/

  • Martina M, Masera G, Molino A, Vacca F, Sterpone L and Violante M. (2006). A new approach to compress the configuration information of programmable devices 2006 Design, Automation and Test in Europe. 10.1109/DATE.2006.243747. 3-9810801-1-4. (4 pp.).

    http://ieeexplore.ieee.org/document/1657113/

  • Galvan S, Botturi D and Fiorini P. Perception and Computation in Miniature Surgical Robots The First IEEE/RAS-EMBS International Conference on Biomedical Robotics and Biomechatronics, 2006. BioRob 2006.. 10.1109/BIOROB.2006.1639167. 1-4244-0040-6. (672-677).

    http://ieeexplore.ieee.org/document/1639167/

  • Tabero J, Septien J, Mecha H and Mozos D. Task placement heuristic based on 3d-adjacency and look-ahead in reconfigurable systems Asia and South Pacific Conference on Design Automation, 2006.. 10.1109/ASPDAC.2006.1594715. 0-7803-9451-8. (396-401).

    http://ieeexplore.ieee.org/document/1594715/

  • Greco J, Cieslewski G, Jacobs A, Troxel I and George A. Hardware/software Interface for High-performance Space Computing with FPGA Coprocessors 2006 IEEE Aerospace Conference. 10.1109/AERO.2006.1655960. 0-7803-9545-X. (1-10).

    http://ieeexplore.ieee.org/document/1655960/

  • Ling K, Yue S and Maciejowski J. (2006). A FPGA implementation of model predictive control 2006 American Control Conference. 10.1109/ACC.2006.1656502. 1-4244-0209-3. (6 pp.).

    http://ieeexplore.ieee.org/document/1656502/

  • Khan E, Watheq El-Kharashi M, Gebali F and Abd-El-Barr M. (2006). Applying the Handel-C design flow in designing an HMAC-hash unit on FPGAs. IEE Proceedings - Computers and Digital Techniques. 10.1049/ip-cdt:20050192. 153:5. (323).

    http://digital-library.theiet.org/content/journals/10.1049/ip-cdt_20050192

  • Wang X and Ziavras S. (2006). Exploiting mixed-mode parallelism for matrix operations on the HERA architecture through reconfiguration. IEE Proceedings - Computers and Digital Techniques. 10.1049/ip-cdt:20045136. 153:4. (249).

    http://digital-library.theiet.org/content/journals/10.1049/ip-cdt_20045136

  • Román S, Septién J, Mecha H and Mozos D. (2006). Constant Complexity Management of 2D HW Multitasking in Run-Time Reconfigurable FPGAs. Reconfigurable Computing: Architectures and Applications. 10.1007/11802839_26. (187-192).

    http://link.springer.com/10.1007/11802839_26

  • Souza C, Lima A, Araujo G and Moreano N. (2005). The datapath merging problem in reconfigurable systems. ACM Journal of Experimental Algorithmics. 10. (2.2-es). Online publication date: 31-Dec-2005.

    https://doi.org/10.1145/1064546.1180613

  • Benítez D, Moure J, Rexachs D and Luque E. Performance and power evaluation of an intelligently adaptive data cache. Proceedings of the 12th international conference on High Performance Computing. (363-375).

    https://doi.org/10.1007/11602569_39

  • Tseng C and Hsiung P. UML-Based design flow and partitioning methodology for dynamically reconfigurable computing systems. Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing. (479-488).

    https://doi.org/10.1007/11596356_49

  • Astarloa A, Bidarte U, Lázaro J, Zuloaga A and Arias J. (2005). Multiprocessor SoPC-Core for FAT volume computation. Microprocessors and Microsystems. 10.1016/j.micpro.2005.01.001. 29:10. (421-434). Online publication date: 1-Dec-2005.

    http://linkinghub.elsevier.com/retrieve/pii/S0141933105000037

  • Ramos L and Martin C. A Reconfigurable MPI Broadcast Function. Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region.

    https://doi.org/10.1109/HPCASIA.2005.9

  • Kumbhar A and Shah M. Fracture Analysis Using Reconfigurable Computing Systems. Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region.

    https://doi.org/10.1109/HPCASIA.2005.40

  • Moreo A, Lorente P, Valles F, Muro J and Andrés C. (2005). Experiences on developing computer vision hardware algorithms using Xilinx system generator. Microprocessors and Microsystems. 10.1016/j.micpro.2004.11.002. 29:8-9. (411-419). Online publication date: 1-Nov-2005.

    http://linkinghub.elsevier.com/retrieve/pii/S0141933104001619

  • O’Sullivan T and Studdert R. Context-Aware negotiation for reconfigurable resources with handheld devices. Proceedings of the 2005 OTM Confederated international conference on On the Move to Meaningful Internet Systems. (186-195).

    https://doi.org/10.1007/11575863_35

  • Fadishei H, Zamani M and Sabaei M. A novel reconfigurable hardware architecture for IP address lookup. Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems. (81-90).

    https://doi.org/10.1145/1095890.1095903

  • Sudarshan T, Mir R and Vijayalakshmi S. DRIL– a flexible architecture for blowfish encryption using dynamic reconfiguration, replication, inner-loop pipelining, loop folding techniques. Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture. (625-639).

    https://doi.org/10.1007/11572961_51

  • Safar M, El-Kharashi M and Salem A. FPGA based accelerator for 3-SAT conflict analysis in SAT solvers. Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods. (384-387).

    https://doi.org/10.1007/11560548_37

  • Benini L, Bertozzi D, Guerri A and Milano M. Allocation and scheduling for MPSoCs via decomposition and no-good generation. Proceedings of the 11th International Conference on Principles and Practice of Constraint Programming. (107-121).

    https://doi.org/10.1007/11564751_11

  • Lange S and Middendorf M. On the Design of Two-Level Reconfigurable Architectures. Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05) on Reconfigurable Computing and FPGAs.

    https://doi.org/10.1109/RECONFIG.2005.26

  • Quan G, Davis J, Devarkal S and Buell D. High-level synthesis for large bit-width multipliers on FPGAs. Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (213-218).

    https://doi.org/10.1145/1084834.1084890

  • Mehdipour F, Zamani M and Sedighi M. Reducing Inter-Configuration Memory Usage and Performance Improvement in Reconfigurable Computing Systems. Proceedings of the 8th Euromicro Conference on Digital System Design. (372-378).

    https://doi.org/10.1109/DSD.2005.67

  • Dollas A, Sotiropoulos S and Papademetriou K. (2005). A 2-D Motion Detection Model for Low-Cost Embedded Reconfigurable I/O Devices. IEEE Transactions on Biomedical Engineering. 10.1109/TBME.2005.851485. 52:8. (1443-1449). Online publication date: 1-Aug-2005.

    http://ieeexplore.ieee.org/document/1463333/

  • Safar M, El-Kharashi M and Salem A. An FPGA Based Accelerator for SAT Based Combinational Equivalence Checking. Proceedings of the Fifth International Workshop on System-on-Chip for Real-Time Applications. (419-424).

    https://doi.org/10.1109/IWSOC.2005.40

  • Sun Y and Buhler J. (2005). Designing Multiple Simultaneous Seeds for DNA Similarity Search. Journal of Computational Biology. 10.1089/cmb.2005.12.847. 12:6. (847-861). Online publication date: 1-Jul-2005.

    http://www.liebertpub.com/doi/10.1089/cmb.2005.12.847

  • O' Sullivan T. Handheld Medical Devices Negotiating for Reconfigurable Resources Using Agents. Proceedings of the 18th IEEE Symposium on Computer-Based Medical Systems. (70-75).

    https://doi.org/10.1109/CBMS.2005.63

  • Design Tradeoffs for BLAS Operations on Reconfigurable Hardware. Proceedings of the 2005 International Conference on Parallel Processing. (78-86).

    https://doi.org/10.1109/ICPP.2005.31

  • Calderon H and Vassiliadis S. Reconfigurable universal SAD-multiplier array. Proceedings of the 2nd conference on Computing frontiers. (72-76).

    https://doi.org/10.1145/1062261.1062277

  • O'Donnell J. (2005). Supporting tasks with adaptive groups in data parallel programming. International Journal of Computational Science and Engineering. 1:2-4. (86-98). Online publication date: 1-May-2005.

    https://doi.org/10.1504/IJCSE.2005.009694

  • Sklyarov V and Skliarova I. (2005). Teaching reconfigurable systems. IEEE Transactions on Education. 48:2. (290-300). Online publication date: 1-May-2005.

    https://doi.org/10.1109/TE.2004.842909

  • Vikram K and Vasudevan V. (2005). Hardware–software co-simulation of bus-based reconfigurable systems. Microprocessors and Microsystems. 10.1016/j.micpro.2004.07.004. 29:4. (133-144). Online publication date: 1-May-2005.

    http://linkinghub.elsevier.com/retrieve/pii/S0141933104000924

  • Fu W and Compton K. An Execution Environment for Reconfigurable Computing. Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines. (149-158).

    https://doi.org/10.1109/FCCM.2005.19

  • Chen G, Kandemir M, Tosun S and Sezer U. Reliability-Conscious Process Scheduling under Performance Constraints in FPGA-Based Embedded Systems. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04.

    https://doi.org/10.1109/IPDPS.2005.379

  • Pousa C, Goes L, Penha D and Martins C. Reconfigurable Sequential Consistency Algorithm. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04.

    https://doi.org/10.1109/IPDPS.2005.376

  • Roy K, Vaidyanathan R and Trahan J. Configuring the Circuit Switched Tree for Multiple Width Communications. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 8 - Volume 09.

    https://doi.org/10.1109/IPDPS.2005.149

  • Verdoscia L. CODACS Prototype. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 13 - Volume 14.

    https://doi.org/10.1109/IPDPS.2005.138

  • Enzler R, Plessl C and Platzner M. (2005). System-level performance evaluation of reconfigurable processors. Microprocessors and Microsystems. 10.1016/j.micpro.2004.06.004. 29:2-3. (63-73). Online publication date: 1-Apr-2005.

    http://linkinghub.elsevier.com/retrieve/pii/S0141933104000821

  • Vinh P and Bowen J. (2005). Continuity aspects of embedded reconfigurable computing. Innovations in Systems and Software Engineering. 10.1007/s11334-005-0003-3. 1:1. (41-53). Online publication date: 1-Apr-2005.

    http://link.springer.com/10.1007/s11334-005-0003-3

  • O'Sullivan T and Studdert R. Agent technology and reconfigurable computing for mobile devices. Proceedings of the 2005 ACM symposium on Applied computing. (963-969).

    https://doi.org/10.1145/1066677.1066901

  • Gruian F, Andersson P, Kuchcinski K and Schoeberl M. Automatic generation of application-specific systems based on a micro-programmed Java core. Proceedings of the 2005 ACM symposium on Applied computing. (879-884).

    https://doi.org/10.1145/1066677.1066877

  • Stechele W, Carcel L, Herrmann S and Simon J. A Coprocessor for Accelerating Visual Information Processing. Proceedings of the conference on Design, Automation and Test in Europe - Volume 3. (26-31).

    https://doi.org/10.1109/DATE.2005.8

  • . F, . S and . C. (2005). A Multi-FPGA Rapid Prototyping System with the Reusable AES Core. Information Technology Journal. 10.3923/itj.2005.262.270. 4:3. (262-270). Online publication date: 1-Mar-2005.

    http://www.scialert.net/abstract/?doi=itj.2005.262.270

  • Shoa A and Shirani S. (2005). Run-time reconfigurable systems for digital signal processing applications. Journal of VLSI Signal Processing Systems. 39:3. (213-235). Online publication date: 1-Mar-2005.

    https://doi.org/10.1007/s11265-005-4841-x

  • Cong J, Fan Y, Han G, Jagannathan A, Reinman G and Zhang Z. Instruction set extension with shadow registers for configurable processors. Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays. (99-106).

    https://doi.org/10.1145/1046192.1046206

  • Bertozzi D, Jalabert A, Murali S, Tamhankar R, Stergiou S, Benini L and De Micheli G. (2005). NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip. IEEE Transactions on Parallel and Distributed Systems. 16:2. (113-129). Online publication date: 1-Feb-2005.

    https://doi.org/10.1109/TPDS.2005.22

  • Smith R, Piekarski W and Wigley G. Hand tracking for low powered mobile AR user interfaces. Proceedings of the Sixth Australasian conference on User interface - Volume 40. (7-16).

    /doi/10.5555/1082243.1082245

  • Chen G, Li F, Kandemir M and Demirkiran I. Increasing FPGA resilience against soft errors using task duplication. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (924-927).

    https://doi.org/10.1145/1120725.1121071

  • Tomono M, Nakanishi M, Watanabe K and Yamashita S. Event-oriented computing with reconfigurable platform. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (1248-1251).

    https://doi.org/10.1145/1120725.1121035

  • Liu Z, Arslan T, Khawam S and Lindsay I. A high performance synthesisable unsymmetrical reconfigurable fabric for heterogeneous finite state machines. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (639-644).

    https://doi.org/10.1145/1120725.1120983

  • Ney A and Harris J. (2004). Reconfigurable magnetologic computing using the spin flop switching of a magnetic random access memory cell. Applied Physics Letters. 10.1063/1.1842858. 86:1. Online publication date: 3-Jan-2005.

    https://pubs.aip.org/apl/article/86/1/013502/568169/Reconfigurable-magnetologic-computing-using-the

  • Koeune F and Standaert F. A tutorial on physical security and side-channel attacks. Foundations of Security Analysis and Design III. (78-108).

    /doi/10.5555/2137760.2137764

  • Bouyssounouse B and Sifakis J. Computing platforms. Embedded Systems Design. (388-449).

    /doi/10.5555/2137690.2137723

  • Bouyssounouse B and Sifakis J. Other issues. Embedded Systems Design. (352-372).

    /doi/10.5555/2137690.2137719

  • Ghiasi S, Nahapetian A, Moon H and Sarrafzadeh M. (2005). Reconfiguration in network of embedded systems: Challenges and adaptive tracking case study. Journal of Embedded Computing. 1:1. (147-166). Online publication date: 1-Jan-2005.

    /doi/10.5555/1233771.1233782

  • Curtis C, Doss C and Kely J. Adaptable caching techniques for reconfigurable computing systems Proceedings of the Thirty-Seventh Southeastern Symposium on System Theory (SSST05). 10.1109/SSST.2005.1460962. 0-7803-8808-9. (481-485).

    http://ieeexplore.ieee.org/document/1460962/

  • Dillinger P, Vogelbruch J, Leinen J, Suslov S, Patzak R, Winkler H and Schwan K. (2005). FPGA based real-time image segmentation for medical systems and data processing 14th IEEE-NPSS Real Time Conference, 2005.. 10.1109/RTC.2005.1547401. 0-7803-9183-7. (5 pp.).

    http://ieeexplore.ieee.org/document/1547401/

  • Widjaja A and Delgado-Frias J. (2005). A high-performance unicast configuration scheme for an H-tree based reconfigurable hardware 48th Midwest Symposium on Circuits and Systems, 2005.. 10.1109/MWSCAS.2005.1594326. 0-7803-9197-7. (1215-1218 Vol. 2).

    http://ieeexplore.ieee.org/document/1594326/

  • Meyer J and Kocan F. (2005). Sharing FPGA SRAM tables among NPN equivalent LUTs 48th Midwest Symposium on Circuits and Systems, 2005.. 10.1109/MWSCAS.2005.1594263. 0-7803-9197-7. (964-967 Vol. 2).

    http://ieeexplore.ieee.org/document/1594263/

  • Myjak M and Delgado-Frias J. (2005). A bit-serial cell for reconfigurable DSP hardware 48th Midwest Symposium on Circuits and Systems, 2005.. 10.1109/MWSCAS.2005.1594262. 0-7803-9197-7. (960-963 Vol. 2).

    http://ieeexplore.ieee.org/document/1594262/

  • Alaraje N and DeGroat J. (2005). Evolution of reconfigurable architectures to SoFPGA 48th Midwest Symposium on Circuits and Systems, 2005.. 10.1109/MWSCAS.2005.1594226. 0-7803-9197-7. (818-821 Vol. 1).

    http://ieeexplore.ieee.org/document/1594226/

  • Alassaad Z and Saghir M. Cerpid: A Reconfigurable Platform Interface Driver for Windows Ce.Net 2005 International Conference on Information and Communication Technology. 10.1109/ITICT.2005.1609670. 0-7803-9270-1. (837-850).

    http://ieeexplore.ieee.org/document/1609670/

  • Shimoo K, Kawano K, Yamawaki A and Iwane M. An evaluation of memory effect to performance on a real reconfigurable computing system International Symposium on Signals, Circuits and Systems, 2005. ISSCS 2005.. 10.1109/ISSCS.2005.1511354. 0-7803-9029-6. (769-772).

    http://ieeexplore.ieee.org/document/1511354/

  • Brunelli L, Melcher E, de Brito A and Freire R. A novel approach to reduce interconnect complexity in ANN hardware implementation 2005 IEEE International Joint Conference on Neural Networks, 2005.. 10.1109/IJCNN.2005.1556379. 0-7803-9048-2. (2861-2866).

    http://ieeexplore.ieee.org/document/1556379/

  • Minghua He and Keck-Voon Ling . Model Predictive Control On A Chip 2005 International Conference on Control and Automation. 10.1109/ICCA.2005.1528175. 0-7803-9137-3. (528-532).

    http://ieeexplore.ieee.org/document/1528175/

  • Cornwell T, Golap K and Bhatnagar S. Wide field imaging problems in Radio Astronomy (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005.. 10.1109/ICASSP.2005.1416440. 0-7803-8874-7. (861-864).

    http://ieeexplore.ieee.org/document/1416440/

  • Liu Yuan-feng , Xu Jian , Dai Zi-bin and Sun Yi . Design and Implementation of Reconfigurable AES IP Core using FPGAs 2005 6th International Conference on ASIC. 10.1109/ICASIC.2005.1611427. 0-7803-9210-8. (711-713).

    http://ieeexplore.ieee.org/document/1611427/

  • Baradaran N and Diniz P. Compiler-directed design space exploration for caching and prefetching data in high-level synthesis 2005 IEEE International Conference on Field-Programmable Technology, 2005.. 10.1109/FPT.2005.1568552. 0-7803-9407-0. (233-240).

    http://ieeexplore.ieee.org/document/1568552/

  • Xiaofang Wang and Ziavras S. A framework for dynamic resource assignment and scheduling on reconfigurable mixed-mode on-chip multiprocessors 2005 IEEE International Conference on Field-Programmable Technology, 2005.. 10.1109/FPT.2005.1568524. 0-7803-9407-0. (51-58).

    http://ieeexplore.ieee.org/document/1568524/

  • Koester M, Porrmann M and Kalte H. Task placement for heterogeneous reconfigurable architectures 2005 IEEE International Conference on Field-Programmable Technology, 2005.. 10.1109/FPT.2005.1568523. 0-7803-9407-0. (43-50).

    http://ieeexplore.ieee.org/document/1568523/

  • Yang Qu , Soininen J and Nurmi J. An efficient approach to hide the run-time reconfiguration from SW applications International Conference on Field Programmable Logic and Applications, 2005.. 10.1109/FPL.2005.1515805. 0-7803-9362-7. (648-653).

    http://ieeexplore.ieee.org/document/1515805/

  • Caldas R, Correa F, Augusto Nacif J, Roque T, Ruiz L, Fernandes A, da Mata J and Coelho C. Low Power/High Performance Self-Adapting Sensor Node Architecture 2005 IEEE Conference on Emerging Technologies and Factory Automation. 10.1109/ETFA.2005.1612777. 0-7803-9401-1. (973-976).

    http://ieeexplore.ieee.org/document/1612777/

  • Tomono M, Nakanishi M, Yamashita S and Watanabe K. Event-oriented computing with reconfigurable platform ASP-DAC 2005. Asia and South Pacific Design Automation Conference 2005. 10.1109/ASPDAC.2005.1466569. 0-7803-8736-8. (1248-1251).

    http://ieeexplore.ieee.org/document/1466569/

  • Chen G, Li F, Kandemir M and Demirkiran I. Increasing FPGA resilience against soft errors using task duplication ASP-DAC 2005. Asia and South Pacific Design Automation Conference 2005. 10.1109/ASPDAC.2005.1466490. 0-7803-8736-8. (924-927).

    http://ieeexplore.ieee.org/document/1466490/

  • Zhenyu Liu , Arslan T, Khawam S and Lindsay I. A high performance synthesisable unsymmetrical reconfigurable fabric for heterogeneous finite state machines ASP-DAC 2005. Asia and South Pacific Design Automation Conference 2005. 10.1109/ASPDAC.2005.1466242. 0-7803-8736-8. (639-644).

    http://ieeexplore.ieee.org/document/1466242/

  • Cardoso J. On Estimations for Compiling Software to FPGA-based Systems 2005 IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05). 10.1109/ASAP.2005.47. 0-7695-2407-9. (225-230).

    http://ieeexplore.ieee.org/document/1540390/

  • Chamberlain R and Cytron R. (2005). Novel Techniques for Processing Unstructured Data Sets 2005 IEEE Aerospace Conference. 10.1109/AERO.2005.1559609. 0-7803-8870-4. (1-7).

    http://ieeexplore.ieee.org/document/1559609/

  • Santiprabhob P, Thumthawatworn T, Jitwongtrakul K and Chiersilp R. (2005). Intelligent Process Scheduling and Dispatching for FPGA-Based Computing Platform 2005 IEEE Aerospace Conference. 10.1109/AERO.2005.1559550. 0-7803-8870-4. (1-13).

    http://ieeexplore.ieee.org/document/1559550/

  • Benini L and Bertozzi D. (2005). Network-on-chip architectures and design methods. IEE Proceedings - Computers and Digital Techniques. 10.1049/ip-cdt:20045100. 152:2. (261).

    http://digital-library.theiet.org/content/journals/10.1049/ip-cdt_20045100

  • Todman T, Constantinides G, Wilton S, Mencer O, Luk W and Cheung P. (2005). Reconfigurable computing: architectures and design methods. IEE Proceedings - Computers and Digital Techniques. 10.1049/ip-cdt:20045086. 152:2. (193).

    http://digital-library.theiet.org/content/journals/10.1049/ip-cdt_20045086

  • Kent K, Serra M and Horspool N. (2005). Hardware/software co-design for virtual machines. IEE Proceedings - Computers and Digital Techniques. 10.1049/ip-cdt:20041264. 152:5. (537).

    http://digital-library.theiet.org/content/journals/10.1049/ip-cdt_20041264

  • Bouyssounouse B and Sifakis J. (2005). Computing Platforms. Embedded Systems Design. 10.1007/978-3-540-31973-3_29. (388-449).

    http://link.springer.com/10.1007/978-3-540-31973-3_29

  • Bouyssounouse B and Sifakis J. (2005). Other Issues. Embedded Systems Design. 10.1007/978-3-540-31973-3_26. (352-372).

    http://link.springer.com/10.1007/978-3-540-31973-3_26

  • Sajish C, Abhyankar Y, Ghotgalkar S and Venkates K. Floating Point Matrix Multiplication on a Reconfigurable Computing System. Current Trends in High Performance Computing and Its Applications. 10.1007/3-540-27912-1_11. (113-122).

    http://link.springer.com/10.1007/3-540-27912-1_11

  • Koeune F and Standaert F. (2005). A Tutorial on Physical Security and Side-Channel Attacks. Foundations of Security Analysis and Design III. 10.1007/11554578_3. (78-108).

    http://link.springer.com/10.1007/11554578_3

  • Kim C, Hong C, Kwon S and Kwon Y. A New Arithmetic Unit in GF(2M) for Reconfigurable Hardware Implementation. New Algorithms, Architectures and Applications for Reconfigurable Computing. 10.1007/1-4020-3128-9_19. (231-249).

    http://link.springer.com/10.1007/1-4020-3128-9_19

  • Tiensyrjä K, Cupak M, Masselos K, Pettissalo M, Potamianos K, Qu Y, Rynders L, Vanmeerbeeck G, Voros N and Zhang Y. (2005). Systemc and OCAPI-XL Based System-Level Design for Reconfigurable Systems-on-Chip. Advances in Design and Specification Languages for SoCs. 10.1007/0-387-26151-6_18. (255-269).

    https://link.springer.com/10.1007/0-387-26151-6_18

  • An Introduction to Reconfigurable Computing. Reconfigurable Computing. 10.1007/0-387-26106-0_1. (1-10).

    http://link.springer.com/10.1007/0-387-26106-0_1

  • Verdoscia L. CODACS project. Proceedings of the First international conference on Embedded Software and Systems. (59-64).

    https://doi.org/10.1007/11535409_8

  • Koch R, Ney A, Pampuch C and Ploog K. (2004). Reconfigurable logic with single magnetorestistive elements. physica status solidi (c). 10.1002/pssc.200405428. 1:12. (3244-3251). Online publication date: 1-Dec-2004.

    http://doi.wiley.com/10.1002/pssc.200405428

  • (2004). References. Disruptive Security Technologies with Mobile Code and Peer-to-Peer Networks. 10.1201/9781420038880.bmatt. Online publication date: 29-Nov-2004.

    http://www.crcnetbase.com/doi/10.1201/9781420038880.bmatt

  • Bertozzi D, Benini L and De Micheli G. (2004). Network-on-Chip Design for Gigascale Systems-on-Chip. The Industrial Information Technology Handbook. 10.1201/9781420036336.ch95. (1504-1521). Online publication date: 29-Nov-2004.

    http://www.crcnetbase.com/doi/10.1201/9781420036336.ch95

  • Vassiliadis S, Wong S, Gaydadjiev G, Bertels K, Kuzmanov G and Panainte E. (2004). The MOLEN Polymorphic Processor. IEEE Transactions on Computers. 53:11. (1363-1375). Online publication date: 1-Nov-2004.

    https://doi.org/10.1109/TC.2004.104

  • Koch R. (2004). Unusual magnetic properties of MnAs thin films: A new approach to magnetologic computing. Physica E: Low-dimensional Systems and Nanostructures. 10.1016/j.physe.2004.06.015. 25:2-3. (181-188). Online publication date: 1-Nov-2004.

    http://linkinghub.elsevier.com/retrieve/pii/S1386947704002462

  • Wall G, Iqbal F, Isaacs J, Liu X and Foo S. Real Time Texture Classification using Field Programmable Gate Arrays. Proceedings of the 33rd Applied Imagery Pattern Recognition Workshop. (130-135).

    https://doi.org/10.1109/AIPR.2004.38

  • Liu X. A Computational Framework for Real-time Detection and Recognition of Large Number of Classes. Proceedings of the 33rd Applied Imagery Pattern Recognition Workshop. (229-234).

    https://doi.org/10.1109/AIPR.2004.1

  • Kim J, Ahn S and Lee J. (2004). Hardware/Software Partitioning Methodology for Reconfigurable System. The KIPS Transactions:PartA. 10.3745/KIPSTA.2004.11A.5.303. 11A:5. (303-312). Online publication date: 1-Oct-2004.

    http://koreascience.or.kr/journal/view.jsp?kj=JBCREI&py=2004&vnc=v11An5&sp=303

  • Wollinger T, Guajardo J and Paar C. (2004). Security on FPGAs. ACM Transactions on Embedded Computing Systems. 3:3. (534-574). Online publication date: 1-Aug-2004.

    https://doi.org/10.1145/1015047.1015052

  • Sekanina L. (2004). Evolvable computing by means of evolvable components. Natural Computing: an international journal. 3:3. (253.5-292). Online publication date: 1-Aug-2004.

    https://doi.org/10.1023/B:NACO.0000036820.35779.8e

  • Heath L, Ribbens C and Pemmaraju S. (2004). Processor-efficient sparse matrix-vector multiplication. Computers & Mathematics with Applications. 48:3-4. (589-608). Online publication date: 1-Aug-2004.

    https://doi.org/10.1016/j.camwa.2003.06.009

  • Yehia S and Temam O. From Sequences of Dependent Instructions to Functions. Proceedings of the 31st annual international symposium on Computer architecture.

    /doi/10.5555/998680.1006721

  • Bonato V, Menotti R, Simões E, Fernandes M and Marques E. Teaching embedded systems with FPGAs throughout a computer science course. Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture. (4-es).

    https://doi.org/10.1145/1275571.1275577

  • Wanderley Góes L and Paiva da Silva Martins C. Reconfigurable gang scheduling algorithm. Proceedings of the 10th international conference on Job Scheduling Strategies for Parallel Processing. (81-101).

    https://doi.org/10.1007/11407522_5

  • Pampuch C, Ney A and Koch R. (2007). A universal gate for magnetologic computers. Europhysics Letters (EPL). 10.1209/epl/i2003-10270-4. 66:6. (895-901). Online publication date: 1-Jun-2004.

    http://stacks.iop.org/0295-5075/66/i=6/a=895?key=crossref.9b587b99f38a5f634bcaaa7647e065f3

  • Mahmoud W, Abdelrahman M and Haggard R. (2004). Field programmable gate arrays implementation of automated sensor self-validation system for cupola furnaces. Computers and Industrial Engineering. 46:3. (553-569). Online publication date: 1-Jun-2004.

    https://doi.org/10.1016/j.cie.2004.02.001

  • Ghiasi S, Nahapetian A and Sarrafzadeh M. (2004). An optimal algorithm for minimizing run-time reconfiguration delay. ACM Transactions on Embedded Computing Systems. 3:2. (237-256). Online publication date: 1-May-2004.

    https://doi.org/10.1145/993396.993398

  • Glesner M, Hollstein T, Indrusiak L, Zipf P, Pionteck T, Petrov M, Zimmer H and Murgan T. Reconfigurable platforms for ubiquitous computing. Proceedings of the 1st conference on Computing frontiers. (377-389).

    https://doi.org/10.1145/977091.977146

  • Wang X and Ziavras S. (2004). Parallel LU factorization of sparse matrices on FPGA‐based configurable computing engines. Concurrency and Computation: Practice and Experience. 10.1002/cpe.748. 16:4. (319-343). Online publication date: 10-Apr-2004.

    https://onlinelibrary.wiley.com/doi/10.1002/cpe.748

  • Sun Y and Buhler J. Designing multiple simultaneous seeds for DNA similarity search. Proceedings of the eighth annual international conference on Research in computational molecular biology. (76-84).

    https://doi.org/10.1145/974614.974625

  • Yehia S and Temam O. (2004). From Sequences of Dependent Instructions to Functions. ACM SIGARCH Computer Architecture News. 32:2. (238). Online publication date: 2-Mar-2004.

    https://doi.org/10.1145/1028176.1006721

  • Chen G, Kandemir M and Sezer U. Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms. Proceedings of the conference on Design, automation and test in Europe - Volume 1.

    /doi/10.5555/968878.969075

  • Bolić M, Djurić P and Hong S. (2004). Resampling algorithms for particle filters. EURASIP Journal on Advances in Signal Processing. 2004. (2267-2277). Online publication date: 1-Jan-2004.

    https://doi.org/10.1155/S1110865704405149

  • Oppold T, Schweizer T, Kuhn T and Rosenstiel W. A Design Environment for Processor-Like Reconfigurable Hardware International Conference on Parallel Computing in Electrical Engineering. 10.1109/PCEE.2004.1. 0-7695-2080-4. (171-176).

    http://ieeexplore.ieee.org/document/1376752/

  • Smith J and Tian Xia . PRMC: a multicontext FPGA with partially reconfigurable logic planes The 2nd Annual IEEE Northeast Workshop on Circuits and Systems, 2004. NEWCAS 2004.. 10.1109/NEWCAS.2004.1359118. 0-7803-8322-2. (393-396).

    http://ieeexplore.ieee.org/document/1359118/

  • Aibe N and Yasunaga M. Meta-I/O interface using reconfigurable LSIs The 2004 47th Midwest Symposium on Circuits and Systems, 2004. MWSCAS '04.. 10.1109/MWSCAS.2004.1354046. 0-7803-8346-X. (1_537-1_540).

    http://ieeexplore.ieee.org/document/1354046/

  • Bertozzi D and Benini L. (2004). Feature - Xpipes : a network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Magazine. 10.1109/MCAS.2004.1330747. 4:2. (18-31). Online publication date: 1-Oct-2005.

    http://ieeexplore.ieee.org/document/1330747/

  • Pfeffer M and Ungerer T. Dynamic real-time reconfiguration on a multithreaded Java-microcontroller Seventh IEEE International Symposium onObject-Oriented Real-Time Distributed Computing, 2004.. 10.1109/ISORC.2004.1300333. 0-7695-2124-X. (86-92).

    http://ieeexplore.ieee.org/document/1300333/

  • Shimoo K, Yamawaki A and Iwane M. A new parallel processing paradigm using a recon. gurable computing system IEEE International Symposium on Communications and Information Technology, 2004. ISCIT 2004.. 10.1109/ISCIT.2004.1413826. 0-7803-8593-4. (797-800).

    http://ieeexplore.ieee.org/document/1413826/

  • Yehia S and Temam O. From sequences of dependent instructions to functions : an approach for improving performance without ilp or speculation Proceedings. 31st Annual International Symposium on Computer Architecture, 2004.. 10.1109/ISCA.2004.1310778. 0-7695-2143-6. (238-249).

    http://ieeexplore.ieee.org/document/1310778/

  • Xiaofang Wang and Ziavras S. A configurable mu ltiprocessor and dynamic load balancing for parallel LU factorization 18th International Parallel and Distributed Processing Symposium, 2004.. 10.1109/IPDPS.2004.1303282. 0-7695-2132-0. (234-241).

    http://ieeexplore.ieee.org/document/1303282/

  • Myjak M and Delgado-Frias J. Pipelined multipliers for reconfigurable hardware 18th International Parallel and Distributed Processing Symposium, 2004.. 10.1109/IPDPS.2004.1303137. 0-7695-2132-0. (150-156).

    http://ieeexplore.ieee.org/document/1303137/

  • Ben-Asher Y, Citron D and Haber G. Overlapping memory operations with circuit evaluation in reconfigurable computing 18th International Parallel and Distributed Processing Symposium, 2004.. 10.1109/IPDPS.2004.1303133. 0-7695-2132-0. (148-155).

    http://ieeexplore.ieee.org/document/1303133/

  • Lange S and Middendorf M. Models and reconfiguration problems for multi task hyperreconfigurable architectures 18th International Parallel and Distributed Processing Symposium, 2004.. 10.1109/IPDPS.2004.1303107. 0-7695-2132-0. (135-142).

    http://ieeexplore.ieee.org/document/1303107/

  • Brinkschulte U, Becker J and Ungerer T. CARUSO - an approach towards a network of low power autonomic systems on chips for embedded real-time applications 18th International Parallel and Distributed Processing Symposium, 2004.. 10.1109/IPDPS.2004.1303087. 0-7695-2132-0. (124-130).

    http://ieeexplore.ieee.org/document/1303087/

  • Jovanov E, Milenkovic A, Basham S, Clark D and Kelley D. Reconfigurable intelligent sensors for health monitoring: a case study of pulse oximeter sensor 26th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. 10.1109/IEMBS.2004.1404317. 0-7803-8439-3. (4759-4762).

    http://ieeexplore.ieee.org/document/1404317/

  • Franklin M, Chamberlain R, Henrichs M, Shands B and White J. An architecture for fast processing of large unstructured data sets IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings.. 10.1109/ICCD.2004.1347934. 0-7695-2231-9. (280-287).

    http://ieeexplore.ieee.org/document/1347934/

  • Castafieda B, Luzanov Y and Cockburn J. A modular architecture for real-time feature-based tracking 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing. 10.1109/ICASSP.2004.1327203. 0-7803-8484-9. (V-685-8).

    http://ieeexplore.ieee.org/document/1327203/

  • Aibe N and Yasunaga M. Reconfigurable I/O interface for mobile equipments 2004 IEEE International Conference on Field- Programmable Technology. 10.1109/FPT.2004.1393299. 0-7803-8651-5. (359-362).

    http://ieeexplore.ieee.org/document/1393299/

  • Lange S and Middendorf M. Hyperreconfigurable Architectures for Fast Run Time Reconfiguration 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines. 10.1109/FCCM.2004.40. 0-7695-2230-0. (304-305).

    http://ieeexplore.ieee.org/document/1364651/

  • Sekanina L and Drabek V. Theory and applications of evolvable embedded systems Proceedings. 11th IEEE International Conference and Workshop on the Engineering of Computer-Based Systems, 2004.. 10.1109/ECBS.2004.1316698. 0-7695-2125-8. (186-193).

    http://ieeexplore.ieee.org/document/1316698/

  • Chen G, Kandemir M and Sezer U. Configuration-sensitive process scheduling for FPGA-based computing platforms . Design, Automation and Test in Europe Conference and Exhibition. 10.1109/DATE.2004.1268893. 0-7695-2085-5. (486-493).

    http://ieeexplore.ieee.org/document/1268893/

  • Thumthawatwom T, Jitwongtrakul K, Chiersilp R and Santiprabhob P. Fuzzy rule-based processes scheduling for FPGA-based system 2004 IEEE Aerospace Conference Proceedings (IEEE Cat. No.04TH8720). 10.1109/AERO.2004.1368028. 0-7803-8155-6. (2340-2353).

    http://ieeexplore.ieee.org/document/1368028/

  • O’Sullivan T and Studdert R. (2004). Configuration Management for Networked Reconfigurable Embedded Devices. Mobility Aware Technologies and Applications. 10.1007/978-3-540-30178-3_9. (98-107).

    http://link.springer.com/10.1007/978-3-540-30178-3_9

  • Griese B, Vonnahme E, Porrmann M and Rückert U. (2004). Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures. Field Programmable Logic and Application. 10.1007/978-3-540-30117-2_86. (842-846).

    http://link.springer.com/10.1007/978-3-540-30117-2_86

  • Boschetti M, Bampi S and Silva I. (2004). Throughput and Reconfiguration Time Trade-Offs: From Static to Dynamic Reconfiguration in Dedicated Image Filters. Field Programmable Logic and Application. 10.1007/978-3-540-30117-2_49. (474-483).

    http://link.springer.com/10.1007/978-3-540-30117-2_49

  • Kocan F and Meyer J. (2004). Logic Modules with Shared SRAM Tables for Field-Programmable Gate Arrays. Field Programmable Logic and Application. 10.1007/978-3-540-30117-2_31. (289-300).

    http://link.springer.com/10.1007/978-3-540-30117-2_31

  • Lange S and Middendorf M. (2004). The Partition into Hypercontexts Problem for Hyperreconfigurable Architectures. Field Programmable Logic and Application. 10.1007/978-3-540-30117-2_27. (251-260).

    http://link.springer.com/10.1007/978-3-540-30117-2_27

  • Tabero J, Septién J, Mecha H and Mozos D. (2004). A Low Fragmentation Heuristic for Task Placement in 2D RTR HW Management. Field Programmable Logic and Application. 10.1007/978-3-540-30117-2_26. (241-250).

    http://link.springer.com/10.1007/978-3-540-30117-2_26

  • Ahn S, Kim J and Lee J. (2004). Heuristic Algorithm for Reducing Mapping Sets of Hardware-Software Partitioning in Reconfigurable System. Advances in Computer Systems Architecture. 10.1007/978-3-540-30102-8_9. (102-114).

    http://link.springer.com/10.1007/978-3-540-30102-8_9

  • Ventroux N, Chevobbe S, Blanc F and Collette T. (2004). An Auto-adaptative Reconfigurable Architecture for the Control. Advances in Computer Systems Architecture. 10.1007/978-3-540-30102-8_7. (72-87).

    http://link.springer.com/10.1007/978-3-540-30102-8_7

  • Chevobbe S, Ventroux N, Blanc F and Collette T. (2004). RAMPASS: Reconfigurable and Advanced Multi-processing Architecture for Future Silicon Systems. Computer Systems: Architectures, Modeling, and Simulation. 10.1007/978-3-540-27776-7_3. (20-29).

    http://link.springer.com/10.1007/978-3-540-27776-7_3

  • de Souza C, Lima A, Moreano N and Araujo G. (2004). The Datapath Merging Problem in Reconfigurable Systems: Lower Bounds and Heuristic Evaluation. Experimental and Efficient Algorithms. 10.1007/978-3-540-24838-5_40. (545-558).

    http://link.springer.com/10.1007/978-3-540-24838-5_40

  • Dittmann F and Rettberg A. A Self-Controlled and Dynamically Reconfigurable Architecture. Design Methods and Applications for Distributed Embedded Systems. 10.1007/1-4020-8149-9_21. (207-216).

    http://link.springer.com/10.1007/1-4020-8149-9_21

  • Soininen J and Heusala H. A Design Methodology for NOC-Based Systems. Networks on Chip. 10.1007/0-306-48727-6_2. (19-38).

    http://link.springer.com/10.1007/0-306-48727-6_2

  • Tredennick N and Shimamoto B. (2003). Special report. IEEE Spectrum. 40:12. (36-40). Online publication date: 1-Dec-2003.

    https://doi.org/10.1109/MSPEC.2003.1249977

  • Sotiropoulos S, Papademetriou K and Dollas A. Adaptation of a low cost motion recognition system for custom operation from shrink-wrapped hardware. Proceedings of the 2003 ACM SIGMM workshop on Biometrics methods and applications. (107-114).

    https://doi.org/10.1145/982507.982527

  • Edwards M and Green P. (2003). Run-time support for dynamically reconfigurable computing systems. Journal of Systems Architecture: the EUROMICRO Journal. 49:4-6. (267-281). Online publication date: 1-Sep-2003.

    https://doi.org/10.1016/S1383-7621(03)00068-7

  • Benitez D. (2003). Performance of reconfigurable architectures for image-processing applications. Journal of Systems Architecture: the EUROMICRO Journal. 49:4-6. (193-210). Online publication date: 1-Sep-2003.

    https://doi.org/10.1016/S1383-7621(03)00065-1

  • de Freitas H and Martins C. Didactic architectures and simulator for network processor learning. Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture. (14-es).

    https://doi.org/10.1145/1275521.1275540

  • Indrusiak L, Lubitz F, Reis R and Glesner M. Ubiquitous Access to Reconfigurable Hardware. Proceedings of the conference on Design, Automation and Test in Europe - Volume 1.

    /doi/10.5555/789083.1022844

  • Ho W and Pinkston T. A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns. Proceedings of the 9th International Symposium on High-Performance Computer Architecture.

    /doi/10.5555/822080.822817

  • Ghiasi S and Sarrafzadeh M. Optimal reconfiguration sequence management. Proceedings of the 2003 Asia and South Pacific Design Automation Conference. (359-365).

    https://doi.org/10.1145/1119772.1119843

  • Soininen J and Heusala H. A design methodology for NOC-based systems. Networks on chip. (19-38).

    /doi/10.5555/903951.903954

  • Drechsler R. Synthesizing checkers for on-line verification of System-on-Chip designs ISCAS 2003. International Symposium on Circuits and Systems. 10.1109/ISCAS.2003.1206281. 0-7803-7761-3. (IV-748-IV-751).

    http://ieeexplore.ieee.org/document/1206281/

  • Srivastava N, Trahan J, Vaidyanathan R and Rai S. Adaptive image filtering using run-time reconfiguration International Parallel and Distributed Processing Symposium (IPDPS 2003). 10.1109/IPDPS.2003.1213332. 0-7695-1926-1. (7).

    http://ieeexplore.ieee.org/document/1213332/

  • Pelkonen A, Masselos K and Cupak M. System-level modeling of dynamically reconfigurable hardware with SystemC International Parallel and Distributed Processing Symposium (IPDPS 2003). 10.1109/IPDPS.2003.1213321. 0-7695-1926-1. (8).

    http://ieeexplore.ieee.org/document/1213321/

  • Harinath S and Sass R. Reconfigurable mapping functions for online architectures International Parallel and Distributed Processing Symposium (IPDPS 2003). 10.1109/IPDPS.2003.1213318. 0-7695-1926-1. (8).

    http://ieeexplore.ieee.org/document/1213318/

  • Xiaofang Wang and Ziavras S. Parallel direct solution of linear equations on FPGA-based machines International Parallel and Distributed Processing Symposium (IPDPS 2003). 10.1109/IPDPS.2003.1213224. 0-7695-1926-1. (8).

    http://ieeexplore.ieee.org/document/1213224/

  • Ngoc P, Lafruit G, Mignolet J, Vernalde S, Deconick G and Lauwereins R. (2003). A framework for mapping scalable networked applications on run-time reconfigurable platforms 2003 International Conference on Multimedia and Expo. ICME '03. Proceedings (Cat. No.03TH8698). 10.1109/ICME.2003.1220956. 0-7803-7965-9. (I-469).

    http://ieeexplore.ieee.org/document/1220956/

  • Wai Hong Ho and Pinkston T. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns Ninth International Symposium on High-Performance Computer-Architecture. HPCA-9 2003. 10.1109/HPCA.2003.1183554. 0-7695-1871-0. (377-388).

    http://ieeexplore.ieee.org/document/1183554/

  • Xiaofang Wang and Ziavras S. Performance optimization of an FPGA-based configurable multiprocessor for matrix operations 2003 IEEE International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2003.1275763. 0-7803-8320-6. (303-306).

    http://ieeexplore.ieee.org/document/1275763/

  • Nakane Y, Nagami K, Shiozawa T and Nagoya A. Concept and implementation of run-time resource management system operating on autonomously reconfigurable architecture 2003 IEEE International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2003.1275741. 0-7803-8320-6. (136-143).

    http://ieeexplore.ieee.org/document/1275741/

  • Goes L and Martins C. RJSSIM: A reconfigurable job scheduling smulator for parallel processing learning 33rd Annual Frontiers in Education, 2003. FIE 2003.. 10.1109/FIE.2003.1264731. 0-7803-7961-6. (F3C_3-F3C_8).

    http://ieeexplore.ieee.org/document/1264731/

  • Sekanina L. Towards evolvable IP cores for FPGAs 2003 NASA/DoD Conference on Evolvable Hardware. 10.1109/EH.2003.1217659. 0-7695-1977-6. (145-154).

    http://ieeexplore.ieee.org/document/1217659/

  • Czarnecki R, Deniziak S and Sapiecha K. (2003). An iterative improvement co-synthesis algorithm for optimization of SOPC architecture with dynamically reconfigurable FPGAs Proceedings. Euromicro Symposium on Digital System Design. 10.1109/DSD.2003.1231980. 0-7695-2003-0. (443-446).

    http://ieeexplore.ieee.org/document/1231980/

  • Yang Qu and Soininen J. (2003). Estimating the utilization of embedded FPGA co-processor Proceedings. Euromicro Symposium on Digital System Design. 10.1109/DSD.2003.1231929. 0-7695-2003-0. (214-221).

    http://ieeexplore.ieee.org/document/1231929/

  • Indrusiak L, Lubitz F, Reis R and Glesner M. Ubiquitous access to reconfigurable hardware: application scenarios and implementation issues 6th Design Automation and Test in Europe (DATE 03). 10.1109/DATE.2003.1253726. 0-7695-1870-2. (940-945).

    http://ieeexplore.ieee.org/document/1253726/

  • Zamani M, Mehdipour F and Meybodi M. Implementation of cellular learning automata on reconfigurable computing systems CCECE 2003 - Canadian Conference on Electrical and Computer Engineering. Toward a Caring and Humane Technology. 10.1109/CCECE.2003.1226098. 0-7803-7781-8. (1139-1142).

    http://ieeexplore.ieee.org/document/1226098/

  • Ghiasi S and Sarrafzadeh M. Optimal reconfiguration sequence management [FPGA runtime reconfiguration] Conference of Asia and South Pacific Design Automation 2003. 10.1109/ASPDAC.2003.1195041. 0-7803-7659-5. (359-365).

    http://ieeexplore.ieee.org/document/1195041/

  • Kim C, Kwon S, Kim J and Hong C. (2003). A New Arithmetic Unit in GF(2 m ) for Reconfigurable Hardware Implementation. Field Programmable Logic and Application. 10.1007/978-3-540-45234-8_65. (670-680).

    http://link.springer.com/10.1007/978-3-540-45234-8_65

  • Blodget B, James-Roxby P, Keller E, McMillan S and Sundararajan P. (2003). A Self-reconfiguring Platform. Field Programmable Logic and Application. 10.1007/978-3-540-45234-8_55. (565-574).

    http://link.springer.com/10.1007/978-3-540-45234-8_55

  • Lorenz M, Mengibar L, Entrena L and Sánchez-Reillo R. (2003). Data Processing System with Self-reconfigurable Architecture, for Low Cost, Low Power Applications. Field Programmable Logic and Application. 10.1007/978-3-540-45234-8_22. (220-229).

    http://link.springer.com/10.1007/978-3-540-45234-8_22

  • Tatas K, Siozios K, Vasiliadis N, Soudris D, Nikolaidis S, Siskos S and Thanailakis A. (2003). FPGA Architecture Design and Toolset for Logic Implementation. Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. 10.1007/978-3-540-39762-5_67. (607-616).

    http://link.springer.com/10.1007/978-3-540-39762-5_67

  • Tatas K, Siozios K, Soudris D, Masselos K, Potamianos K, Blionas S and Thanailakis A. (2003). Power Optimization Methodology for Multimedia Applications Implementation on Reconfigurable Platforms. Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. 10.1007/978-3-540-39762-5_49. (430-439).

    http://link.springer.com/10.1007/978-3-540-39762-5_49

  • (2002). A Design and Implementation of a Timing Analysis Simulator for a Design Space Exploration on a Hybrid Embedded System. The KIPS Transactions:PartA. 10.3745/KIPSTA.2002.9A.4.459. 9A:4. (459-466). Online publication date: 1-Dec-2002.

    http://koreascience.or.kr/journal/view.jsp?kj=JBCREI&py=2002&vnc=v9An4&sp=459

  • Moreano N, Araujo G, Huang Z and Malik S. Datapath merging and interconnection sharing for reconfigurable architectures. Proceedings of the 15th international symposium on System Synthesis. (38-43).

    https://doi.org/10.1145/581199.581210

  • Compton K, Li Z, Cooley J, Knol S and Hauck S. (2002). Configuration relocation and defragmentation for run-time reconfigurable computing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10:3. (209-220). Online publication date: 1-Jun-2002.

    https://doi.org/10.1109/TVLSI.2002.1043324

  • Noor A. Computing technology. Engineering computational technology. (1-23).

    /doi/10.5555/778185.778187

  • Simeonov P. (2002). The viator approach: about four principles of autopoietic growth on the way to future hyperactive ne Proceedings 16th International Parallel and Distributed Processing Symposium. IPDPS 2002. 10.1109/IPDPS.2002.1016528. 0-7695-1573-8. (8 pp).

    http://ieeexplore.ieee.org/document/1016528/

  • Mohamed Nabeel , Wahba A, Salem A and Sheirah M. Accelerated cosimulation using reconfigurable computing International Conference on Microelectronics. 10.1109/ICM-02.2002.1161490. 0-7803-7573-4. (33-36).

    http://ieeexplore.ieee.org/document/1161490/

  • Lee D, Lee T, Luk W and Cheung P. Incremental programming for reconfigurable engines 2002 IEEE International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2002.1188723. 0-7803-7574-2. (411-415).

    http://ieeexplore.ieee.org/document/1188723/

  • Hopf J, Itzstein G and Kearney D. Hardware Join Java: a high level language for reconfigurable hardware development 2002 IEEE International Conference on Field-Programmable Technology (FPT). 10.1109/FPT.2002.1188707. 0-7803-7574-2. (344-347).

    http://ieeexplore.ieee.org/document/1188707/

  • Chung‐Kuan C, Kahng A and Leong P. (1999). Reconfigurable Computing. Wiley Encyclopedia of Electrical and Electronics Engineering. 10.1002/047134608X.W7603.

    https://onlinelibrary.wiley.com/doi/10.1002/047134608X.W7603