TWI738805B - 間隙填充中之沉積與蝕刻用設備及方法 - Google Patents
間隙填充中之沉積與蝕刻用設備及方法 Download PDFInfo
- Publication number
- TWI738805B TWI738805B TW106121191A TW106121191A TWI738805B TW I738805 B TWI738805 B TW I738805B TW 106121191 A TW106121191 A TW 106121191A TW 106121191 A TW106121191 A TW 106121191A TW I738805 B TWI738805 B TW I738805B
- Authority
- TW
- Taiwan
- Prior art keywords
- deposition
- etching
- generator
- dielectric layer
- mode
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Plasma Technology (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Formation Of Insulating Films (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本發明係提供用以在一整合工具中執行沉積與蝕刻處理的設備與方法。一設備可包含一電漿處理腔室,該電漿處理腔室為一電容耦合式電漿反應器並且可包含一噴淋頭以及一支座,該噴淋頭包含一頂部電極,以及該支座包含一底部電極。該設備可配置有一RF硬體組態,以使一RF產生器可在沉積模式下對該頂部電極供電並且在蝕刻模式下對該底部電極供電。在某些實施例中,該設備可包含一或多個開關,以在沉積模式下,將至少一HFRF產生器電連接至該噴淋頭,並且在蝕刻模式下,將該HFRF產生器以及一LFRF產生器電連接至該支座並將該噴淋頭接地。
Description
本揭露內容係關於間隙填充中之沉積與蝕刻用設備及方法。
積體電路的加工包含了許多不同的處理步驟。經常使用到的其中一種操作為介電膜之沉積,其係將介電膜沉積到位於特徵部之間的間隙內,這些特徵部係圖案化於半導體晶圓之上或內。在沉積此種材料時的其中一個目標為在間隙內形成無空隙、無接縫的填充。
雖然例如高密度電漿(HDP,high density plasma)、次大氣壓化學氣相沉積(SACVD,sub-atmospheric chemical vapor deposition)、以及低壓化學氣相沉積(LPCVD,low pressure chemical vapor deposition)的沉積方法已被使用於間隙填充,但這些方法並未達到所期望的填充性能與保形性(conformality)。可流動式化學氣相沉積與旋塗式介電質(SOD,spin-on dielectric)法可達到所期望的填充,但其傾向沉積高孔隙度膜。又,這些方法因為需要許多額外的處理步驟,所以要將其整合在一起係特別的複雜並且昂貴。為了改善保形性,原子層沉積(ALD,atomic layer deposition)處理也已被使用於間隙填充,但這些處理遭遇到長處理時間與低產量的問題,尤其係對大間隙而言。再者,ALD處理的保形性質意謂著間隙的縱橫比係隨著連續循環而增加。因此,間隙的頂部可能會比底部填充得更快,而妨礙前驅物材料進一步擴散到間隙內。區域可膨脹而使得空隙可能形成在高縱橫比間隙的中間。
在某些情況中,係使用包含沉積-蝕刻-沉積處理的多步驟沉積處理,這些沉積-蝕刻-沉積處理在後續的沉積操作之間需要各別的蝕刻操作。可進行該蝕刻操作以去除或防止間隙內的空隙形成。具體而言,蝕刻步驟可為非等向性蝕刻,其產生錐形正斜坡輪廓,以便藉由在正錐形斜坡上(而非在鉛直坡上)沉積一後續的層而發生間隙填充。此可使間隙內之空隙形成的發生降至最低。空隙可能會導致高電阻、污染、填充材料的損失,除此之外還可能會降低積體電路的性能。
本揭露內容係關於一種用以執行沉積與蝕刻處理的整合設備。該整合設備包含一處理腔室,於此處,該處理腔室包含一噴淋頭以及一支座。該整合設備更包含一低頻射頻(LFRF,low-frequency radio-frequency)產生器、一高頻射頻(HFRF,high-frequency radio-frequency)產生器、以及可操作地與該LFRF產生器及該HFRF產生器其中一者或兩者耦合的一或多個開關。該一或多個開關係設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式用以執行沉積處理,在該沉積模式下,該一或多個開關至少將該HFRF產生器耦合至該噴淋頭;以及該蝕刻模式用以執行蝕刻處理,在該蝕刻模式下,該一或多個開關將該HFRF產生器與該LFRF產生器耦合至該支座並且將該噴淋頭接地。
在某些實施例中,該處理腔室為一電容耦合式電漿(CCP,capacitively-coupled plasma)反應器,以及該噴淋頭包含一頂部電極,而該支座包含一底部電極。在某些實施例中,於該沉積模式下,該一或多個開關將該HFRF產生器與該LFRF產生器耦合至該噴淋頭並且將該支座接地。在某些實施例中,該一或多個開關包含一第一站繼電器開關以及一第二站繼電器開關,該第一站繼電器開關係設置成在該沉積模式下將該LFRF產生器與該HFRF產生器電連接至該噴淋頭,以及該第二站繼電器開關係設置成在該蝕刻模式下將該LFRF產生器與該HFRF產生器電連接至該支座。在某些實施例中,該第一站繼電器開關係設置成切換至第一位置以將該LFRF產生器與該HFRF產生器電連接至該噴淋頭,以及切換至第二位置以將該噴淋頭接地,而該第二站繼電器開關係設置成切換至第一位置以將該LFRF產生器與該HFRF產生器電連接至該支座,以及切換至第二位置以將該支座接地,於此處,該第一站繼電器開關的該第一位置係與該第二站繼電器開關的該第二位置同步化,而該第二站繼電器開關的該第一位置係與該第一站繼電器開關的該第二位置同步化。在某些實施例中,該LFRF產生器為一第一積體電路板的部分,以及該HFRF產生器為一第二積體電路板的部分。在某些實施例中,該一或多個開關包含可操作地與該HFRF產生器耦合的一開關,該開關係設置成在於該沉積模式下從該HFRF產生器將功率輸送至該噴淋頭與於該蝕刻模式下從該HFRF產生器將功率輸送至該支座之間進行切換。
本揭露內容亦關於一種用以執行沉積與蝕刻處理的整合設備。該整合設備包含一處理腔室,於此處,該處理腔室包含一噴淋頭以及一支座。該整合設備更包含一積體電路板,於此處,該積體電路板包含一或多個HF/LF RF產生器。該整合設備更包含可操作地與該一或多個HF/LF RF產生器耦合的一或多個開關,該一或多個開關係設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式用以執行沉積處理,其中在該沉積模式下,該一或多個開關將該HF/LF RF產生器之至少其中一者耦合至該噴淋頭;以及該蝕刻模式用以執行蝕刻處理,其中在該蝕刻模式下,該一或多個開關將該HF/LF RF產生器之至少其中一者耦合至該支座。
在某些實施例中,該處理腔室為一CCP反應器,且其中該噴淋頭包含一頂部電極,以及該支座包含一底部電極。在某些實施例中,該積體電路板包含一單一HF/LF RF產生器。在某些實施例中,該一或多個開關包含一第一站繼電器開關以及一第二站繼電器開關,該第一站繼電器開關係設置成在該沉積模式下將該HF/LF RF產生器之其中一者電連接至該噴淋頭,以及該第二站繼電器開關係設置成在該蝕刻模式下將該HF/LF RF產生器之其中一者電連接至該支座。在某些實施例中,該第一站繼電器開關係設置成切換至第一位置以在該沉積模式下將該HF/LF RF產生器之其中一者電連接至該噴淋頭,以及切換至第二位置以將該噴淋頭接地,而該第二站繼電器開關係設置成切換至第一位置以在該蝕刻模式下將該HF/LF RF產生器之其中一者電連接至該支座,以及切換至第二位置以將該支座接地,於此處,該第一站繼電器開關的該第一位置係與該第二站繼電器開關的該第二位置同步化,而該第二站繼電器開關的該第一位置係與該第一站繼電器開關的該第二位置同步化。在某些實施例中,該一或多個開關更包含:一支座接地繼電器開關,以在該HF/LF RF產生器之其中一者可操作地耦合至該噴淋頭時,於該沉積模式下將該支座接地;以及一噴淋頭接地繼電器開關,以在該HF/LF RF產生器之其中一者可操作地耦合至該支座時,於該蝕刻模式下將該噴淋頭接地。
本揭露內容亦關於一種用以填充晶圓中之一或多個間隙的方法。該方法包含下列步驟:在一電漿處理腔室中,將一晶圓提供於一支座上,於此處,該晶圓具有一或多個間隙,該間隙各自具有大於約5:1的深寬縱橫比;在該電漿處理腔室中,經由ALD,將一第一介電層沉積於該一或多個間隙內;在該電漿處理腔室中,以斜坡控制對該第一介電層進行非等向性蝕刻;以及在該電漿處理腔室中,經由ALD,將一第二介電層沉積在該第一介電層上方的該一或多個間隙內。
於某些實施例中,在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等向性蝕刻時、以及在沉積該第二介電層時,晶圓溫度係介於約80℃與約400℃之間。於某些實施例中,在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等向性蝕刻時、以及在沉積該第二介電層時,壓力係介於約0.3與約1.0 Torr之間。在某些實施例中,該方法更包含:在以斜坡控制對該第一介電層進行非等向性蝕刻之前,進行切換以將低頻功率與高頻功率施加至該電漿處理腔室中的該支座並且將該電漿處理腔室中的一噴淋頭接地;以及在沉積該第二介電層之前,進行切換以將高頻功率施加至該電漿處理腔室中的該噴淋頭並且將該電漿處理腔室中的該支座接地。
以下進一步參考圖式來說明這些與其他實施例。
序言
在下列說明中,為了提供所呈現之概念的徹底瞭解而提出許多具體細節。所呈現的概念可在不具有其中某些或所有這些具體細節的情況下被實現。在其他情況下,已不詳述為人所熟知的處理操作,以避免對所述之概念造成不必要的混淆。雖然某些概念將配合具體實施例一起進行說明,但吾人可瞭解這些實施例並非意指限制。
在本申請案中,『半導體晶圓』、『晶圓』、『基板』、『晶圓基板』、以及『經部分加工的積體電路』的用語可交換地被使用。該技術領域中具有通常知識者可瞭解『經部分加工的積體電路』的用語可以係指在其上所進行之積體電路加工之許多階段之任何一者期間的矽晶圓。半導體裝置工業中所使用的晶圓或基板一般具有200 mm、或300 mm、或450 mm的直徑。下列詳細說明係假定在晶圓上實施本發明。然而,本發明並非如此受到限制。工作件可具有各種形狀、尺寸,並且可由各種材料製成。除了半導體晶圓以外,可利用本發明的其他工作件包含各種物品,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、反射鏡(mirrors)、光學元件、微機械裝置等等。
在半導體工業中,晶圓中之間隙或溝槽的寬度係隨著電路密度的增加而減少,因而增大其縱橫比並且變得越來越難以在不留下空隙的情況下填充這些間隙或溝槽。當間隙未被完全填充時所形成的空隙可能會對已完成裝置的操作造成不利之影響。
沉積-蝕刻-沉積順序用以去除或消除間隙填充中的空隙。沉積-蝕刻-沉積順序中所使用的常見沉積技術為ALD、CVD、電漿增強CVD、以及HDP-CVD。在一沉積步驟之後可為一蝕刻步驟,例如HDP應用中的濺射蝕刻或ALD應用中的反應性離子蝕刻(RIE,reactive ion etch)。該蝕刻步驟可為非等向性蝕刻,其產生錐形正斜坡輪廓。因此,在靠近間隙之開口處所移除的材料可比從間隙內部所移除的材料更多。
圖1A-1C繪示具有間隙之晶圓在沉積-蝕刻-沉積間隙填充處理之各種階段的示範橫剖面。圖1A顯示包含間隙102之非平面晶圓100的橫剖面。間隙寬度可依據各種實施例而變化,其可為從約5 Å到約50 μm。深寬縱橫比可大於約2:1,或大於約5:1,或大於約10:1,或甚至大於約30:1。可使用任何合適的沉積技術,例如ALD、CVD、電漿增強CVD、以及HDP-CVD,以薄膜104來覆蓋間隙102。在某些實施例中,薄膜104可與間隙102呈保形或幾乎保形。如圖1A所示,薄膜104包含位於間隙102之頂部附近的凹入部106。
在圖1B中,對薄膜104實施非等向性蝕刻。可藉由非等向性蝕刻來選擇性地移除薄膜104的凹入部106,以使薄膜104的上部區域104a比下部區域104b更薄。例如,可藉由對活性蝕刻物種施以質量傳遞限制及/或壽命限制而達到非等向性蝕刻。在某些實施例中,位於間隙102之頂部的選擇性蝕刻亦可調整間隙102的側壁角度,以使間隙102的頂部比底部更寬。此可進一步減少在後續沉積階段中的麵包條(bread loafing)效應。
在圖1C中,實施後續沉積步驟,以填充或幾乎填充間隙102。在某些實施例中,間隙102可在多個沉積-蝕刻-沉積順序之後被填充。間隙102可以沒有空隙。吾人可使用任何合適的沉積技術,例如ALD、CVD、電漿增強CVD、HDP-CVD等等,來填充間隙102。
一般的間隙填充處理可使用HDP-CVD系統。HDP-CVD系統形成比標準CCP-CVD系統之密度大至少約兩個數量級的電漿。HDP-CVD系統一般為感應耦合式電漿(ICP,inductively-coupled plasma)系統。具有ICP反應器以實現沉積與蝕刻的一示範HDP-CVD系統為SpeedTM
系統,其可自位於加州Fremont的蘭姆研究公司(Lam Research Corporation)購得。某些HDP-CVD技術係藉由電漿的高密度來促進濺射,其係與膜沉積同時發生。因此,當HDP沉積處理的濺射成份放慢某些特徵部(例如轉角或隆起表面)的沉積時,沉積與蝕刻可以說是同時發生,從而促成改善的間隙填充。然而,此種HDP-CVD技術中的濺射可能會在間隙的側壁上導致不希望的材料再沉積。某些HDP-CVD技術可使用分開的沉積與蝕刻步驟。在進行蝕刻步驟期間,可藉由非等向性濺射蝕刻來非保形地移除材料。可沿著間隙的側壁,短距離地移除轉角的材料。然而,此種非等向性濺射蝕刻可能會造成再沉積尖點,此可能會阻礙間隙填充。儘管HDP-CVD技術可在單一腔室或設備中執行間隙填充處理,但由HDP-CVD技術所沉積的膜並不保形,且實際上可能會限制待於單一腔室或設備中所執行的沉積-蝕刻-沉積順序之應用。
考量到HDP-CVD技術在執行間隙填充方面的限制,可使用ALD處理來提供改善的保形性。與CVD處理相比,ALD處理係使用表面介導(surface-mediated)沉積反應按照逐層(layer-by-layer)方式來進行膜沉積。在某些實施例中,ALD處理可在CCP系統中被執行,例如在圖2所示之CCP系統中被執行。該CCP系統能夠供應高頻RF功率而產生電漿。此種CCP系統的一範例為VectorTM
系統,其可自位於加州Fremont的蘭姆研究公司購得。
圖2顯示用以執行習知沉積-蝕刻-沉積間隙填充處理中之沉積處理之一示範設備的示意圖。如圖2所示,設備200包含處理腔室224,其圍住設備200的其他構件並且用以容納電漿。處理腔室224包含噴淋頭214,其用以將處理氣體輸送到處理腔室224內。高頻射頻(HFRF)產生器204可連接至阻抗匹配網路206,該阻抗匹配網路係連接至噴淋頭214。在某些實施例中,低頻射頻(LFRF)產生器202可連接至阻抗匹配網路206而與噴淋頭214連接。由阻抗匹配網路206所供應的功率與頻率係足以從處理氣體產生電漿。在一般的處理中,由HFRF產生器204所產生的頻率係介於約2-60 MHz,例如13.56 MHz或27 MHz。由LFRF產生器202所產生的頻率係介於約250-400 kHz,例如350 kHz或400 kHz。
處理腔室224更包含晶圓支架或支座218。支座218可支撐晶圓216。支座218可包含夾頭、叉件、及/或舉升銷,以在處理期間或之間固持晶圓216。在某些實施例中,該夾頭可為靜電夾頭。
經由入口212導入處理氣體。一或多條來源氣體管線210可連接至歧管208。處理氣體可或可不預先混合。使用合適的閥調(valving)與質量流量控制機構,以確保在沉積、蝕刻、以及其他電漿處理操作期間輸送正確的氣體。處理氣體可經由出口222離開處理腔室224。真空幫浦226一般可抽出處理氣體並且在處理腔室224內維持適當的低壓。
如圖2所示,設備200為一電容式系統,於此處,噴淋頭214為配合接地塊件220一起運作的一電極。換言之,設備200為一CCP系統,並且能夠將高頻RF功率供應至處理腔室224的頂部,即噴淋頭214。處理腔室224的底部,即支座218與塊件220,被接地。
可在多站處理工具中實施一或多個用以執行沉積-蝕刻-沉積順序的設備,例如設備200。圖3顯示一示範多站處理工具的示意圖。多站處理工具300可包含入站負載室302以及出站負載室304,其中一者或兩者可包含電漿源。在大氣壓力下,機器人306係設置成將晶圓從通過箱308而裝載的卡匣,經由大氣通口310搬進入站負載室302內。藉由機器人306將晶圓放置在入站負載室302中的支座312上,關閉大氣通口310,並且對負載室302進行抽氣。於此處,入站負載室302包含電漿源,晶圓在被導入到處理腔室314之前可在負載室302中受到電漿處理。又,晶圓在入站負載室302中亦可被加熱,以例如移除水分與所吸附之氣體。接著,開啟通往處理腔室314的腔室運輸口316,以及另一機器人(未顯示)將晶圓置入在用以進行處理之反應器中所顯示之第一站之支座上的反應器內。雖然圖3所繪示之實施例包含負載室,但吾人可明白在某些實施例中,可使晶圓直接進入到處理站內。
所繪示之處理腔室314包含在圖3所示之實施例中編號1到4的四個處理站。每一站可具有一受到加熱的支座(以318顯示作為站1)、以及氣體管線入口。吾人可明白在某些實施例中,每一處理站可具有不同目的或者多個目的。例如,在某些實施例中,一處理站可在ALD與PECVD模式之間進行切換。如之後所討論,依照本揭露內容,在某些實施例中,一處理站可包含CCP反應器,其可在沉積模式與蝕刻模式之間進行切換。雖然所繪示的處理腔室314包含四個站,但吾人可瞭解依照本揭露內容,處理腔室314可具有任何合適數量的站。例如,在某些實施例中,處理腔室314可具有五個或更多的站,而在其他實施例中,處理腔室314可具有三個或更少的站。
圖3亦繪示用以運送處理腔室314內之晶圓的晶圓搬運系統390。在某些實施例中,晶圓搬運系統390可在各種處理站之間及/或在一處理站與一負載室之間運送晶圓。吾人可明白任何合適的晶圓搬運系統可被使用。非限制性的範例包含晶圓旋轉料架以及晶圓搬運機器人。圖3亦繪示系統控制器350,其用以控制多站處理工具300的處理條件與硬體狀態。系統控制器350可包含一個以上的記憶體裝置356、一個以上的大量儲存裝置354、以及一個以上的處理器352。處理器352可包含CPU或電腦、類比及/或數位輸入/輸出連接器、步進馬達控制器板等等。
在某些實施例中,系統控制器350控制了多站處理工具300的所有活動。系統控制器350執行系統控制軟體358,其係被儲存在大量儲存裝置354中,被載入記憶體裝置356,並且於處理器352上被執行。系統控制軟體358可包含用以控制多站處理工具300所執行之特定處理之時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、沖洗條件與時序、晶圓溫度、RF功率等級、RF頻率、晶圓及/或支座位置、沉積與蝕刻模式切換、以及其他參數的指令。系統控制軟體358可以任何合適的方式被建構。例如,可編寫各種處理工具構件副程式或控制物件,以控制依照所揭露之方法執行各種處理工具程序所需之處理工具構件的操作。可以任何合適的電腦可讀程式語言來對系統控制軟體358進行編碼。
在某些實施例中,系統控制軟體358可包含用以控制各種參數的輸入/輸出控制(IOC,input/output control)排序指令。例如,ALD處理的每一個階段可包含藉由系統控制器350執行的一或多個指令。此外,從沉積模式切換成蝕刻模式可包含藉由系統控制器350執行的一或多個指令。用以設定ALD處理之處理條件的指令可被包含在對應的ALD配方階段中,以及用以設定非等向性蝕刻處理之處理條件的指令可被包含在對應的蝕刻配方階段中。在某些實施例中,可相繼安排ALD與蝕刻配方階段。
在某些實施例中可使用儲存在大量儲存裝置354及/或記憶體裝置356上並與系統控制器350有關聯的其他電腦軟體及/或程式。用於此目的之程式或程式段的範例包含晶圓定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
晶圓定位程式可包含用以將晶圓裝載到支座318上並且控制晶圓與處理工具300之其他部件間之間距的處理工具構件的程式碼。
處理氣體控制程式可包含控制氣體組成與流率以及為了穩定處理站內之壓力而可選地使氣體在沉積之前流入一或多個處理站的碼。壓力控制程式可包含藉由調節例如處理站之排放系統中的節流閥、進入處理站的氣體流等等而控制處理站內之壓力的碼。
加熱器控制程式可包含控制通往用以加熱晶圓之加熱單元之電流的碼。或者,加熱器控制程式可控制對晶圓之熱傳氣體(例如氦)的輸送。
電漿控制程式可包含設定施加至一或多個處理站中之處理電極之RF功率等級的碼。
在某些實施例中,可具有與系統控制器350有關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件的圖形軟體顯像、以及使用者輸入裝置(例如指向(pointing)裝置、鍵盤、觸控螢幕、麥克風等等)。
在某些實施例中,藉由系統控制器350所調整的參數可與處理條件有關。非限制性範例包含處理氣體組成與流率、沉積與蝕刻模式、晶圓溫度、壓力、電漿條件(例如RF功率等級)等等。可以配方的形式將這些參數提供給使用者,並且可利用使用者介面來輸入這些參數。
可藉由系統控制器350的類比及/或數位輸入連接器從各種處理工具感測器提供用以監視處理的信號。可在多站處理工具300的類比及數位輸出連接器上輸出用以控制處理的信號。可被監視之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。經適當程式化的回饋與控制演算法可與來自這些感測器的資料一起使用,以維持處理條件。
系統控制器350可提供用以實現所揭露之處理的程式指令,該處理包含沉積-蝕刻-沉積間隙填充處理。該等程式指令可控制種種處理參數,例如DC功率等級、RF功率等級、RF偏壓功率等級、壓力、晶圓溫度等等。該等指令可控制這些參數,以依照在此所述之各種實施例來操作沉積-蝕刻-沉積順序。
沉積與蝕刻模式
一般而言,CCP反應器中的沉積可發生在某些硬體組態中,以及CCP反應器中的蝕刻可發生在不同硬體組態中。具體來說,CCP反應器中的ALD可依照某些RF硬體組態而被最佳化,以及CCP反應器中的蝕刻可依照不同RF硬體組態而被最佳化。圖4A與4B顯示用以執行CCP反應器中之沉積與蝕刻的不同RF硬體組態。在圖4A中,晶圓被支撐在用於沉積的接地電極上,而頂部電極被供電。在圖4B中,晶圓被支撐在用於蝕刻的供電電極上,而頂部電極被接地。
圖4A顯示包含用以執行沉積處理之CCP反應器之一示範設備的示範圖。設備400a包含能夠執行PECVD或ALD的CCP反應器424。CCP反應器424包含作為頂部電極的噴淋頭414以及作為底部電極的支座418。支座418係位於噴淋頭414下方且與其相對,並且可支撐待處理之晶圓416。在某些實施例中,晶圓416可具有一或多個特徵部,因此晶圓416為非平面。例如,晶圓416可具有一或多個間隙或複數間隙。在某些實施例中,支座418可被升高或降下。處理氣體係經由氣體入口412而被導引至噴淋頭414,以及噴淋頭414使處理氣體分散進入CCP反應器424並朝向晶圓416。RF電源402可電連接至噴淋頭414,以在噴淋頭414與晶圓416之間的一容積內產生電漿430a。圖4A之硬體組態中的電漿430a可針對沉積而被最佳化。在某些實施例中,可藉由控制腔室壓力、氣體濃度、氣體混合物、RF源功率、RF源頻率、工作週期、脈衝頻率等等之其中一或多者而控制電漿能量。
圖4A顯示用於沉積的一示範RF硬體組態,於此處,RF電源402可為電連接至噴淋頭414的HFRF產生器,以及支座418被接地。因為橫跨晶圓416將會產生不足的電壓降,所以圖4A之RF硬體組態通常無法提供足夠的蝕刻速率。無論如何,圖4A之RF硬體組態能夠進行快速頻率調整,此在ALD應用中可以係重要的。
快速頻率調整允許阻抗匹配迅速地在圖4A之RF硬體組態中發生。為了使功率傳遞最大化並且使來自負載之反射最小化,阻抗匹配乃為設計電負載之輸入阻抗或其對應信號源之輸出阻抗的慣例。在電漿處理背景下,阻抗匹配用以使從電漿放電處反射回來進入到傳輸線(例如RF纜線)的功率最小化,並且使從RF電源402傳遞進入到電漿放電處的功率最大化。此外,若RF電源402未被匹配的話,則存在有反射功率,該反射功率會使來源(RF電源402)與負載(電漿430a)間之傳輸線上的駐波增強,此可能會導致進一步的能量浪費並且引起頻率相依性損失。在某些實施例中,阻抗匹配網路(未顯示)可耦合至RF電源402。阻抗匹配網路可轉換由電漿430a所呈現的負載阻抗,以匹配RF電源402的來源阻抗。一般來說,阻抗匹配網路可裝有一或多個電容器或電感器,以對RF電源402的阻抗進行調整以匹配電漿阻抗。然而,使用電容器或電感器來調整阻抗可能會係冗長的過程,此在需要短電漿工作時間的應用中係不被期望的。例如,為了在ALD窗內進行操作,處理可執行大約0.5秒以下。因此,不使用電容器或電感器來匹配阻抗,阻抗匹配可藉由簡單切換RF電源402的頻率而發生。為了例示,若RF電源402的阻抗需要匹配50歐姆的電漿阻抗,則RF電源402可迅速地從13.56 MHz的操作切換成13.8 MHz。此種快速頻率調整在其他RF硬體組態中可能不可行,例如圖4B所示者。
圖4B顯示包含用以執行蝕刻處理之CCP反應器之一示範設備的示意圖。設備400b包含能夠執行電漿蝕刻的CCP反應器424。如同圖4A中的設備400a,圖4B中的設備400b包含噴淋頭414、支座418、晶圓416、以及氣體入口412。RF電源404、406可電連接至支座418,以在整個晶圓416施加一電壓降。RF電源404、406可包含LFRF產生器404與HFRF產生器406兩者。電漿430b可產生在噴淋頭414與晶圓416之間的一容積內。圖4B之硬體組態中的電漿430b可針對蝕刻而被最佳化。
圖4B顯示用於蝕刻的一示範RF硬體組態,於此處,LFRF產生器404與HFRF產生器406可電連接至支座418,以及噴淋頭414被接地。在某些實施例中,LFRF產生器404可提供介於約2 Hz與約1000 kHz之間的低頻RF信號,例如400 kHz。在某些實施例中,HFRF產生器406可提供介於約1 MHz與約100 MHz之間的高頻RF信號,例如13.56 MHz。阻隔電容器432可安置在支座418與LFRF產生器404及HFRF產生器406之間。高頻與低頻信號兩者混合,阻隔電容器432可作為通向支座418的濾波器。圖4B的RF組態無法進行快速頻率調整。此在某種程度上係歸因於RF路徑上的構件數量,這些構件會妨礙頻率調整所需的快速響應。因此,ALD處理通常無法在圖4B中之支座418被施加偏壓的情況下操作。無論如何,不同於圖4A之RF組態,圖4B之RF組態能夠在整個晶圓416提供高電壓降。
沉積 - 蝕刻 - 沉積整合設備
非在對一處理而不對另一處理進行最佳化的RF硬體組態中執行沉積與蝕刻,且非不斷地將晶圓從一設備運送至另一設備進行沉積-蝕刻-沉積順序,本揭露內容提供一整合設備,其係對沉積與蝕刻兩者進行最佳化並且將沉積-蝕刻-沉積順序整合於一單一設備中。整合設備可提供使用不同硬體構件之組合來對沉積與蝕刻模式兩者進行最佳化的RF硬體組態,這些硬體構件例如為繼電器開關、數位輸出(DO)位元開關、積體電路板(例如分流板)、RF產生器、同軸纜線、切換盒(switchboxes)、RF濾波器、匹配單元等等。
依照某些實施例,圖5顯示包含設置成在沉積模式與蝕刻模式之間進行切換之電漿處理腔室之一示範整合設備的示意圖。整合設備500包含電漿處理腔室524,於此處,電漿處理腔室524包含用以輸送處理氣體的噴淋頭514以及用以支撐晶圓的支座518。電漿處理腔室524可為CCP反應器,於此處,噴淋頭514包含頂部電極,以及支座518包含底部電極。整合設備500可包含用以將RF功率供應至噴淋頭514與支座518的多個電源。在某些實施例中,整合設備500可包含LFRF產生器504以及HFRF產生器502。LFRF產生器504以及HFRF產生器502可以經由一或多個開關564、568而可操作地耦合至噴淋頭514或支座518。如在此所使用,互相『可操作地耦合』的構件係指根據控制裝置(例如開關、系統控制器等等)之操作而以電連接方式或以其他方式互相耦合的構件。整合設備500可包含可操作地與LFRF產生器504及HFRF產生器502其中一者或兩者耦合的一或多個開關564、568。開關564、568可設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式係用以執行沉積處理,於此處,開關564、568至少將HFRF產生器502耦合至噴淋頭514;以及該蝕刻模式係用以執行蝕刻處理,於此處,開關564、568至少將LFRF產生器504與HFRF產生器502耦合至支座518,並且將噴淋頭514接地。在沉積模式下,當HFRF產生器502被耦合至噴淋頭514時,HFRF產生器502可將RF功率輸送至噴淋頭514。在蝕刻模式下,當HFRF產生器502與LFRF產生器504被耦合至支座518時,HFRF產生器502與LFRF產生器504可將RF功率輸送至支座518。
在圖5中,開關564、568可被濾波器所取代,於此處,濾波器可在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式係用以執行沉積處理,於此處,濾波器選擇性地將高頻信號傳遞至噴淋頭514;以及該蝕刻模式係用以執行蝕刻處理,於此處,濾波器選擇性地將高頻與低頻信號其中一者或兩者傳遞至支座518,並同時將噴淋頭514接地。
如圖5所示,一或多個開關564、568包含第一站繼電器開關564,其係設置成在沉積模式下將LFRF產生器504與HFRF產生器502耦合至噴淋頭514。於第一位置,第一站繼電器開關564將LFRF產生器504與HFRF產生器502電連接至噴淋頭514。那樣的話,在沉積模式下,噴淋頭514被供電。於第二位置,第一站繼電器開關564被電接地,以使噴淋頭514被電接地。如圖5所示,一或多個開關564、568包含第二站繼電器開關568,其係設置成在蝕刻模式下將HFRF產生器502與LFRF產生器504耦合至支座518。於第一位置,第二站繼電器開關568將HFRF產生器502與LFRF產生器504電連接至支座518。那樣的話,在蝕刻模式下,支座518被施加偏壓。於第二位置,第二站繼電器開關568被電接地,以使支座518被電接地。
在某些實施例中,整合設備500可包含與LFRF產生器504耦合的低頻匹配單元505(或低頻阻抗匹配網路505)。在某些實施例中,整合設備500可包含與HFRF產生器502耦合的高頻匹配單元503(或高頻阻抗匹配網路503)。在某些實施例中,整合設備500可更包含選擇性地讓高或低頻信號通過的一或多個濾波器。整合設備500可包含耦合至低頻匹配單元505的低通濾波器554、以及耦合至高頻匹配單元503的高通濾波器552。在某些實施例中,低通濾波器554與高通濾波器552之每一者包含一或多個電容器與電感器。低通濾波器554可防止高頻信號回到LFRF產生器504,以及高通濾波器552可防止低頻信號回到HFRF產生器502。在某些實施例中,低通濾波器554與高通濾波器552之每一者可作為用於多個電漿處理腔室的RF濾波器,而不只是用於電漿處理腔室524。在某些實施例中,阻隔濾波器532可插設在LFRF產生器504與支座518及噴淋頭514之間,以選擇性地阻隔高頻信號或低頻信號。阻隔濾波器532可具有類似於圖4B之RF硬體組態中之阻隔電容器432的功能。
在圖5中,整合設備500可在沉積模式與蝕刻模式之間選擇性地進行切換。在依照某些實施例的沉積模式下,第一站繼電器開關564被切換至第一位置,以使LFRF產生器504與HFRF產生器502電連接至噴淋頭514,以及第二站繼電器開關568同時被切換至第二位置,以使支座518接地。此種組態可用於ALD。在依照替代實施例的沉積模式下,第一站繼電器開關564被切換至第一位置,以使LFRF產生器504與HFRF產生器502電連接至噴淋頭514,以及第二站繼電器開關568同時被切換至第一位置,以使LFRF產生器504與HFRF產生器502電連接至支座518。在某些實施例中,阻隔濾波器532可防止低頻信號到達噴淋頭514。在依照某些實施例的蝕刻模式下,第二站繼電器開關568被切換至第一位置,以使LFRF產生器504與HFRF產生器502電連接至支座518,以及第一站繼電器開關564同時被切換至第二位置,以使噴淋頭514接地。在某些實施例中,阻隔濾波器532可防止低頻信號或高頻信號到達支座518。在某些實施例中,於蝕刻模式下,低頻與高頻信號兩者可被使用來對支座518施加偏壓。
圖5的RF硬體組態可使用開關564、568來最佳化沉積與蝕刻模式,以使沉積-蝕刻-沉積順序可在單一整合設備500中被執行。此外,圖5的RF硬體組態可利用多個積體電路板(例如分流板)來容納HFRF產生器502與LFRF產生器504兩者。該分流板允許信號不僅被分配至一電漿處理腔室,而且被分配至多個電漿處理腔室。每一個分流板可包含具有多個通道的多個站。一分流板可包含LFRF產生器504、低頻匹配單元505、以及低通濾波器554,而另一分流板可包含HFRF產生器502、高頻匹配單元503、以及高通濾波器552。在某些實施例中,開關564、568可以係能夠進行至少4百萬次、至少二千萬次、或至少二千五百萬次循環的繼電器開關。
依照某些實施例,圖6顯示在沉積模式與蝕刻模式之間進行切換之一示範架構的方塊圖。如同圖5所示之RF硬體組態,整合設備600可包含噴淋頭614、支座618、HFRF產生器602、LFRF產生器604、以及多個積體電路板623、633(例如分流板)。HFRF產生器602與LFRF產生器604可操作地耦合至噴淋頭614與支座618。整合設備600包含可操作地與HFRF產生器602耦合的開關625。開關625可設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式用以執行沉積處理,於此處,開關625將HFRF產生器602耦合至噴淋頭614;以及該蝕刻模式用以執行蝕刻處理,於此處,開關625至少將LFRF產生器604與HFRF產生器602耦合至支座618,並且將噴淋頭614接地。
在圖6中,整合設備600可包含與沉積模式有關聯的第一積體電路板623以及第一高頻匹配單元603。在沉積模式下,HFRF產生器602係經由開關625電連接至噴淋頭614,以使HFRF產生器602、第一高頻匹配單元603、以及第一積體電路板623係與噴淋頭614電連接。在沉積模式下,HFRF產生器602與第一高頻匹配單元603可將功率輸送至噴淋頭614。在某些實施例中,於沉積模式下,將支座618接地。
在圖6中,整合設備600可包含與蝕刻模式有關聯的第二積體電路板633及低頻匹配單元605與第二高頻匹配單元613。在蝕刻模式下,LFRF產生器604係電連接至支座618,以及HFRF產生器602係經由開關625電連接至支座618。那樣的話,HFRF產生器602、第二高頻匹配單元613、LFRF產生器604、低頻匹配單元605、以及第二積體電路板633係與支座618電連接。在蝕刻模式下,HFRF產生器602及第二高頻匹配單元613與LFRF產生器604及低頻匹配單元605,將功率輸送至支座618。在某些實施例中,於蝕刻模式下,將噴淋頭614接地。
在某些實施例中,開關625為一HFRF切換盒,其係設置成在於沉積模式下將功率從HFRF產生器602輸送至噴淋頭614與於蝕刻模式下將功率從HFRF產生器602輸送至支座618之間進行切換。在沉積模式下,僅HFRF產生器602係透過第一積體電路板623耦合至噴淋頭614。在蝕刻模式下,HFRF產生器602與LFRF產生器604兩者係透過第二積體電路板633耦合至支座618。在某些實施例中,第一積體電路板623與第二積體電路板633係經由同步化繼電器控制部635通信地耦合,以及同步化繼電器控制部635係與開關625通信地耦合。同步化繼電器控制部635係用以使沉積與蝕刻模式之間的切換同步化。例如,在沉積模式下,若第一積體電路板623正將功率從HFRF產生器602輸送至噴淋頭614的話,則同步化繼電器控制部635可同時與第二積體電路板633通信,以不將功率輸送至支座618。或者,在蝕刻模式下,若第二積體電路板633正將功率從HFRF產生器602與LFRF產生器604輸送至支座618的話,則同步化繼電器控制部635可同時與第一積體電路板623通信,以不將功率輸送至噴淋頭614。
鑑於圖5與6中的RF硬體組態係利用HFRF產生器、LFRF產生器、以及個別的積體電路板來對噴淋頭及/或支座供電,某些RF硬體組態可將HFRF產生器與LFRF產生器結合在一起以作為單一電源,並且利用單一積體電路板來對噴淋頭及/或支座供電。在某些實施例中,HFRF產生器與LFRF產生器可為單一積體電路板的部分。該單一積體電路板可在一模式下將高頻及/或低頻信號輸送至噴淋頭,並且在另一模式下將高頻及/或低頻信號輸送至支座。該單一積體電路板可包含具有多個通道的多個站。
依照某些實施例,圖7顯示在沉積模式與蝕刻模式之間進行切換之一替代示範架構的方塊圖。整合設備700可包含積體電路板710、噴淋頭714、以及支座718。噴淋頭714與支座718可為用以在晶圓上執行沉積-蝕刻-沉積順序之電漿處理腔室(未顯示)的部分。該電漿處理腔室可為CCP反應器,於此處,噴淋頭714包含頂部電極,以及支座718包含底部電極。積體電路板710可包含一或多個HF/LF RF產生器704、708以及一或多個開關764、768,於此處,一或多個開關764、768係設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式用以執行沉積處理,於此處,在沉積模式下,一或多個開關764、768將HF/LF RF產生器704耦合至噴淋頭714;以及該蝕刻模式用以執行蝕刻處理,於此處,在蝕刻模式下,一或多個開關764、768將HF/LF RF產生器708耦合至支座718。在某些實施例中,圖7所示之HF/LF RF產生器704、708可為單一HF/LF RF產生器。單一HF/LF RF產生器能夠輸送高頻與低頻信號兩者。同一HF/LF RF產生器可用以對噴淋頭714或支座718供電。
在某些實施例中,積體電路板710可配備有多個通道以對多個站供電。第一站繼電器開關764可位在這些站之其中一站,以及第二站繼電器開關768可位在其餘的這些站之其中一站。第一站繼電器開關764係設置成在沉積模式下將第一HF/LF RF產生器704耦合至噴淋頭714。當第一站繼電器開關764位於第一位置時,整合設備700係處於沉積模式,以及第一HF/LF RF產生器704係電連接至噴淋頭714,以對噴淋頭714供電。來自HF/LF RF產生器704的信號通過第一電感器774而到達噴淋頭714。當第一站繼電器開關764位於第二位置時,則噴淋頭714被接地。在某些實施例中,當噴淋頭714被接地時,設置第一電容器734,以補償返迴路徑上的電感。第二站繼電器開關768係設置成在蝕刻模式下將第二HF/LF RF產生器708耦合至支座718。當第二站繼電器開關768位於第一位置時,整合設備700係處於蝕刻模式,以及第二HF/LF RF產生器708係電連接至支座718,以對支座718施加偏壓。來自第二站繼電器開關768的信號通過第二電感器778而到達支座718。當第二站繼電器開關768位於第二位置時,則支座718被接地。在某些實施例中,當支座718被接地時,設置第二電容器738,以補償返迴路徑上的電感。
在如圖7所示的某些實施例中,積體電路板710包含開關735,例如數位輸出(DO,digital output)位元開關,其用以使模式間之切換同步化。開關735可耦合至第一繼電器744與第二繼電器748。在某些實施例中,第一繼電器744與第二繼電器748之每一者可為高電壓真空繼電器。當開關735處於沉積模式時,第一繼電器744可位於開路位置(open position),以及第二繼電器748可位於閉路位置(closed position)。當該開關處於蝕刻模式時,第一繼電器744可位於閉路位置,以及第二繼電器748可位於開路位置。當第一繼電器744被打開且第二繼電器748被關閉時,第一站繼電器開關764係位於第一位置,以及第二站繼電器開關768係位於第二位置,以使噴淋頭714被供電並且使支座718被接地。當第一繼電器744被關閉且第二繼電器748被打開時,第一站繼電器開關764係位於第二位置,以及第二站繼電器開關768係位於第一位置,以使支座718被供電並且使噴淋頭714被接地。圖7中的此種配置允許HF/LF RF產生器704、708在沉積模式下對噴淋頭714供電並且將支座718接地,或者允許HF/LF RF產生器704、708在蝕刻模式下對支座718供電並且將噴淋頭714接地。
圖7中的噴淋頭714可透過位在噴淋頭714與第一電感器774之間的同軸纜線(未顯示)而接地。圖7中的支座718亦可透過位在支座718與第二電感器778之間的同軸纜線而接地。然而,透過電感器與同軸纜線進行接地會產生往電接地之較長的返回路徑。此可使更多的電壓累積在噴淋頭714或支座718上,此可有效降低電極之間的電壓。若支座718被施加偏壓但電極之間的電壓改變的話,此可能會使蝕刻模式例如變得不太有效。
不透過電感器與同軸纜線進行接地,某些RF硬體組態可就近將噴淋頭與支座接地至電漿處理腔室。依照某些實施例,圖8顯示包含設置成在沉積模式與蝕刻模式之間進行切換之電漿處理腔室之一示範整合設備的示意圖。整合設備800包含積體電路板810以及電漿處理腔室824,於此處,電漿處理腔室824包含噴淋頭814以及支座818。電漿處理腔室824可為CCP反應器,於此處,噴淋頭814包含頂部電極,以及支座818包含底部電極。該CCP反應器可用以在晶圓上執行沉積-蝕刻-沉積順序。積體電路板810可包含一或多個HF/LF RF產生器804、808以及一或多個開關864、868。整合設備800可更包含額外的開關884、888。開關864、868、884、888係設置成在(1) 沉積模式與(2) 蝕刻模式之間進行切換;該沉積模式用以執行沉積處理,於此處,在沉積模式下,開關864、868、884、888將HF/LF RF產生器804耦合至噴淋頭814並且將支座818接地;以及該蝕刻模式用以執行蝕刻處理,於此處,在蝕刻模式下,開關864、868、884、888將HF/LF RF產生器808耦合至支座818並且將噴淋頭814接地。在某些實施例中,圖8所示之HF/LF RF產生器804、808可為單一HF/LF RF產生器。單一HF/LF RF產生器能夠輸送高頻與低頻信號兩者。同一HF/LF RF產生器可用以對噴淋頭814或支座818供電。
在某些實施例中,積體電路板810可配備有多個通道以對多個站供電。第一站繼電器開關864可位在這些站之其中一站,以及第二站繼電器開關868可位在其餘的這些站之其中一站。第一站繼電器開關864係設置成在沉積模式下將第一HF/LF RF產生器804耦合至噴淋頭814。當第一站繼電器開關864位於第一位置時,整合設備800係處於沉積模式,以及第一HF/LF RF產生器804係電連接至噴淋頭814,以對噴淋頭814供電。來自HF/LF RF產生器804的信號通過第一電感器874而到達噴淋頭814。當第一站繼電器開關864位於第二位置時,噴淋頭814被接地。然而,不透過第一電感器874與第一同軸纜線854進行接地,噴淋頭814係就近接地至電漿處理腔室824。噴淋頭接地繼電器開關884係就近設置於噴淋頭814,並且耦合至與第一站繼電器開關864有關聯的第一站。此會降低返迴路徑上的電感並且可消除對於補償電容器的需求。第二站繼電器開關868係設置成在蝕刻模式下將第二HF/LF RF產生器808耦合至支座818。當第二站繼電器開關868位於第一位置時,整合設備800係處於蝕刻模式,以及第二HF/LF RF產生器808係電連接至支座818,以對支座818施加偏壓。來自第二站繼電器開關868的信號通過第二電感器878而到達支座818。當第二站繼電器開關868位於第二位置時,支座818被接地。然而,不透過第二電感器878與第二同軸纜線858進行接地,支座818係就近接地至電漿處理腔室824。支座接地繼電器開關888係就近設置於支座818,並且耦合至與第二站繼電器開關868有關聯的第二站。此會降低返迴路徑上的電感並且可消除對於補償電容器的需求。在某些實施例中,就近接地電極可改善蝕刻模式下的蝕刻處理。然而,圖8中的每一站係配備有兩個繼電器開關而非一個,例如在第一站具有繼電器開關864、884,以及在第二站具有繼電器開關868、888。
積體電路板810(例如分流板)可包含多個站,於此處,每一站能夠切換功率的開啟/關閉。積體電路板810可包含位於這些站之其中一者的DO位元開關(未顯示),於此處,該DO位元開關係用以使模式間之切換同步化。因此,四個繼電器開關864、868、884、888皆可被同步化。舉例而言,若該DO位元開關被設置在與第一站繼電器開關864有關聯的第一站,且第一站繼電器開關864被切換至第一位置(例如,開啟)的話,則噴淋頭814被供電,而支座818被接地。具體來說,第二站繼電器開關868被切換至第二位置(例如,關閉),而支座接地繼電器開關888將支座818就近接地。若第一站繼電器開關864被切換至第二位置(例如,關閉)的話,則支座818被供電,而噴淋頭814被接地。具體而言,第二站繼電器開關868被切換至第一位置(例如,開啟),而噴淋頭接地繼電器開關884將噴淋頭814就近接地。圖8中的此種配置允許HF/LF RF產生器804、808在沉積模式下對噴淋頭814供電並且將支座818接地,或者允許HF/LF RF產生器804、808在蝕刻模式下對支座818供電並且將噴淋頭814接地。
在圖5-8所描述之整合設備中的上述RF硬體組態可提供比習知工具更為簡單並且更為靈活之用以執行沉積-蝕刻-沉積順序的工具解決方案。圖9A顯示用以執行沉積-蝕刻-沉積間隙填充處理之一示範習知多站處理工具的示意圖。多站處理工具900a包含機器人906,其係設置成將晶圓從通過箱908而裝載的卡匣搬進負載室內,並且最後進入到四個處理腔室911、912、913、914的其中一者內,然而,吾人可瞭解可以存在更少或更多的處理腔室。多站處理工具900a可包含與圖3中之多站處理工具300相似的特徵。在多站處理工具900a中,處理腔室911、912、913三者可用以執行例如ALD的沉積處理,而處理腔室914可用以執行蝕刻處理。
圖9B顯示包含所揭露用以執行沉積-蝕刻-沉積間隙填充處理之整合設備的一示範多站處理工具的示意圖。多站處理工具900b包含機器人906,其係設置成將晶圓從通過箱908而裝載的卡匣搬進負載室內,並且最後進入到三個處理腔室921a、921b、921c的其中一者內,然而,吾人可瞭解可以存在更少或更多的處理腔室。多站處理工具900b可包含與圖3中之多站處理工具300相似的特徵。在多站處理工具900b中,處理腔室921a、921b、921c之每一者可用以執行沉積與蝕刻處理兩者。例如,處理腔室921a、921b、921c之每一者可結合具有圖5-8所示之某一RF硬體組態的整合設備。多站處理工具900b可提供沉積處理的快速頻率調整、蝕刻處理的高蝕刻速率、蝕刻處理的錐形蝕刻輪廓、以及藉由在同一腔室中執行間隙填充之多個沉積-蝕刻-沉積順序的改善變通性。
多站處理工具900b可包含系統控制器(未顯示),例如圖3中的系統控制器350。該系統控制器可用以提供執行操作(包含在沉積與蝕刻模式之間進行切換之操作)的指令。該系統控制器可為一系統的部分,該系統可為一整合設備的部分。該系統控制器可提供使用上述圖5-8中之任一RF硬體組態以進行沉積與蝕刻模式下之操作的程式指令。該系統控制器可包含控制RF功率等級、RF頻率、工作週期、晶圓溫度、腔室及/或站溫度、腔室及/或站壓力、晶圓及/或支座位置、時序、氣體之混合、氣體流率、沖洗條件與時序、沉積與蝕刻模式切換等等的指令。
大致來說,該系統控制器可被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,其接收指令、發出指令、控制操作、進行清理操作、進行終點測量等等。該積體電路可包含具有韌體形式而儲存有程式指令的晶片、數位信號處理器(DSP,digital signal processor)、被定義為特定用途積體電路(ASIC,application specific integrated circuits)的晶片、及/或一或多個微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以各種獨立設定值(或程式檔案)形式傳送至該系統控制器的指令,以定義用以在半導體晶圓上或對一系統實現特定處理的操作參數。在某些實施例中,這些操作參數可為製程工程師所定義之配方的部分,以在晶圓之一或多個層、材料、金屬、表面、電路、及/或晶粒的加工期間完成一或多個處理步驟。
在某些實施例中,該系統控制器可為電腦的一部分或耦合至該電腦,該電腦係與該系統整合在一起,或耦合至該系統,或網路連接至該系統,或為其組合。例如,該系統控制器可位在「雲端(cloud)」或整個或一部分之晶圓廠主電腦系統中,此可允許晶圓處理的遠端存取。該電腦可對該系統進行遠端存取,以監視加工操作的當前進度、檢查過去加工操作的歷史、從複數加工操作來檢查趨勢或性能指標、改變當前處理的參數、依當前處理來設定處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含使用者介面,其可進行參數及/或設定值的輸入或程式化,這些參數及/或設定值之後從該遠端電腦傳送至該系統。在某些範例中,該系統控制器接收具有資料形式的指令,該指令規定待於一或多個操作期間執行之每一處理步驟的參數。吾人應瞭解這些參數可特定於待執行之處理的類型以及該系統控制器所介接或控制之工具的類型。因此,如上所述,可以下列方式來分配該系統控制器:例如藉由包含以網路連接在一起並且為一共同目的(例如在此所述的處理與控制)而運作的一或多個分離控制器。為此種目的而分配的控制器之一範例可為在腔室上之一或多個積體電路,該積體電路係與遠端設置(例如平台等級或作為遠端電腦之部分)的一或多個積體電路通信,以聯合控制腔室上的處理。
根據待由該工具所執行的處理步驟,該系統控制器可與其他工具電路或模組、其他工具構件、群集(cluster)工具、其他工具介面、相鄰工具、鄰近工具、設置遍布於工廠的工具、主電腦、另一控制器、或用於原料運送而將晶圓容器運至與運離半導體製造廠中之工具位置及/或裝載通道的工具之其中一或多者進行通信。該系統控制器可安裝有執行下述操作之其中一或多者的指令。
處理條件
圖10顯示一流程圖,其顯示用以在晶圓上執行沉積-蝕刻-沉積間隙填充處理的一示範處理流程。可以不同的順序及/或以不同的、更少的、或額外的操作來執行製程1000中的操作。如上所述的系統控制器可安裝有執行下列操作之其中一或多者的指令。
以圖5-9B中所提供用於沉積與蝕刻處理的整合工具解決方案,沉積-蝕刻-沉積間隙填充處理可從頭到尾都在同一電漿處理腔室中執行。再者,用以執行蝕刻處理的處理條件可與用以執行沉積處理的處理條件相容。在某些實施例中,例如晶圓溫度、腔室壓力、頻率、以及RF功率的處理條件可被調整而與沉積處理相容,但仍可在沉積-蝕刻-沉積順序中提供有效的非等向性蝕刻。
製程1000可起始於方塊1005,於此處,在電漿處理腔室中提供晶圓,該晶圓具有一或多個間隙,該間隙各自具有大於約5:1的深寬縱橫比。該晶圓可為如在積體電路之生產中所使用的半導體晶圓。該晶圓可具有一或多個特徵部,因此該晶圓為非平面。在某些實施例中,該一或多個特徵部可包含一或多個間隙、溝槽、或凹槽。間隙填充處理可在具有一或多個間隙的晶圓上被執行。該晶圓中的間隙寬度可依照各種實施例而變化,其可為從約5 Å到約50 μm,或從約100 Å到約1 μm。深寬縱橫比的範例可大於約2:1,或大於約5:1,或大於約10:1,或大於約30:1,或大於約50:1,或大於約100:1。此外,該電漿處理腔室可為CCP反應器,其包含用以輸送處理氣體的噴淋頭以及用以支撐該晶圓的支座,於此處,該噴淋頭包含頂部電極,以及該支座包含底部電極。
在製程1000的方塊1010,可在該電漿處理腔室中,經由ALD,將第一介電層沉積在一或多個間隙內。在某些實施例中,第一介電層可為介電氧化物,例如二氧化矽(SiO2
)。以ALD,可將第一介電層的保形膜沉積在非平面晶圓上。在經由ALD沉積第一介電層期間,該電漿處理腔室可處於沉積模式,於此處,至少該噴淋頭係被一RF產生器所供電。在某些實施例中,於第一介電層之沉積期間,該支座可被接地。在某些實施例中,該RF產生器能夠進行快速頻率調整。
在製程1000的方塊1015,於該電漿處理腔室中,以斜坡控制對第一介電層進行非等向性蝕刻。非等向性蝕刻在第一介電層之初沉積(as-deposited)膜上產生錐形正斜坡。相較於在間隙內部並靠近間隙之底部處,非等向性蝕刻可在靠近間隙之頂部處選擇性地移除更多的介電材料。為此說明之目的,將「靠近間隙之頂部處」或「靠近開口處」定義為在間隙內(即,沿著間隙之側壁)對應於從場區域(field region)開始測量之間隙深度之約0-10%的一概略位置或區域。在某些實施例中,靠近開口或靠近間隙之頂部的區域係對應於位在開口或位在間隙之頂部的區域。又,將「在間隙內部並靠近間隙之底部處」或「在間隙內部」定義為在間隙內對應於從間隙之頂部上的場區域開始起算之間隙深度之約20-60%的一概略位置或區域。一般而言,當某些參數(例如厚度)的值被具體指明「靠近開口」或「在間隙內部」時,這些值係表示在這些位置/區域內所獲得的一測量值或多個測量值的一平均值。以斜坡控制來執行非等向性蝕刻,俾能在靠近間隙之頂部處產生傾斜輪廓,而非在間隙內部並靠近間隙之底部處產生傾斜輪廓。在某些實施例中,用於非等向性蝕刻的蝕刻劑可包含氟系蝕刻劑,例如三氟化氮(NF3
)。
方塊1015所執行的非等向性蝕刻步驟可在與方塊1010所執行之沉積步驟相同的電漿處理腔室中發生。在某些實施例中,於方塊1015的電漿處理腔室可處於蝕刻模式,在此處,該支座係被RF產生器所供電,而該噴淋頭係被接地。在某些實施例中,一或多個開關可用以將RF產生器從在沉積模式下對該噴淋頭供電切換成在蝕刻模式下對該支座供電。例如,製程1000可更包含在非等向性蝕刻第一介電層之前進行切換,以將低頻功率與高頻功率施加至該電漿處理腔室中的支座,並且將該電漿處理腔室中的噴淋頭接地。
用於方塊1010之沉積模式的處理條件可與用於方塊1015之蝕刻模式的處理條件相容。在某些實施例中,於蝕刻處理與沉積處理期間的晶圓溫度可介於50℃與650℃之間,或大於100℃,或大於200℃,或大於300℃,或甚至大於400℃。此種晶圓溫度範圍可應用在方塊1010、1015、以及1020。在某些實施例中,蝕刻與沉積處理兩者的腔室壓力可介於0.1 Torr與10 Torr之間,或介於0.3 Torr與1 Torr之間。此種腔室壓力可應用在方塊1010、1015、以及1020。在某些實施例中,LFRF產生器可提供約400 kHz的RF頻率,以及HFRF產生器可提供約13.56 MHz的RF頻率。此種頻率可應用在方塊1010、1015、以及1020。所產生的低頻功率可介於約1500 W與約6000 W之間,以及所產生的高頻功率可介於約0 W與約5000 W之間。此種RF功率可應用在方塊1010、1015、以及1020。典型的蝕刻處理,不在此種高晶圓溫度下操作並且不在此種低頻率下操作而產生此種大的低頻功率。在某些實施例中,用於進行蝕刻之NF3
的濃度可介於約1.0-2.5%之間。典型的蝕刻處理亦可能不使用此種少量的NF3
來進行蝕刻。表I就流率、壓力、高頻功率、低頻功率、以及NF3
濃度方面,提供一組示範的晶圓溫度範圍、氣體混合物、氣體濃度。表 I
在製程1000的方塊1020,可在該電漿處理腔室中,經由ALD,將第二介電層沉積在第一介電層上方的一或多個間隙內。在某些實施例中,第二介電層可為介電氧化物,例如SiO2
。以ALD,可將第二介電層的保形膜沉積在非平面晶圓上。在某些實施例中,第二介電層可填充並關閉一或多個間隙。在第二介電層之沉積期間,該電漿處理腔室可處於沉積模式,於此處,至少該噴淋頭係被一RF產生器所供電。在某些實施例中,於第二介電層之沉積期間,該支座可被接地。可在與使用斜坡控制之非等向性蝕刻相同的電漿處理腔室中沉積第二介電層。在某些實施例中,製程1000可更包含在沉積第二介電層之前進行切換,以將高頻功率施加至該電漿處理腔室中的噴淋頭,並且將該電漿處理腔室中的支座接地。
光刻圖案化
上述設備/製程可配合光刻圖案化工具或製程一起用於例如半導體裝置、顯示器、LED、太陽光電板等等的加工或製造。一般而言,雖然不一定,但此種工具/製程將於共同的加工廠中一起被使用或實施。膜的光刻圖案化一般包含下列某些或所有步驟(每一個步驟能夠以若干合適之工具進行):(1) 使用旋塗或噴塗工具,將光阻塗佈在例如基板的工作件上;(2) 使用熱板或爐或UV硬化工具來使光阻硬化;(3) 以例如晶圓步進機之工具,將光阻曝露至可見光或UV光或X光;(4) 使用例如溼台(wet bench)的工具,對光阻進行顯影,以選擇性地移除光阻並藉此將其圖案化;(5) 藉由使用乾式或電漿輔助蝕刻工具,將光阻圖案轉印到下伏的膜或工作件中;以及(6) 使用例如RF或微波電漿光阻剝除機的工具來移除光阻。
其他實施例
雖然在此顯示並且說明本發明之例示性實施例與應用,但許多的變化與修改係可能的,而這些變化與修改仍係在本發明的概念、範圍、以及精神內,且在細讀本申請案之後,這些變化對於該發明所屬技術領域中具有通常知識者而言將變得淺顯易懂。因此,本發明之實施例應被視為例示性而非限制性,且本發明並不限於在此所提出的細節,而係可在隨附之請求項的範圍與均等範圍內進行修改。
100‧‧‧非平面晶圓102‧‧‧間隙104‧‧‧薄膜104a‧‧‧上部區域104b‧‧‧下部區域106‧‧‧凹入部200‧‧‧設備202‧‧‧低頻射頻產生器204‧‧‧高頻射頻產生器206‧‧‧阻抗匹配網路208‧‧‧歧管210‧‧‧來源氣體管線212‧‧‧入口214‧‧‧噴淋頭216‧‧‧晶圓218‧‧‧支座220‧‧‧接地塊件222‧‧‧出口224‧‧‧處理腔室226‧‧‧真空幫浦300‧‧‧多站處理工具302‧‧‧入站負載室304‧‧‧出站負載室306‧‧‧機器人308‧‧‧箱310‧‧‧大氣通口312‧‧‧支座314‧‧‧處理腔室316‧‧‧腔室運輸口318‧‧‧支座350‧‧‧系統控制器352‧‧‧處理器354‧‧‧大量儲存裝置356‧‧‧記憶體裝置358‧‧‧系統控制軟體390‧‧‧晶圓搬運系統400a‧‧‧設備400b‧‧‧設備402‧‧‧RF電源404‧‧‧RF電源(LFRF產生器)406‧‧‧RF電源(HFRF產生器)412‧‧‧氣體入口414‧‧‧噴淋頭416‧‧‧晶圓418‧‧‧支座424‧‧‧CCP反應器430a‧‧‧電漿430b‧‧‧電漿432‧‧‧阻隔電容器500‧‧‧整合設備502‧‧‧HFRF產生器503‧‧‧高頻匹配單元(高頻阻抗匹配網路)504‧‧‧LFRF產生器505‧‧‧低頻匹配單元(低頻阻抗匹配網路)514‧‧‧噴淋頭518‧‧‧支座524‧‧‧電漿處理腔室532‧‧‧阻隔濾波器552‧‧‧高通濾波器554‧‧‧低通濾波器564‧‧‧開關(第一站繼電器開關)568‧‧‧開關(第二站繼電器開關)600‧‧‧整合設備602‧‧‧HFRF產生器603‧‧‧第一高頻匹配單元604‧‧‧LFRF產生器605‧‧‧低頻匹配單元613‧‧‧第二高頻匹配單元614‧‧‧噴淋頭618‧‧‧支座623‧‧‧第一積體電路板625‧‧‧開關633‧‧‧第二積體電路板635‧‧‧同步化繼電器控制部700‧‧‧整合設備704‧‧‧第一HF/LF RF產生器708‧‧‧第二HF/LF RF產生器710‧‧‧積體電路板714‧‧‧噴淋頭718‧‧‧支座734‧‧‧第一電容器735‧‧‧開關738‧‧‧第二電容器744‧‧‧第一繼電器748‧‧‧第二繼電器764‧‧‧開關(第一站繼電器開關)768‧‧‧開關(第二站繼電器開關)774‧‧‧第一電感器778‧‧‧第二電感器800‧‧‧整合設備804‧‧‧第一HF/LF RF產生器808‧‧‧第二HF/LF RF產生器810‧‧‧積體電路板814‧‧‧噴淋頭818‧‧‧支座824‧‧‧電漿處理腔室854‧‧‧第一同軸纜線858‧‧‧第二同軸纜線864‧‧‧開關(第一站繼電器開關)868‧‧‧開關(第二站繼電器開關)874‧‧‧第一電感器878‧‧‧第二電感器884‧‧‧開關(噴淋頭接地繼電器開關)888‧‧‧開關(支座接地繼電器開關)900a‧‧‧多站處理工具900b‧‧‧多站處理工具906‧‧‧機器人908‧‧‧箱911‧‧‧處理腔室912‧‧‧處理腔室913‧‧‧處理腔室914‧‧‧處理腔室921a‧‧‧處理腔室921b‧‧‧處理腔室921c‧‧‧處理腔室1000‧‧‧製程1005‧‧‧方塊1010‧‧‧方塊1015‧‧‧方塊1020‧‧‧方塊
圖1A-1C繪示具有間隙之晶圓在沉積-蝕刻-沉積間隙填充處理之各種階段的示範橫剖面。
圖2顯示用以執行習知沉積-蝕刻-沉積間隙填充處理中之沉積處理之一示範設備的示意圖。
圖3顯示一示範多站處理工具的示意圖。
圖4A顯示包含用以執行沉積處理之電容耦合式電漿(CCP)反應器之一示範設備的示範圖。
圖4B顯示包含用以執行蝕刻處理之CCP反應器之一示範設備的示意圖。
依照某些實施例,圖5顯示包含設置成在沉積模式與蝕刻模式之間進行切換之電漿處理腔室之一示範整合設備的示意圖。
依照某些實施例,圖6顯示在沉積模式與蝕刻模式之間進行切換之一示範架構的方塊圖。
依照某些實施例,圖7顯示在沉積模式與蝕刻模式之間進行切換之一替代示範架構的方塊圖。
依照某些實施例,圖8顯示包含設置成在沉積模式與蝕刻模式之間進行切換之電漿處理腔室之一示範整合設備的示意圖。
圖9A顯示用以執行沉積-蝕刻-沉積間隙填充處理之一示範習知多站處理工具的示意圖。
圖9B顯示包含所揭露用以執行沉積-蝕刻-沉積間隙填充處理之整合設備的一示範多站處理工具的示意圖。
圖10顯示一流程圖,其顯示用以在晶圓上執行沉積-蝕刻-沉積間隙填充處理的一示範處理流程。
500‧‧‧整合設備
502‧‧‧HFRF產生器
503‧‧‧高頻匹配單元(高頻阻抗匹配網路)
504‧‧‧LFRF產生器
505‧‧‧低頻匹配單元(低頻阻抗匹配網路)
514‧‧‧噴淋頭
518‧‧‧支座
524‧‧‧電漿處理腔室
532‧‧‧阻隔濾波器
552‧‧‧高通濾波器
554‧‧‧低通濾波器
564‧‧‧開關(第一站繼電器開關)
568‧‧‧開關(第二站繼電器開關)
Claims (25)
- 一種用以執行沉積與蝕刻處理的整合設備,該設備包含:一處理腔室,其中該處理腔室包含一噴淋頭以及一支座;一低頻射頻(LFRF,low-frequency radio-frequency)產生器;一高頻射頻(HFRF,high-frequency radio-frequency)產生器;及一或多個開關,可操作地與該LFRF產生器及該HFRF產生器其中一者或兩者耦合,該一或多個開關係設置成在(1)沉積模式與(2)蝕刻模式之間進行切換,該沉積模式用以在一基板上執行沉積處理,其中,在該沉積模式下,該一或多個開關至少將該HFRF產生器電耦合至該噴淋頭,以及該蝕刻模式用以在該基板上執行蝕刻處理,其中,在該蝕刻模式下,該一或多個開關將該HFRF產生器與該LFRF產生器電耦合至該支座並且將該噴淋頭接地。
- 如申請專利範圍第1項所述之用以執行沉積與蝕刻處理的整合設備,其中該處理腔室為一電容耦合式電漿(CCP,capacitively-coupled plasma)反應器,以及其中該噴淋頭包含一頂部電極,而該支座包含一底部電極。
- 如申請專利範圍第1項所述之用以執行沉積與蝕刻處理的整合設備,其中在該沉積模式下,該一或多個開關將該HFRF產生器與該LFRF產生器耦合至該噴淋頭並且將該支座接地。
- 如申請專利範圍第1項所述之用以執行沉積與蝕刻處理的整合設備,其中該一或多個開關包含:一第一站繼電器開關,設置成在該沉積模式下將該LFRF產生器與該HFRF產生器電連接至該噴淋頭;及一第二站繼電器開關,設置成在該蝕刻模式下將該LFRF產生器與該HFRF產生器電連接至該支座。
- 如申請專利範圍第4項所述之用以執行沉積與蝕刻處理的整合設備,其中該第一站繼電器開關係設置成切換至一第一位置以將該LFRF產生器與該HFRF產生器電連接至該噴淋頭,以及切換至一第二位置以將該噴淋頭接地,以及其中該第二站繼電器開關係設置成切換至一第一位置以將該LFRF產生器與該HFRF產生器電連接至該支座,以及切換至一第二位置以將該支座接地,其中該第一站繼電器開關的該第一位置係與該第二站繼電器開關的該第二位置同步化,而該第二站繼電器開關的該第一位置係與該第一站繼電器開關的該第二位置同步化。
- 如申請專利範圍第4項所述之用以執行沉積與蝕刻處理的整合設備,更包含:一或多個濾波器,在該沉積模式下選擇性地濾除來自該LFRF產生器的低頻信號,以避免該低頻信號到達該噴淋頭。
- 如申請專利範圍第1至6項其中任一項所述之用以執行沉積與蝕刻處理的整合設備,其中該LFRF產生器為一第一積體電路板的部分,以及該HFRF產生器為一第二積體電路板的部分。
- 如申請專利範圍第1至6項其中任一項所述之用以執行沉積與蝕刻處理的整合設備,其中該一或多個開關包含可操作地與該HFRF產生器耦合的一開關,該開關係設置成在於該沉積模式下從該HFRF產生器將功率輸送至該噴淋頭與於該蝕刻模式下從該HFRF產生器將功率輸送至該支座之間進行切換。
- 如申請專利範圍第8項所述之用以執行沉積與蝕刻處理的整合設備,其中在該沉積模式下,該HFRF產生器係透過一第一積體電路板耦合至該噴淋頭,以及在該蝕刻模式下,該HFRF產生器與該LFRF產生器係透過一第二積體電路板耦合至該支座。
- 如申請專利範圍第9項所述之用以執行沉積與蝕刻處理的整合設備,其中該第一積體電路板與該第二積體電路板係經由一同步化繼電器控制部通信地耦合,該同步化繼電器控制部係與該開關通信地耦合。
- 如申請專利範圍第1至6項其中任一項所述之用以執行沉積與蝕刻處理的整合設備,更包含:一控制器,安裝有執行下列操作的指令: (a)在該支座上提供一晶圓,其中該晶圓具有一或多個間隙,該間隙各自具有大於約5:1的深寬縱橫比;(b)在該處理腔室中,於該沉積模式下,經由原子層沉積(ALD,atomic layer deposition),將一第一介電層沉積在該一或多個間隙內;(c)在該處理腔室中,於該蝕刻模式下,以斜坡控制對該第一介電層進行非等向性蝕刻;及(d)在該處理腔室中,於該沉積模式下,經由ALD,將一第二介電層沉積在該第一介電層上方的該一或多個間隙內。
- 一種用以執行沉積與蝕刻處理的整合設備,該設備包含:一處理腔室,其中該處理腔室包含一噴淋頭以及一支座;一積體電路板,其中該積體電路板包含一或多個HF/LF RF產生器;一或多個開關,可操作地與該一或多個HF/LF RF產生器耦合,該一或多個開關係設置成在(1)沉積模式與(2)蝕刻模式之間進行切換,該沉積模式用以在一基板上執行沉積處理,其中在該沉積模式下,該一或多個開關將該HF/LF RF產生器之至少其中一者電耦合至該噴淋頭,以及該蝕刻模式用以在該基板上執行蝕刻處理,其中在該蝕刻模式下,該一或多個開關將該HF/LF RF產生器之至少其中一者電耦合至該支座。
- 如申請專利範圍第12項所述之用以執行沉積與蝕刻處理的整合設備,其中該處理腔室為一CCP反應器,以及其中該噴淋頭包含一頂部電極,而該支座包含一底部電極。
- 如申請專利範圍第12項所述之用以執行沉積與蝕刻處理的整合設備,其中該積體電路板包含一單一HF/LF RF產生器。
- 如申請專利範圍第12項所述之用以執行沉積與蝕刻處理的整合設備,其中該一或多個開關包含:一第一站繼電器開關,設置成在該沉積模式下將該HF/LF RF產生器之其中一者電連接至該噴淋頭;及一第二站繼電器開關,設置成在該蝕刻模式下將該HF/LF RF產生器之其中一者電連接至該支座。
- 如申請專利範圍第15項所述之用以執行沉積與蝕刻處理的整合設備,其中該第一站繼電器開關係設置成切換至一第一位置以在該沉積模式下將該HF/LF RF產生器之其中一者電連接至該噴淋頭,以及切換至一第二位置以將該噴淋頭接地,而該第二站繼電器開關係設置成切換至一第一位置以在該蝕刻模式下將該HF/LF RF產生器之其中一者電連接至該支座,以及切換至一第二位置以將該支座接地,其中該第一站繼電器開關的該第一位置係與該第二站繼電器開關的該第二位置同步化,而該第二站繼電器開關的該第一位置係與該第一站繼電器開關的該第二位置同步化。
- 如申請專利範圍第16項所述之用以執行沉積與蝕刻處理的整合設備,其中該一或多個開關更包含: 一支座接地繼電器開關,以在該HF/LF RF產生器之其中一者可操作地耦合至該噴淋頭時,於該沉積模式下將該支座接地;及一噴淋頭接地繼電器開關,以在該HF/LF RF產生器之其中一者可操作地耦合至該支座時,於該蝕刻模式下將該噴淋頭接地。
- 如申請專利範圍第17項所述之用以執行沉積與蝕刻處理的整合設備,其中將該第一站繼電器開關、該第二站繼電器開關、該噴淋頭接地繼電器開關、以及該支座接地繼電器開關同步化,以在該沉積模式下,將該HF/LF RF產生器之其中一者電連接至該噴淋頭,並且將該支座接地,以及在該蝕刻模式下,將該HF/LF RF產生器之其中一者電連接至該支座,並且將該噴淋頭接地。
- 如申請專利範圍第12至18項其中任一項所述之用以執行沉積與蝕刻處理的整合設備,更包含:一控制器,安裝有執行下列操作的指令:(a)在該支座上提供一晶圓,其中該晶圓具有一或多個間隙,該間隙各自具有大於約5:1的深寬縱橫比;(b)在該處理腔室中,於該沉積模式下,經由原子層沉積(ALD,atomic layer deposition),將一第一介電層沉積在該一或多個間隙內;(c)在該處理腔室中,於該蝕刻模式下,以斜坡控制對該第一介電層進行非等向性蝕刻;及 (d)在該處理腔室中,於該沉積模式下,經由ALD,將一第二介電層沉積在該第一介電層上方的該一或多個間隙內。
- 一種用以填充晶圓中之一或多個間隙的方法,該方法包含下列步驟:在一電漿處理腔室中,將一晶圓提供於一支座上,其中該晶圓具有一或多個間隙,該間隙各自具有大於約5:1的深寬縱橫比;在該電漿處理腔室中,經由ALD,將一第一介電層沉積於該一或多個間隙內;在該電漿處理腔室中,以斜坡控制對該第一介電層進行非等向性蝕刻;及在該電漿處理腔室中,經由ALD,將一第二介電層沉積在該第一介電層上方的該一或多個間隙內。
- 如申請專利範圍第20項所述之用以填充晶圓中之一或多個間隙的方法,其中在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等向性蝕刻時、以及在沉積該第二介電層時,晶圓溫度係介於約80℃與約400℃之間。
- 如申請專利範圍第20項所述之用以填充晶圓中之一或多個間隙的方法,其中在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等 向性蝕刻時、以及在沉積該第二介電層時,壓力係介於約0.3與約1.0Torr之間。
- 如申請專利範圍第20至22項其中任一項所述之用以填充晶圓中之一或多個間隙的方法,其中在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等向性蝕刻時、以及在沉積該第二介電層時,施加至該電漿處理腔室的低頻功率係介於約1500W與約6000W之間,以及其中在沉積該第一介電層時、在以斜坡控制對該第一介電層進行非等向性蝕刻時、以及在沉積該第二介電層時,施加至該電漿處理腔室的高頻功率係介於約0W與約5000W之間。
- 如申請專利範圍第23項所述之用以填充晶圓中之一或多個間隙的方法,其中用於沉積該第一介電層、以斜坡控制對該第一介電層進行非等向性蝕刻、以及沉積該第二介電層,該低頻功率的低頻率為約400kHz,以及其中用於沉積該第一介電層、以斜坡控制對該第一介電層進行非等向性蝕刻、以及沉積該第二介電層,該高頻功率的高頻率為約13.56MHz。
- 如申請專利範圍第20至22項其中任一項所述之用以填充晶圓中之一或多個間隙的方法,更包含:在以斜坡控制對該第一介電層進行非等向性蝕刻之前,進行切換以將低頻功率與高頻功率施加至該電漿處理腔室中的該支座並且將該電漿處理腔室中的一噴淋頭接地;及 在沉積該第二介電層之前,進行切換以將該高頻功率施加至該電漿處理腔室中的該噴淋頭並且將該電漿處理腔室中的該支座接地。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/199,608 US9773643B1 (en) | 2016-06-30 | 2016-06-30 | Apparatus and method for deposition and etch in gap fill |
US15/199,608 | 2016-06-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201809344A TW201809344A (zh) | 2018-03-16 |
TWI738805B true TWI738805B (zh) | 2021-09-11 |
Family
ID=59886844
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110129265A TWI811757B (zh) | 2016-06-30 | 2017-06-26 | 間隙填充中之沉積與蝕刻用設備 |
TW106121191A TWI738805B (zh) | 2016-06-30 | 2017-06-26 | 間隙填充中之沉積與蝕刻用設備及方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110129265A TWI811757B (zh) | 2016-06-30 | 2017-06-26 | 間隙填充中之沉積與蝕刻用設備 |
Country Status (5)
Country | Link |
---|---|
US (3) | US9773643B1 (zh) |
JP (3) | JP7027050B2 (zh) |
KR (2) | KR102399577B1 (zh) |
CN (2) | CN111243931B (zh) |
TW (2) | TWI811757B (zh) |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10199270B2 (en) * | 2017-05-25 | 2019-02-05 | Globalfoundries Inc. | Multi-directional self-aligned multiple patterning |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US11075079B2 (en) * | 2017-11-21 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directional deposition for semiconductor fabrication |
JP7348440B2 (ja) * | 2018-03-20 | 2023-09-21 | 東京エレクトロン株式会社 | 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
CN110534392B (zh) * | 2018-05-25 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 射频阻抗匹配的方法及装置、半导体处理设备 |
TWI764008B (zh) * | 2018-06-19 | 2022-05-11 | 美商應用材料股份有限公司 | 高品質間隙填充的高偏壓沉積 |
US11293098B2 (en) * | 2018-07-11 | 2022-04-05 | Lam Research Corporation | Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching |
DE102019116860B4 (de) | 2018-07-31 | 2024-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung eines halbleiter-bauelements |
US10991550B2 (en) * | 2018-09-04 | 2021-04-27 | Lam Research Corporation | Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system |
TWI833804B (zh) * | 2018-09-21 | 2024-03-01 | 美商應用材料股份有限公司 | 含鋁膜的間隙填充 |
US11804362B2 (en) * | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
US11515123B2 (en) * | 2018-12-21 | 2022-11-29 | Advanced Energy Industries, Inc. | Apparatus and system for modulated plasma systems |
US10720305B2 (en) * | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
TW202104656A (zh) * | 2019-03-28 | 2021-02-01 | 美商蘭姆研究公司 | 噴淋頭護罩 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130041A (ko) | 2019-05-07 | 2020-11-18 | 램 리써치 코포레이션 | 폐루프 다중 출력 rf 매칭 |
CN114207770B (zh) | 2019-07-31 | 2024-07-05 | 朗姆研究公司 | 具有多个输出端口的射频功率产生器 |
JP7569858B2 (ja) | 2019-12-02 | 2024-10-18 | ラム リサーチ コーポレーション | 無線周波数支援プラズマ生成におけるインピーダンス変換 |
CN111554590B (zh) * | 2020-04-16 | 2021-04-13 | 上海陛通半导体能源科技股份有限公司 | 半导体填孔真空系统及填孔方法 |
JP7433154B2 (ja) * | 2020-07-16 | 2024-02-19 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI753633B (zh) * | 2020-10-30 | 2022-01-21 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 |
TWI742902B (zh) * | 2020-10-30 | 2021-10-11 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造半導體裝置的方法 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201621974A (zh) * | 2014-09-17 | 2016-06-16 | 東京威力科創股份有限公司 | 電漿處理裝置 |
Family Cites Families (468)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4843472A (zh) | 1971-10-04 | 1973-06-23 | ||
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
JPH0293071A (ja) | 1988-09-29 | 1990-04-03 | Toshiba Corp | 薄膜の形成方法 |
JPH0311635A (ja) | 1989-06-08 | 1991-01-18 | Sekiyu Sangyo Katsuseika Center | 化合物半導体装置の製造方法 |
US5094984A (en) | 1990-10-12 | 1992-03-10 | Hewlett-Packard Company | Suppression of water vapor absorption in glass encapsulation |
US5230929A (en) | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
TW201848B (zh) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
DE4136987A1 (de) | 1991-11-11 | 1993-05-13 | Leybold Ag | Verfahren zur oberflaechenpassivierung von sensoren |
JPH05226279A (ja) | 1992-02-10 | 1993-09-03 | Toshiba Corp | 半導体装置の製造方法 |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
US5286297A (en) * | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
JPH06177120A (ja) | 1992-10-27 | 1994-06-24 | Sony Corp | 層間絶縁膜の形成方法 |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
JPH09102494A (ja) | 1995-10-09 | 1997-04-15 | Toshiba Corp | 半導体装置の保護膜およびその形成方法 |
JPH09167755A (ja) * | 1995-12-15 | 1997-06-24 | Nec Corp | プラズマ酸化膜処理装置 |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6399221B1 (en) | 1996-06-25 | 2002-06-04 | Northwestern University | Organic light-emitting diodes and methods for assembly and emission control |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JPH1098032A (ja) | 1996-09-20 | 1998-04-14 | Hitachi Ltd | 薄膜形成方法及び薄膜形成装置 |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US6069058A (en) | 1997-05-14 | 2000-05-30 | United Semiconductor Corp. | Shallow trench isolation for semiconductor devices |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6100202A (en) | 1997-12-08 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
JP3166745B2 (ja) * | 1998-12-25 | 2001-05-14 | 日本電気株式会社 | プラズマ処理装置ならびにプラズマ処理方法 |
WO2000047404A1 (en) | 1999-02-12 | 2000-08-17 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
WO2000055901A1 (en) | 1999-03-17 | 2000-09-21 | Semiconductor 300 Gmbh & Co. Kg | Method for filling gaps on a semiconductor wafer |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
EP1218796A4 (en) | 1999-07-22 | 2006-08-23 | Corning Inc | EXTREMELY ULTRAVIOLET SOFT X-RAYS LITHOGRAPHIC PROJECTION AND MASKS |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
KR100356473B1 (ko) | 1999-12-29 | 2002-10-18 | 주식회사 하이닉스반도체 | 반도체 소자의 알루미늄 옥사이드 박막 형성 방법 |
JP3437832B2 (ja) | 2000-03-22 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2001274404A (ja) | 2000-03-24 | 2001-10-05 | Toshiba Corp | 薄膜トランジスタおよびその製造方法 |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
JP2002009072A (ja) | 2000-06-23 | 2002-01-11 | Tokyo Electron Ltd | シリコン窒化膜の形成方法及び形成装置 |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US20050230047A1 (en) | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US6482726B1 (en) | 2000-10-17 | 2002-11-19 | Advanced Micro Devices, Inc. | Control trimming of hard mask for sub-100 nanometer transistor gate |
JP2002134497A (ja) | 2000-10-23 | 2002-05-10 | Sony Corp | 半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
JP3437830B2 (ja) | 2000-11-28 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR101027485B1 (ko) | 2001-02-12 | 2011-04-06 | 에이에스엠 아메리카, 인코포레이티드 | 반도체 박막 증착을 위한 개선된 공정 |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP4406178B2 (ja) | 2001-03-28 | 2010-01-27 | 株式会社渡辺商行 | 成膜装置 |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6610169B2 (en) | 2001-04-21 | 2003-08-26 | Simplus Systems Corporation | Semiconductor processing system and method |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
WO2002091461A2 (en) * | 2001-05-04 | 2002-11-14 | Tokyo Electron Limited | Ionized pvd with sequential deposition and etching |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
KR100403630B1 (ko) * | 2001-07-07 | 2003-10-30 | 삼성전자주식회사 | 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법 |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US7138336B2 (en) | 2001-08-06 | 2006-11-21 | Asm Genitech Korea Ltd. | Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
JP2003119564A (ja) | 2001-10-12 | 2003-04-23 | Tokyo Electron Ltd | 成膜方法及びプラズマcvd装置 |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
JP2003197615A (ja) * | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
WO2003076678A2 (en) | 2002-03-08 | 2003-09-18 | Sundew Technologies, Llc | Ald method and apparatus |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US6682603B2 (en) * | 2002-05-07 | 2004-01-27 | Applied Materials Inc. | Substrate support with extended radio frequency electrode upper surface |
US6777308B2 (en) | 2002-05-17 | 2004-08-17 | Micron Technology, Inc. | Method of improving HDP fill process |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US7115228B2 (en) | 2002-05-24 | 2006-10-03 | Baxter International Inc. | One-piece tip protector and organizer |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
AU2003279751A1 (en) | 2002-10-03 | 2004-04-23 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
CN101572232B (zh) | 2002-12-20 | 2011-12-21 | 应用材料有限公司 | 形成高质量的低温氮化硅层的方法 |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
US7288292B2 (en) | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
CN1777697B (zh) | 2003-04-23 | 2011-06-22 | 集勒思公司 | 瞬时增强原子层沉积 |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6949442B2 (en) | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US6765303B1 (en) | 2003-05-06 | 2004-07-20 | Advanced Micro Devices, Inc. | FinFET-based SRAM cell |
KR100541195B1 (ko) * | 2003-05-09 | 2006-01-11 | 주식회사 아이피에스 | 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치 |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7205240B2 (en) * | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7264849B2 (en) | 2003-07-11 | 2007-09-04 | Optisolar, Inc. | Roll-vortex plasma chemical vapor deposition method |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
KR100500472B1 (ko) | 2003-10-13 | 2005-07-12 | 삼성전자주식회사 | 리세스 게이트 트랜지스터 구조 및 형성방법 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
KR100545697B1 (ko) | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리 방법 |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
US7088003B2 (en) | 2004-02-19 | 2006-08-08 | International Business Machines Corporation | Structures and methods for integration of ultralow-k dielectrics with improved reliability |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
KR100538096B1 (ko) | 2004-03-16 | 2005-12-21 | 삼성전자주식회사 | 원자층 증착 방법을 이용하는 커패시터 형성 방법 |
JP2005310927A (ja) | 2004-04-20 | 2005-11-04 | Toshiba Corp | 紫外線照射による高品質シリコン窒化膜の成膜方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US20070066038A1 (en) * | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7488690B2 (en) | 2004-07-06 | 2009-02-10 | Applied Materials, Inc. | Silicon nitride film with stress control |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
KR101170861B1 (ko) | 2004-09-01 | 2012-08-03 | 액셀리스 테크놀로지스, 인크. | 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
JP2006135029A (ja) | 2004-11-04 | 2006-05-25 | Sharp Corp | ドライエッチング装置 |
US20060105106A1 (en) | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
JP4701691B2 (ja) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
US20060183055A1 (en) | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
CN100554506C (zh) | 2005-03-09 | 2009-10-28 | 东京毅力科创株式会社 | 半导体处理用的成膜方法及装置 |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
JP4228150B2 (ja) | 2005-03-23 | 2009-02-25 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
JP4642528B2 (ja) * | 2005-03-31 | 2011-03-02 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4752349B2 (ja) | 2005-06-23 | 2011-08-17 | 大日本印刷株式会社 | パターン形成体およびその製造方法 |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
KR100734748B1 (ko) | 2005-09-08 | 2007-07-03 | 주식회사 아이피에스 | 인시튜 질화물(in-situ nitride) 박막증착방법 |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070068795A1 (en) | 2005-09-26 | 2007-03-29 | Jozef Brcka | Hollow body plasma uniformity adjustment device and method |
US7524743B2 (en) | 2005-10-13 | 2009-04-28 | Varian Semiconductor Equipment Associates, Inc. | Conformal doping apparatus and method |
US8034727B2 (en) | 2005-10-14 | 2011-10-11 | Nec Corporation | Method and apparatus for manufacturing semiconductor devices |
TWI331770B (en) | 2005-11-04 | 2010-10-11 | Applied Materials Inc | Apparatus for plasma-enhanced atomic layer deposition |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7829159B2 (en) | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
JP2007180362A (ja) | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
TWI424498B (zh) | 2006-03-31 | 2014-01-21 | Applied Materials Inc | 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007287890A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置 |
JP2007287889A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法 |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7727413B2 (en) * | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7431859B2 (en) * | 2006-04-28 | 2008-10-07 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
WO2007145513A1 (en) | 2006-06-16 | 2007-12-21 | Fujifilm Manufacturing Europe B.V. | Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR101057877B1 (ko) | 2006-09-19 | 2011-08-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 세정 방법 및 플라즈마 cvd 방법 |
JP5258229B2 (ja) | 2006-09-28 | 2013-08-07 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
KR101427142B1 (ko) | 2006-10-05 | 2014-08-07 | 에이에스엠 아메리카, 인코포레이티드 | 금속 규산염 막의 원자층 증착 |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
KR100816759B1 (ko) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법 |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20080179011A1 (en) * | 2007-01-30 | 2008-07-31 | Collins Kenneth S | Plasma reactor with wide process window employing plural vhf sources |
DE112008000368T5 (de) | 2007-02-12 | 2009-12-24 | Lotus Applied Technology, LLC, Beaverton | Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
KR100805018B1 (ko) | 2007-03-23 | 2008-02-20 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
JP2008294260A (ja) | 2007-05-25 | 2008-12-04 | Sony Corp | 半導体装置とその製造方法並びに積層絶縁膜とその形成方法 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8262920B2 (en) * | 2007-06-18 | 2012-09-11 | Lam Research Corporation | Minimization of mask undercut on deep silicon etch |
KR100956210B1 (ko) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US8197636B2 (en) * | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
US20090015268A1 (en) * | 2007-07-13 | 2009-01-15 | Gardner Delrae H | Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
JP5098882B2 (ja) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
EP2193541A1 (en) | 2007-09-18 | 2010-06-09 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR101221598B1 (ko) | 2007-12-18 | 2013-01-14 | 삼성전자주식회사 | 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법. |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
KR20090067576A (ko) | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법 |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
TWI420722B (zh) | 2008-01-30 | 2013-12-21 | Osram Opto Semiconductors Gmbh | 具有封裝單元之裝置 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (es) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar. |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8357617B2 (en) | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
US20100051578A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US8303780B2 (en) | 2008-09-30 | 2012-11-06 | Tdk Corporation | Method of forming mask for dry etching and manufacturing method of magnetic head using the same method |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
WO2010062582A2 (en) | 2008-10-27 | 2010-06-03 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US8580993B2 (en) | 2008-11-12 | 2013-11-12 | Air Products And Chemicals, Inc. | Amino vinylsilane precursors for stressed SiN films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
CN101736326B (zh) * | 2008-11-26 | 2011-08-10 | 中微半导体设备(上海)有限公司 | 电容耦合型等离子体处理反应器 |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
GB0823565D0 (en) | 2008-12-24 | 2009-01-28 | Oxford Instr Plasma Technology | Signal generating system |
JP5293168B2 (ja) | 2008-12-25 | 2013-09-18 | 富士通株式会社 | レジスト組成物及びそれを用いた半導体装置の製造方法 |
JP2010183069A (ja) | 2009-01-07 | 2010-08-19 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
US8142862B2 (en) | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP2010177652A (ja) | 2009-02-02 | 2010-08-12 | Toshiba Corp | 半導体装置の製造方法 |
JP5298938B2 (ja) | 2009-02-24 | 2013-09-25 | 住友電気工業株式会社 | 半導体素子の製造方法 |
JP4792097B2 (ja) | 2009-03-25 | 2011-10-12 | 株式会社東芝 | 不揮発性記憶装置及びその製造方法 |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
JP2010251654A (ja) | 2009-04-20 | 2010-11-04 | Elpida Memory Inc | 成膜方法および半導体装置の製造方法 |
KR101114283B1 (ko) * | 2009-04-24 | 2012-03-05 | (주)제이하라 | 플라즈마 발생장치 |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
JP2011023576A (ja) | 2009-07-16 | 2011-02-03 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2011023655A (ja) | 2009-07-17 | 2011-02-03 | Shimadzu Corp | 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置 |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
KR101680899B1 (ko) | 2009-09-02 | 2016-11-29 | 소니 주식회사 | 고체 촬상 장치 및 그 제조 방법 |
KR101732187B1 (ko) | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법 |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8076241B2 (en) | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
WO2011058947A1 (ja) | 2009-11-11 | 2011-05-19 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
US8691675B2 (en) | 2009-11-25 | 2014-04-08 | International Business Machines Corporation | Vapor phase deposition processes for doping silicon |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US8662053B2 (en) | 2009-12-22 | 2014-03-04 | Cummins Inc. | Pre-combustion device for an internal combustion engine |
CN102652186A (zh) | 2009-12-22 | 2012-08-29 | 应用材料公司 | 利用持续的等离子体的pecvd多重步骤处理 |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
JP2011166106A (ja) | 2010-01-13 | 2011-08-25 | Renesas Electronics Corp | 半導体装置の製造方法及び半導体装置 |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (ja) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜装置の使用方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US20130078376A1 (en) | 2010-04-01 | 2013-03-28 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
ES2758556T3 (es) | 2010-05-21 | 2020-05-05 | Asm Int Nv | Celda solar y método de fabricación de la misma |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (ko) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법 |
KR20130062980A (ko) | 2010-07-22 | 2013-06-13 | 시너스 테크놀리지, 인코포레이티드 | 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US20120064682A1 (en) | 2010-09-14 | 2012-03-15 | Jang Kyung-Tae | Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
KR101815527B1 (ko) | 2010-10-07 | 2018-01-05 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
CN103228983A (zh) | 2010-11-10 | 2013-07-31 | 纳米系统公司 | 量子点薄膜、照明器件及照明方法 |
US9719169B2 (en) * | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012160671A (ja) | 2011-02-02 | 2012-08-23 | Toshiba Corp | 磁気ランダムアクセスメモリ及びその製造方法 |
JP5661523B2 (ja) | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US20120258261A1 (en) * | 2011-04-11 | 2012-10-11 | Novellus Systems, Inc. | Increasing etch selectivity of carbon films with lower absorption co-efficient and stress |
WO2012148439A1 (en) | 2011-04-25 | 2012-11-01 | William Marsh Rice University | Direct growth of graphene films on non-catalyst surfaces |
US9006802B2 (en) | 2011-08-18 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device manufacturing methods and methods of forming insulating material layers |
JP5551129B2 (ja) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | 記憶装置 |
JP2013058521A (ja) | 2011-09-07 | 2013-03-28 | Toshiba Corp | 記憶装置及びその製造方法 |
WO2013039881A2 (en) | 2011-09-13 | 2013-03-21 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
CN107342216B (zh) | 2011-09-23 | 2022-05-31 | 诺发系统公司 | 等离子体活化保形电介质膜沉积 |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
JP6043546B2 (ja) | 2011-10-21 | 2016-12-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
WO2013065806A1 (ja) | 2011-11-02 | 2013-05-10 | 宇部興産株式会社 | トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法 |
US9318431B2 (en) | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
CN104126220B (zh) | 2011-12-20 | 2017-06-20 | 英特尔公司 | 保形低温密闭性电介质扩散屏障 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
JP5843318B2 (ja) | 2012-02-14 | 2016-01-13 | 株式会社Adeka | Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法 |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US9390893B2 (en) * | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
JP5547763B2 (ja) | 2012-03-16 | 2014-07-16 | 三井造船株式会社 | プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置 |
JP6125247B2 (ja) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8952765B2 (en) | 2012-03-23 | 2015-02-10 | Mks Instruments, Inc. | System and methods of bimodal automatic power and frequency tuning of RF generators |
SG195494A1 (en) * | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US20140049162A1 (en) * | 2012-08-15 | 2014-02-20 | George Thomas | Defect reduction in plasma processing |
US8795774B2 (en) | 2012-09-23 | 2014-08-05 | Rohm And Haas Electronic Materials Llc | Hardmask |
KR102207992B1 (ko) | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
SG2013083241A (en) * | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9362133B2 (en) * | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9304396B2 (en) * | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
JP6494940B2 (ja) * | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
CN104347421A (zh) | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(北京)有限公司 | 鳍式场效应管的形成方法 |
KR102081195B1 (ko) | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9564361B2 (en) | 2013-09-13 | 2017-02-07 | Qualcomm Incorporated | Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9368348B2 (en) | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
WO2015057930A1 (en) | 2013-10-17 | 2015-04-23 | Nanosys, Inc. | Light emitting diode (led) devices |
KR20210020175A (ko) | 2013-11-13 | 2021-02-23 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI480415B (zh) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | 多模式薄膜沉積設備以及薄膜沉積方法 |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
WO2015094596A1 (en) * | 2013-12-17 | 2015-06-25 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
JP6320248B2 (ja) * | 2014-03-04 | 2018-05-09 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
CN103928396A (zh) * | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | 扩大沟槽开口的方法 |
US9305837B2 (en) | 2014-04-10 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and formation thereof |
US9543375B2 (en) | 2014-06-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | MIM/RRAM structure with improved capacitance and reduced leakage current |
KR102421846B1 (ko) * | 2014-08-22 | 2022-07-15 | 램 리써치 코포레이션 | 일 상태 동안 서브-펄싱 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
CN105719954B (zh) * | 2014-12-04 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9496169B2 (en) | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US9595424B2 (en) * | 2015-03-02 | 2017-03-14 | Lam Research Corporation | Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes |
US10043690B2 (en) * | 2015-03-31 | 2018-08-07 | Lam Research Corporation | Fault detection using showerhead voltage variation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9406693B1 (en) | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
US9502428B1 (en) | 2015-04-29 | 2016-11-22 | Sandisk Technologies Llc | Sidewall assisted process for wide and narrow line formation |
US9859088B2 (en) * | 2015-04-30 | 2018-01-02 | Lam Research Corporation | Inter-electrode gap variation methods for compensating deposition non-uniformity |
US9299830B1 (en) | 2015-05-07 | 2016-03-29 | Texas Instruments Incorporated | Multiple shielding trench gate fet |
US20160329206A1 (en) | 2015-05-08 | 2016-11-10 | Lam Research Corporation | Methods of modulating residual stress in thin films |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN106373880B (zh) | 2015-07-22 | 2021-05-25 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
KR102250656B1 (ko) | 2015-10-08 | 2021-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9627221B1 (en) * | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
-
2016
- 2016-06-30 US US15/199,608 patent/US9773643B1/en active Active
-
2017
- 2017-06-19 KR KR1020170077085A patent/KR102399577B1/ko active IP Right Grant
- 2017-06-23 JP JP2017122828A patent/JP7027050B2/ja active Active
- 2017-06-26 TW TW110129265A patent/TWI811757B/zh active
- 2017-06-26 TW TW106121191A patent/TWI738805B/zh active
- 2017-06-30 CN CN202010046740.4A patent/CN111243931B/zh active Active
- 2017-06-30 CN CN201710522311.8A patent/CN107564790B/zh active Active
- 2017-08-22 US US15/683,397 patent/US10373806B2/en active Active
-
2019
- 2019-06-26 US US16/453,237 patent/US10957514B2/en active Active
-
2021
- 2021-11-15 JP JP2021185396A patent/JP7410106B2/ja active Active
-
2022
- 2022-05-13 KR KR1020220059033A patent/KR102580991B1/ko active IP Right Grant
-
2023
- 2023-12-21 JP JP2023215357A patent/JP2024029060A/ja active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201621974A (zh) * | 2014-09-17 | 2016-06-16 | 東京威力科創股份有限公司 | 電漿處理裝置 |
Also Published As
Publication number | Publication date |
---|---|
CN111243931A (zh) | 2020-06-05 |
JP2022028796A (ja) | 2022-02-16 |
KR102399577B1 (ko) | 2022-05-17 |
KR20220069897A (ko) | 2022-05-27 |
JP2024029060A (ja) | 2024-03-05 |
TWI811757B (zh) | 2023-08-11 |
JP2018011050A (ja) | 2018-01-18 |
CN111243931B (zh) | 2023-04-11 |
KR20180003435A (ko) | 2018-01-09 |
JP7410106B2 (ja) | 2024-01-09 |
US20180005801A1 (en) | 2018-01-04 |
CN107564790B (zh) | 2020-02-18 |
CN107564790A (zh) | 2018-01-09 |
US9773643B1 (en) | 2017-09-26 |
US10373806B2 (en) | 2019-08-06 |
US10957514B2 (en) | 2021-03-23 |
TW201809344A (zh) | 2018-03-16 |
US20190385820A1 (en) | 2019-12-19 |
KR102580991B1 (ko) | 2023-09-20 |
TW202143290A (zh) | 2021-11-16 |
JP7027050B2 (ja) | 2022-03-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI738805B (zh) | 間隙填充中之沉積與蝕刻用設備及方法 | |
KR102662595B1 (ko) | 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제 | |
KR102418494B1 (ko) | 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기 | |
US10804144B2 (en) | Deposition of aluminum oxide etch stop layers | |
TWI840327B (zh) | 射頻匹配電路控制系統及阻抗匹配的方法 | |
TWI760349B (zh) | 多站中之晶圓彎曲的控制 | |
KR102704250B1 (ko) | 초고 선택성 나이트라이드 에칭을 위한 시스템들 및 방법들 | |
TWI723124B (zh) | 硬遮罩之自我限制平坦化加工 | |
KR20160038783A (ko) | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 | |
TWI747899B (zh) | 使用感應耦合高密度電漿之介電膜的緻密化 | |
US20240258073A1 (en) | Impedance transformation in radio-frequency-assisted plasma generation | |
US12057295B2 (en) | RF power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations |