[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

KR100648252B1 - 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 - Google Patents

텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 Download PDF

Info

Publication number
KR100648252B1
KR100648252B1 KR1020040095863A KR20040095863A KR100648252B1 KR 100648252 B1 KR100648252 B1 KR 100648252B1 KR 1020040095863 A KR1020040095863 A KR 1020040095863A KR 20040095863 A KR20040095863 A KR 20040095863A KR 100648252 B1 KR100648252 B1 KR 100648252B1
Authority
KR
South Korea
Prior art keywords
tungsten film
forming
tungsten
film
gas
Prior art date
Application number
KR1020040095863A
Other languages
English (en)
Other versions
KR20060056688A (ko
Inventor
신윤철
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040095863A priority Critical patent/KR100648252B1/ko
Priority to US11/282,594 priority patent/US7390743B2/en
Publication of KR20060056688A publication Critical patent/KR20060056688A/ko
Application granted granted Critical
Publication of KR100648252B1 publication Critical patent/KR100648252B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

우수한 스텝 커버리지를 가지며, 쓰루풋을 향상시킬 수 있는 동시에 매끄러운 상부면을 갖는 텅스텐막을 형성하는 방법 및 이를 이용하는 반도체 소자의 형성 방법을 제공한다. 상기 방법에 따르면 제 1 텅스텐막을 원자박막증착(Atomic layer deposition, ALD) 방법으로 형성한다. 상기 제 1 텅스텐막 상에 제 2 텅스텐막을 화학기상증착(Chemcial vapor deposition, CVD) 방법으로 형성한다. 그리고, 상기 제 2 텅스텐막 상에 제 3 텅스텐막을 원자박막증착 방법으로 형성한다.
Figure 112004054474912-pat00001
텅스텐

Description

텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성 방법{Method of forming a tungsten layer and method of forming a semicondcutor device using the same}
도 1은 화학 기상 증착 방법으로 형성된 텅스텐 막의 단면을 나타내는 사진이다.
도 2는 본 발명의 바람직한 실시예에 따른 원자박막증착 방법으로 텅스텐막을 형성하기위한 가스 공급 순서를 나타낸다.
도 3 내지 도 6은 본 발명의 일 실시예에 따라 텅스텐막을 포함하는 반도체 소자를 형성하는 방법을 순차적으로 나타낸다.
도 7 내지 도 10은 본 발명의 다른 실시예에 따라 텅스텐막을 포함하는 반도체 소자를 형성하는 방법을 순차적으로 나타낸다.
도 11은 본 발명의 실시예에 따라 텅스텐막을 형성하는 반도체 장비를 나타낸다.
본 발명은 반도체 제조 방법에 관한 것으로, 더욱 상세하게는 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성 방법에 관한 것이다.
반도체 소자에 있어서, 플러그 및 배선등은 저항이 작은 알루미늄, 구리 및 텅스텐등과 같은 금속으로 형성된다. 상기 금속들 중에 텅스텐은 우수한 스텝 커버리지 특성을 가지므로 반도체 소자의 고집적화에 따라 점점 사용 빈도가 높아지고 있다. 또한 텅스텐은 융점이 3400℃ 이상으로 매우 높아 내열성이 좋으며 전자이동(Electromigration)에 대한 저항이 매우 큰 장점을 갖는다.
반도체 소자에 서 텅스텐막을 형성하는 방법은 화학기상증착(Chemical vapor deposition, CVD) 방법 또는 원자박막증착(Atomic layer deposition, ALD) 방법등이 있다. 배선 또는 콘택 플러그를 위한 텅스텐막을 형성할 때, 원자박막 증착 방법만을 진행하기에는 공정 시간이 많이 소모되므로, 쓰루풋(throughput)이 작다. 따라서, 단시간에 진행될 수 있는 화학 기상증착 방법이 선호된다. 그러나, 화학기상증착 방법은 원자박막증착 방법에 비해 스텝커버리지가 나쁘다. 따라서, 종래에는 텅스텐막을 형성할 때, 먼저 핵 생성 단계로써 원자박막증착 방법으로 텅스텐 박막을 형성하고, 화학기상증착 방법으로 벌크의 텅스텐막을 원하는 두께로 형성한다. 그러나, 이와 같이 형성된 텅스텐막의 상부면은 도 1에서 보여지듯이 매우 울퉁불퉁하고 불균일하다. 이와 같이, 울퉁불퉁하고 불균일한 상부면을 가지는 텅스텐막을 형성한 후에, 후속 공정을 진행하면, 파티클등이 상기 울퉁불퉁한 상부면의 함몰부에 잔존할 수 있고 여러 문제를 유발할 수 있다. 또한 후속으로 상기 텅스텐막 상에 다른 배선 또는 도전 플러그등을 형성할 경우, 상기 상부면에서 보이드등이 생성될 수 있어 접합 불량 및 계면 저항 상승등의 여러 문제를 유발할 수 있다. 상기 울퉁불퉁한 상부면에 의해 유발되는 문제들은 반도체 소자가 고집적화됨에 따라 더욱 심각해질 수 있다.
따라서, 상기 문제점을 해결하기 위하여, 본 발명의 기술적 과제는 우수한 스텝 커버리지를 가지며, 쓰루풋을 향상시킬 수 있는 동시에 매끄러운 상부면을 갖는 텅스텐막을 형성하는 방법 및 이를 이용하는 반도체 소자의 형성 방법을 제공하는데 있다.
상기 기술적 과제를 달성하기 위한 본 발명에 따른 텅스텐막의 형성 방법은 다음과 같다. 먼저, 제 1 텅스텐막을 원자박막증착(Atomic layer deposition, ALD) 방법으로 형성한다. 상기 제 1 텅스텐막 상에 제 2 텅스텐막을 화학기상증착(Chemcial vapor deposition, CVD) 방법으로 형성한다. 그리고, 상기 제 2 텅스텐막 상에 제 3 텅스텐막을 원자박막증착 방법으로 형성한다.
상기 방법에 있어서, 상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계는, 환원 가스를 공급하는 단계; 퍼지가스를 공급하여 퍼지하는 단계; 텅스텐 소스 가스를 공급하는 단계; 및 퍼지 가스를 공급하여 퍼지하는 단계를 주기적으로 반복하여 진행될 수 있다. 상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계는 바람직하게는 300~350℃의 온도에서 진행된다. 상기 환원 가스는 모노실란(SiH4), 디실란(Si2H6), 사플르오르화규소(SiF 4), 디클로로실란(SiCl2H2)를 포함하는 그룹에서 선택되는 적어도 하나의 가스일 수 있으며, 바람직하게는 모노실란이다. 상기 텅스텐 소스 가스는 육불화텅스텐(WF6) 및 카르보닐화텅스텐(W(CO)6)을 포함하는 그룹에서 선택되는 적어도 하나의 가스일 수 있으며, 바람직하게는 육불화텅스텐이다. 상기 퍼지가스는 질소(N2), 아르곤(Ar) 및 헬륨(He)을 포함하는 그룹에서 선택되는 적어도 하나의 가스일 수 있다.
상기 방법에 있어서, 상기 제 2 텅스텐막을 형성하는 단계는 바람직하게는 수소와 디보란(B2H6) 중에 선택되는 적어도 하나의 가스와 육불화텅스텐(WF6 ) 가스를 공급하여 370~420℃의 온도에서 진행된다.
상기 방법에 있어서, 상기 제 1 텅스텐막을 형성하는 단계, 상기 제 2 텅스텐막을 형성하는 단계 및 상기 제 3 텅스텐막을 형성하는 단계는 36~44Torr의 압력에서 진행된다.
본 발명의 또 다른 기술적 과제를 달성하기 위한 본 발명에 따른 반도체 소자의 형성 방법은 다음과 같다. 먼저, 반도체 기판 상에 층간절연막을 형성한다. 제 1 텅스텐막을 원자박막증착 방법으로 형성한다. 상기 제 1 텅스텐막 상에 제 2 텅스텐막을 화학기상증착 방법으로 형성한다. 상기 제 2 텅스텐막 상에 제 3 텅스텐막을 원자박막증착 방법으로 형성한다. 상기 제 3 텅스텐막, 상기 제 2 텅스텐막 및 상기 제 1 텅스텐막을 연속적으로 식각하여 상기 층간절연막 상에 텅스텐막 패턴을 형성한다. 여기서 상기 제 1 텅스텐막, 상기 제 2 텅스텐막 및 상기 제 3 텅 스텐막을 형성하는 방법은 상술한 방법과 동일하다.
상기 방법에 있어서, 상기 층간절연막은 상기 반도체 기판을 노출시키는 콘택홀을 구비할 수 있으며, 이 경우 상기 제 1 텅스텐막은 상기 콘택홀의 내부를 콘포말하게 덮도록 형성되며, 상기 제 2 텅스텐막은 상기 콘택홀 내부를 채우도록 형성될 수 있다.
상기 방법에 있어서, 상기 층간절연막을 형성한 후에, 상기 층간절연막을 관통하여 상기 반도체 기판과 접하는 콘택플러그를 형성할 수 있으며, 이 경우 상기 텅스텐막 패턴은 상기 콘택 플러그와 중첩되도록 형성된다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 층이 다른 층 또는 기판 상에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 층이 개재될 수도 있다.
도 2는 본 발명의 바람직한 실시예에 따른 원자박막증착 방법으로 텅스텐막을 형성하기위한 가스 공급 순서를 나타낸다. 도 3 내지 도 6은 본 발명의 일 실시예에 따라 텅스텐막을 포함하는 반도체 소자를 형성하는 방법을 순차적으로 나타낸다.
도 3을 참조하면, 반도체 기판(1)에 소자분리막(미도시)을 형성하여 활성 영 역을 정의한다. 상기 반도체 기판(1) 상에 게이트 산화막(3), 게이트 전극 및 캐핑막 패턴(7)을 구비하는 게이트 패턴을 형성한다. 상기 게이트 패턴을 이온주입 마스크로 이용하여 상기 게이트 패턴의 양측의 상기 반도체 기판(1)의 활성영역에 LDD 영역(미도시)을 형성한다. 상기 게이트 패턴의 측벽을 덮는 스페이서(11)를 형성하고 상기 게이트 패턴 및 상기 스페이서(11)을 이온주입 마스크로 이용하여 이온주입 공정을 진행하여 상기 활성 영역에 소오스/드레인 영역(9)을 형성한다. 상기 반도체 기판(1) 상에 층간절연막(13)을 형성한다. 상기 층간절연막(13)은 산화막 계열의 물질로 형성될 수 있다. 상기 층간절연막(13)을 패터닝하여 상기 소오스/드레인 영역(9)을 노출시키는 콘택홀을 형성한다. 도전막을 적층하여 상기 콘택홀을 채우고 평탄화하여 상기 콘택홀 안에 콘택플러그(15)를 형성한다.
도 2 및 도 3을 참조하면, 상기 콘택플러그(15)가 형성된 상기 웨이퍼를 반응기 안에 로딩한 후, 도 2에 개시된 가스 공급 순서에 의해 원자박막증착 공정을 진행하여 상기 결과물 상에 제 1 텅스텐막(17)을 형성한다. 상기 제 1 텅스텐막(17)을 형성하는 방법은 다음과 같다. 상기 웨이퍼를 상기 반응기에 로딩한 후 상기 웨이퍼를 공정온도까지 가열시킨다. 예를 들면 상기 공정 온도는 325℃이다. 공정 압력은 40Torr로 일정하다. 그리고 모노실란 가스를 공급하여(S10) 상기 웨이퍼 표면에 텅스텐의 핵 성장 사이트로 작용하는 실리콘을 흡착시킨다. 아르곤 가스를 공급하여, 반응하고 남은 모노 실란 가스를 퍼지한다(S30). 퍼지 단계가 끝나면 텅스텐 소스 가스인 육불화텅스텐 가스를 공급하여(S20) 상기 흡착된 실리콘은 상기 육불화텅스텐의 텅스텐으로 치환되고 나머지 불소는 상기 실리콘과 결합하여 사불 화규소(SiF4)의 가스 상태가 된다. 이로써 텅스텐 1 원자층이 형성된다. 그리고 다시 아르곤 가스를 공급하여 반응하고 남은 사불화규소(SiF4)와 육불화텅스텐 가스를 퍼지한다(S30). 이를 1 주기(cycle)로 하여 수회 반복하여 원하는 두께를 갖도록 상기 제 1 텅스텐막(17)을 형성한다.
도 4를 참조하면, 상기 제 1 텅스텐막(17) 상에 제 2 텅스텐막(19)을 형성한다. 상기 제 2 텅스텐막(19)은 예를 들면 395℃의 온도에서 수소와 육불화텅스텐 가스를 공급하여 화학기상증착 방법으로 형성한다. 상기 수소는 육불화텅스텐의 불소와 결합하여 HF가 되어 증발하고 남은 텅스텐만 증착하게 된다. 상기 화학기상증착 방법에 의해 상기 제 2 텅스텐막(19)은 상기 제 1 텅스텐막(17)보다 두껍게 형성하더라도 보다 빠르게 형성할 수 있어 쓰루풋을 향상시킬 수 있다. 그러나 상기 제 2 텅스텐막의 상부면은 도 1에서와 같이 불균일하고 울퉁불퉁하다.
도 5 및 도 2를 참조하면, 상기 제 2 텅스텐막(19) 상에 제 3 텅스텐막(21)을 원자박막증착 방법으로 형성한다. 상기 제 3 텅스텐막(21)을 형성하는 방법은 상기 제 1 텅스텐막을 형성하는 방법과 동일하게 진행된다. 즉, 모노실란(SiH4)을 공급하는 단계, 아르곤 가스(Ar)로 퍼지하는 단계, 육불화텅스텐(WF6)을 공급하는 단계 및 아르곤(Ar) 가스로 퍼지하는 단계를 1주기로 수회 반복하여 원하는 두께로 진행한다. 상기 제 3 텅스텐막(21)은 상기 원자박막증착방법에 의해 매끄럽고 조밀한 텅스텐 원자층들로 형성된다.
도 6을 참조하면, 상기 제 3 텅스텐막(21), 상기 제 2 텅스텐막(19) 및 상기 제 1 텅스텐막(17)을 연속적으로 식각하여 원하는 형태를 갖는 텅스텐막 패턴(23)을 형성한다. 상기 패턴(23)은 예를 들면 디램 소자의 비트라인등의 배선 또는 패드일 수 있다. 도시하지는 않았지만, 후속으로 층간절연막을 적층하고 패터닝하여 상기 패턴(23)을 노출시키는 비아홀을 형성하고 도전물질로 채워 플러그를 형성한다. 이때 상기 패턴(23)의 최상부층인 상기 제 3 텅스텐막(21)의 상부면이 조밀하고 균일하며 매끄럽기 때문에 공정 불량이 방지될 수 있다.
도 7 내지 도 10은 본 발명의 다른 실시예에 따라 텅스텐막을 포함하는 반도체 소자를 형성하는 방법을 순차적으로 나타낸다.
도 7 및 2를 참조하면, 도 3처럼 반도체 기판(1)에 게이트 패턴들 및 소오스/드레인 영역(9)을 형성한 후에 층간절연막(13)을 적층한다. 상기 층간절연막(13)을 패터닝하여 상기 소오스/드레인 영역(9)을 노출시키는 콘택홀(14)을 형성한다. 도시하지는 않았지만, 상기 반도체 기판의 전면에 베리어막(미도시)을 예를 들면 티타늄(Ti), 탄탈륨(Ta), 티타늄질화막(TiN) 및 탄탈륨질화막(TaN)을 포함하는 그룹에서 선택되는 적어도 하나의 막으로 형성할 수 있다. 그리고, 제 1 텅스텐막(17)을 원자박막증착 방법으로 콘포말하게 형성한다. 상기 원자박막증착 방법은 도 3 및 2에서와 같이, 모노실란(SiH4)을 공급하는 단계(S10), 아르곤 가스(Ar)로 퍼지하는 단계(S30), 육불화텅스텐(WF6) 가스를 공급하는 단계(S20) 및 아르곤(Ar) 가스로 퍼지하는 단계(S30)를 1 주기로 반복하여 진행될 수 있다. 상기 원자박막증착 방법은 스텝커버리지가 매우 좋기 때문에 상기 제 1 텅스텐막(17)은 상기 콘택홀 (14)내부에서도 매우 균일하고 매끄럽게 연속적으로 형성될 수 있다.
도 8을 참조하면, 상기 제 2 텅스텐막(19)을 화학기상증착 방법으로 수소와 육불화텅스텐(WF6)을 공급하여 형성하여 상기 콘택홀(14)을 채운다. 상기 제 1 텅스텐막(17) 상에 상기 제 2 텅스텐막(19)을 화학기상증착 방법으로 형성하면, 상기 제 1 텅스텐막 없이 제 2 텅스텐막만을 형성할 때보다, 스텝 커버리지 특성이 향상된다. 따라서 상기 제 2 텅스텐막(19)은 보이드 없이 상기 콘택홀(14)을 채우도록 형성될 수 있다.
도 9를 참조하면, 상기 제 2 텅스텐막(19) 상에 제 3 텅스텐막(21)을 형성한다. 상기 제 3 텅스텐막(21)은 상기 제 1 텅스텐막(17)과 동일한 원자박막증착 방법으로 형성될 수 있다. 상기 제 3 텅스텐막(21)의 상부면은 매끄럽고 조밀하게 형성된다.
도 10을 참조하면, 상기 제 3 텅스텐막(21), 상기 제 2 텅스텐막(19) 및 상기 제 1 텅스텐막(17)을 연속적으로 한번의 동일한 식각 공정에서 식각하여 원하는 형태의 텅스텐막 패턴(23)을 형성한다. 본 실시예에서 상기 텅스텐막 패턴(23)은 콘택 플러그와 패드/배선이 연결된 형태를 갖는다.
도 11은 본 발명의 실시예에 따라 텅스텐막을 형성하는 반도체 장비를 나타낸다. 도 11을 참조하면, 본 발명의 실시예에 따라 텅스텐막을 형성하는 장비(200)는 트랜스퍼 챔버(transfer chamber, 210), 로드락 챔버(load lock chamber, 220), 제 1 공정 챔버(230), 제 2 공정 챔버(240) 및 제 3 공정 챔버(250)을 구비한다. 상기 제 1 공정 챔버(230) 및 상기 제 3 공정 챔버(250)은 원자박막증착 챔버이고 바람직하게는 모노 실란 공급관(231), 육불화텅스텐 공급관(233) 및 아르곤 공급관(235)을 구비한다. 상기 제 2 공정 챔버(240)는 화학기상증착 챔버이고 육불화텅스텐 공급관(233)과 수소 공급관(241)을 구비한다.
도 11의 장비를 이용하여 텅스텐막을 형성하는 과정은 다음과 같다. 먼저, 텅스텐막의 형성이 필요한 웨이퍼를 상기 로드락 챔버(220)로 로딩한다. 로딩된 웨이퍼를 상기 트랜스퍼 챔버(210)안의 로봇암이 상기 제 1 공정 챔버(230)로 이송한다. 상기 제 1 공정챔버 안에서 상술한 원자박막증착 공정으로 제 1 텅스텐막이 형성된다. 공정이 완료된 후, 상기 로봇암은 상기 웨이퍼를 상기 제 2 공정 챔버(240)로 이송한다. 상기 제 2 공정 챔버(240)에서 상술한 화학기상증착 공정으로 제 2 텅스텐막이 형성된다. 공정이 완료된 후, 상기 로봇암은 상기 웨이퍼를 상기 제 3 공정챔버(250)으로 이송한다. 그리고 상기 제 3 공정 챔버(250)에서 상술한 원자박막증착 공정을 진행하여 제 3 텅스텐막을 형성한다. 모든 공정이 완료된 웨이퍼는 상기 로드락 챔버(220)를 통해 밖으로 이송된다.
따라서, 본 발명에 따른 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성 방법에 의하면, 스텝 커버리지와 쓰루풋을 향상시키는 동시에 접합 불량 및 계면 저항 상승등의 여러 문제를 방지할 수 있다.

Claims (20)

  1. 제 1 텅스텐막을 원자박막증착(Atomic layer deposition, ALD) 방법으로 형성하는 단계;
    상기 제 1 텅스텐막 상에 제 2 텅스텐막을 화학기상증착(Chemcial vapor deposition, CVD) 방법으로 형성하는 단계; 및
    상기 제 2 텅스텐막 상에 제 3 텅스텐막을 원자박막증착 방법으로 형성하는 단계를 구비하는 텅스텐막 형성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계는,
    환원 가스를 공급하는 단계;
    퍼지가스를 공급하여 퍼지하는 단계;
    텅스텐 소스 가스를 공급하는 단계; 및
    퍼지 가스를 공급하여 퍼지하는 단계를 주기적으로 반복하여 진행되는 것을 특징으로 하는 텅스텐막 형성 방법.
  3. 제 2 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계 는 300~350℃의 온도에서 진행되는 것을 특징으로 하는 텅스텐막 형성 방법.
  4. 제 2 항에 있어서,
    상기 환원 가스는 모노실란(SiH4), 디실란(Si2H6), 사플르오르화규소(SiF 4), 디클로로실란(SiCl2H2)를 포함하는 그룹에서 선택되는 적어도 하나의 가스인 것을 특징으로 하는 텅스텐막 형성 방법.
  5. 제 2 항에 있어서,
    상기 텅스텐 소스 가스는 육불화텅스텐(WF6) 및 카르보닐화텅스텐(W(CO)6)을 포함하는 그룹에서 선택되는 적어도 하나의 가스인 것을 특징으로 하는 텅스텐막 형성 방법.
  6. 제 2 항에 있어서,
    상기 퍼지가스는 질소(N2), 아르곤(Ar) 및 헬륨(He)을 포함하는 그룹에서 선택되는 적어도 하나의 가스인 것을 특징으로 하는 텅스텐막 형성 방법.
  7. 제 1 항에 있어서,
    상기 제 2 텅스텐막을 형성하는 단계는 수소(H2)와 육불화텅스텐(WF6) 가스 를 공급하여 진행되는 것을 특징으로 하는 텅스텐막 형성 방법.
  8. 제 7 항에 있어서,
    상기 제 2 텅스텐막을 형성하는 단계는 370~420℃의 온도에서 진행되는 것을 특징으로 하는 텅스텐막 형성 방법.
  9. 제 1 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계, 상기 제 2 텅스텐막을 형성하는 단계 및 상기 제 3 텅스텐막을 형성하는 단계는 36~44Torr의 압력에서 진행되는 것을 특징으로 하는 텅스텐막 형성 방법.
  10. 반도체 기판 상에 층간절연막을 형성하는 단계;
    제 1 텅스텐막을 원자박막증착 방법으로 형성하는 단계;
    상기 제 1 텅스텐막 상에 제 2 텅스텐막을 화학기상증착 방법으로 형성하는 단계; 및
    상기 제 2 텅스텐막 상에 제 3 텅스텐막을 원자박막증착 방법으로 형성하는 단계; 및
    상기 제 3 텅스텐막, 상기 제 2 텅스텐막 및 상기 제 1 텅스텐막을 연속적으로 식각하여 상기 층간절연막 상에 텅스텐막 패턴을 형성하는 단계를 구비하는 반도체 소자의 형성 방법.
  11. 제 10 항에 있어서,
    상기 층간절연막은 상기 반도체 기판을 노출시키는 콘택홀을 구비하며,
    상기 제 1 텅스텐막은 상기 콘택홀의 내부를 콘포말하게 덮도록 형성되며,
    상기 제 2 텅스텐막은 상기 콘택홀 내부를 채우도록 형성되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  12. 제 10 항에 있어서,
    상기 층간절연막을 형성한 후에,
    상기 층간절연막을 관통하여 상기 반도체 기판과 접하는 콘택플러그를 형성하는 단계를 더 구비하되,
    상기 텅스텐막 패턴은 상기 콘택 플러그와 중첩되도록 형성되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  13. 제 10 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계는,
    환원 가스를 공급하는 단계;
    퍼지가스를 공급하여 퍼지하는 단계;
    텅스텐 소스 가스를 공급하는 단계; 및
    퍼지 가스를 공급하여 퍼지하는 단계를 주기적으로 반복하여 진행되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  14. 제 13 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계와 상기 제 3 텅스텐막을 형성하는 단계는 300~350℃의 온도에서 진행되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  15. 제 13 항에 있어서,
    상기 환원 가스는 모노실란(SiH4), 디실란(Si2H6), 사플르오르화규소(SiF 4), 디클로로실란(SiCl2H2)를 포함하는 그룹에서 선택되는 적어도 하나의 가스인 것을 특징으로 하는 반도체 소자의 형성 방법.
  16. 제 13 항에 있어서,
    상기 텅스텐 소스 가스는 육불화텅스텐(WF6) 및 카르보닐화텅스텐(W(CO)6)을 포함하는 그룹에서 선택되는 적어도 하나의 가스인 것을 특징으로 하는 반도체 소자의 형성 방법.
  17. 제 13 항에 있어서,
    상기 퍼지가스는 질소(N2), 아르곤(Ar) 및 헬륨(He)을 포함하는 그룹에서 선 택되는 적어도 하나의 가스인 것을 특징으로 하는 반도체 소자의 형성 방법.
  18. 제 10 항에 있어서,
    상기 제 2 텅스텐막을 형성하는 단계는 수소(H2)와 육불화텅스텐(WF6) 가스를 공급하여 진행되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  19. 제 18 항에 있어서,
    상기 제 2 텅스텐막을 형성하는 단계는 370~420℃의 온도에서 진행되는 것을 특징으로 하는 반도체 소자의 형성 방법.
  20. 제 10 항에 있어서,
    상기 제 1 텅스텐막을 형성하는 단계, 상기 제 2 텅스텐막을 형성하는 단계 및 상기 제 3 텅스텐막을 형성하는 단계는 36~44Torr의 압력에서 진행되는 것을 특징으로 하는 반도체 소자의 형성 방법.
KR1020040095863A 2004-11-22 2004-11-22 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 KR100648252B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040095863A KR100648252B1 (ko) 2004-11-22 2004-11-22 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US11/282,594 US7390743B2 (en) 2004-11-22 2005-11-21 Methods for forming a structured tungsten layer and forming a semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040095863A KR100648252B1 (ko) 2004-11-22 2004-11-22 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법

Publications (2)

Publication Number Publication Date
KR20060056688A KR20060056688A (ko) 2006-05-25
KR100648252B1 true KR100648252B1 (ko) 2006-11-24

Family

ID=36461465

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040095863A KR100648252B1 (ko) 2004-11-22 2004-11-22 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법

Country Status (2)

Country Link
US (1) US7390743B2 (ko)
KR (1) KR100648252B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373973B2 (en) 2017-09-11 2019-08-06 SK Hynix Inc. Method of manufacturing semiconductor device through by-product removal from conductive layer

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073637A (ja) * 2005-09-05 2007-03-22 Tokyo Electron Ltd 成膜方法および半導体装置の製造方法
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
GB2455991B (en) * 2007-12-28 2010-12-01 Hauzer Techno Coating Bv A method of giving an article a coloured appearance and an article having a coloured appearance
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN114127890A (zh) 2019-05-01 2022-03-01 朗姆研究公司 调整的原子层沉积

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050046065A (ko) * 2003-11-13 2005-05-18 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR20040059939A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 텅스텐 박막 형성방법
US7214620B2 (en) * 2003-10-28 2007-05-08 Samsung Electronics Co., Ltd. Methods of forming silicide films with metal films in semiconductor devices and contacts including the same
US7018883B2 (en) * 2004-05-05 2006-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work function gate electrodes
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7112848B2 (en) * 2004-09-13 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050046065A (ko) * 2003-11-13 2005-05-18 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1020050046065 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373973B2 (en) 2017-09-11 2019-08-06 SK Hynix Inc. Method of manufacturing semiconductor device through by-product removal from conductive layer

Also Published As

Publication number Publication date
KR20060056688A (ko) 2006-05-25
US7390743B2 (en) 2008-06-24
US20060110921A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
KR100648252B1 (ko) 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
KR100583637B1 (ko) 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
KR101275025B1 (ko) 반도체 소자용 배선 구조물 및 이의 형성방법
KR100588887B1 (ko) 질화막 형성 방법, 반도체 장치의 배선 형성 방법
KR100599434B1 (ko) 반도체 소자의 금속배선 형성방법
KR100876976B1 (ko) 반도체 소자의 배선 및 이의 형성 방법
US7709376B2 (en) Method for fabricating semiconductor device and semiconductor device
KR100724568B1 (ko) 반도체 메모리 소자 및 그 제조방법
JP4168397B2 (ja) 高アスペクト比の半導体デバイス用のボロンドープ窒化チタン層
JP4798688B2 (ja) 半導体装置の製造方法
CN111162039A (zh) 金属导电结构及半导体器件的制备方法
US7199019B2 (en) Method for forming tungsten contact plug
KR100806128B1 (ko) 반도체 소자의 배선 구조물 및 이의 형성방법
KR20050022526A (ko) 반도체 소자 및 그 제조 방법
TW202111877A (zh) 半導體裝置之製造方法、半導體裝置及製造系統
US7524761B2 (en) Method for manufacturing semiconductor device capable of reducing parasitic bit line capacitance
KR100951557B1 (ko) TiN 하부 전극을 갖는 반도체 메모리 소자 및 그제조방법
KR100753416B1 (ko) 반도체 소자의 제조방법
KR20060058583A (ko) 도전성 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
KR100440260B1 (ko) 반도체 소자의 비트라인 형성 방법
KR100593125B1 (ko) 반도체 소자의 콘택 플러그 형성 방법
CN110265354B (zh) 钨塞的制备方法
KR100695420B1 (ko) 반도체 소자의 컨택 플러그 형성방법
TW202445707A (zh) 形成低電阻率觸點之方法
KR100784100B1 (ko) 반도체 소자의 콘택 플러그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
PA0109 Patent application

Patent event code: PA01091R01D

Comment text: Patent Application

Patent event date: 20041122

PA0201 Request for examination
E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

Comment text: Notification of reason for refusal

Patent event date: 20060227

Patent event code: PE09021S01D

PG1501 Laying open of application
E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20060912

GRNT Written decision to grant
PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20061114

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20061115

End annual number: 3

Start annual number: 1

PG1601 Publication of registration
PR1001 Payment of annual fee

Payment date: 20091016

Start annual number: 4

End annual number: 4

PR1001 Payment of annual fee

Payment date: 20101029

Start annual number: 5

End annual number: 5

PR1001 Payment of annual fee

Payment date: 20111101

Start annual number: 6

End annual number: 6

FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 7

PR1001 Payment of annual fee

Payment date: 20121031

Start annual number: 7

End annual number: 7

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 8

PR1001 Payment of annual fee

Payment date: 20131031

Start annual number: 8

End annual number: 8

FPAY Annual fee payment

Payment date: 20141031

Year of fee payment: 9

PR1001 Payment of annual fee

Payment date: 20141031

Start annual number: 9

End annual number: 9

FPAY Annual fee payment

Payment date: 20151030

Year of fee payment: 10

PR1001 Payment of annual fee

Payment date: 20151030

Start annual number: 10

End annual number: 10

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 13

PR1001 Payment of annual fee

Payment date: 20181031

Start annual number: 13

End annual number: 13

PR1001 Payment of annual fee

Payment date: 20211027

Start annual number: 16

End annual number: 16