JP7410106B2 - ギャップ充填時の蒸着およびエッチングのための装置および方法 - Google Patents
ギャップ充填時の蒸着およびエッチングのための装置および方法 Download PDFInfo
- Publication number
- JP7410106B2 JP7410106B2 JP2021185396A JP2021185396A JP7410106B2 JP 7410106 B2 JP7410106 B2 JP 7410106B2 JP 2021185396 A JP2021185396 A JP 2021185396A JP 2021185396 A JP2021185396 A JP 2021185396A JP 7410106 B2 JP7410106 B2 JP 7410106B2
- Authority
- JP
- Japan
- Prior art keywords
- pedestal
- deposition
- dielectric layer
- generator
- mode
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 143
- 230000008021 deposition Effects 0.000 title claims description 111
- 238000005530 etching Methods 0.000 title claims description 111
- 238000011049 filling Methods 0.000 title claims description 12
- 238000012545 processing Methods 0.000 claims description 180
- 238000000151 deposition Methods 0.000 claims description 174
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 160
- 230000008569 process Effects 0.000 claims description 97
- 238000005137 deposition process Methods 0.000 claims description 23
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 10
- 235000012239 silicon dioxide Nutrition 0.000 claims description 5
- 239000000377 silicon dioxide Substances 0.000 claims description 5
- 229910052681 coesite Inorganic materials 0.000 claims description 3
- 229910052906 cristobalite Inorganic materials 0.000 claims description 3
- 229910052682 stishovite Inorganic materials 0.000 claims description 3
- 229910052905 tridymite Inorganic materials 0.000 claims description 3
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 2
- 235000012431 wafers Nutrition 0.000 description 91
- 210000002381 plasma Anatomy 0.000 description 84
- 238000000231 atomic layer deposition Methods 0.000 description 36
- 239000007789 gas Substances 0.000 description 30
- 238000010586 diagram Methods 0.000 description 20
- 230000001360 synchronised effect Effects 0.000 description 17
- 239000003990 capacitor Substances 0.000 description 11
- 239000000463 material Substances 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 239000010408 film Substances 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 230000000903 blocking effect Effects 0.000 description 7
- 230000010354 integration Effects 0.000 description 7
- 239000010409 thin film Substances 0.000 description 6
- 238000007740 vapor deposition Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000005429 filling process Methods 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 238000000992 sputter etching Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 238000005019 vapor deposition process Methods 0.000 description 3
- 239000011800 void material Substances 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000000411 inducer Substances 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Plasma Technology (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Formation Of Insulating Films (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
以下の説明では、提示した概念の完全な理解を促すために、数多くの具体的な詳細事項が示されている。提示された概念は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、記載した概念が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。いくつかの概念が、具体的な実施形態との関連で説明されているが、これらの実施形態は限定を意図していないことを理解されたい。
通例、CCPリアクタ内での蒸着が、或るハードウェア構成で実行されてよく、CCPリアクタ内でのエッチングが、異なるハードウェア構成で実行されてよい。具体的には、CCPリアクタ内でのALDが、或るRFハードウェア構成に従って最適化されてよく、CCPリアクタ内でのエッチングが、異なるRFハードウェア構成に従って最適化されてよい。図4Aおよび図4Bは、CCPリアクタ内で蒸着およびエッチングを実行するための異なるRFハードウェア構成を示す。図4Aにおいて、ウエハは、蒸着のために接地電極上に支持され、上側電極に電力供給される。図4Bにおいて、ウエハは、エッチングのために電力供給された電極上に支持され、上側電極が接地される。
或る処理に最適であるが他の処理には最適でないRFハードウェア構成で蒸着およびエッチングを実行するのではなく、そして、蒸着-エッチング-蒸着シーケンスを実施するために或る装置から別の装置へウエハを常に移動させるのではなく、本開示は、蒸着およびエッチングの両方に最適であり、単一の装置に蒸着-エッチング-蒸着シーケンスを統合する統合装置を提供する。統合装置は、リレースイッチ、DOビットスイッチ、集積回路ボード(例えば、スプリッタボード)、RF発生器、同軸ケーブル、スイッチボックス、RFフィルタ、整合ユニットなど、異なるハードウェア構成要素の組みあわせを用いて、蒸着モードおよびエッチングモードの両方に最適なRFハードウェア構成を提供しうる。
図10は、蒸着-エッチング-蒸着ギャップ充填処理をウエハに実行するための処理フローの一例を示すフローチャートである。処理1000内の動作は、異なる順序で実行されてもよい、および/または、異なる、より少ない、または、さらなる動作を備えるように実行されてもよい。上述のシステムコントローラは、以下の動作の1または複数を実行するための命令を備えるよう構成されてよい。
上述の装置/処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで実現される:(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる工程;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
本発明の実施形態および応用例が本明細書に図示および記載されているが、本発明の概念、範囲、および、精神の範囲内にある多くの変更例および変形例が可能であり、これらの変更例は、本願を熟読すれば当業者にとって明らかになるものである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。例えば、以下の適用例として実施可能である。
[適用例1]蒸着処理およびエッチング処理を実行するための統合装置であって、
シャワーヘッドおよびペデスタルを備えた処理チャンバと、
低周波数ラジオ波(LFRF)発生器と、
高周波数ラジオ波(HFRF)発生器と、
前記LFRF発生器および前記HFRF発生器の一方または両方に動作可能に接続された1または複数のスイッチと
を備え、
前記1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モードであって、前記1または複数のスイッチは、少なくとも前記HFRF発生器を前記シャワーヘッドに接続する蒸着モードと、(2)エッチング処理を実行するためのエッチングモードであって、前記1または複数のスイッチは、前記HFRF発生器および前記LFRF発生器を前記ペデスタルに接続して、前記シャワーヘッドを接地するエッチングモードとの間で切り替えを行うよう構成されている装置。
[適用例2]適用例1に記載の装置であって、前記処理チャンバは、容量結合プラズマ(CCP)リアクタであり、前記シャワーヘッドは上側電極を備え、前記ペデスタルは下側電極を備える装置。
[適用例3]適用例1に記載の装置であって、前記蒸着モードの前記1または複数のスイッチは、前記HFRF発生器および前記LFRF発生器を前記シャワーヘッドに接続して、前記ペデスタルを接地する装置。
[適用例4]適用例1に記載の装置であって、前記1または複数のスイッチは、
前記蒸着モードで前記LFRF発生器および前記HFRF発生器を前記シャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、
前記エッチングモードで前記LFRF発生器および前記HFRF発生器を前記ペデスタルに電気接続するよう構成された第2ステーションリレースイッチと
を備える装置。
[適用例5]適用例4に記載の装置であって、前記第1ステーションリレースイッチは、前記LFRF発生器および前記HFRF発生器を前記シャワーヘッドに電気接続するための第1位置に切り替わると共に、前記シャワーヘッドを接地するための第2位置に切り替わるよう構成され、前記第2ステーションリレースイッチは、前記LFRF発生器および前記HFRF発生器を前記ペデスタルに電気接続するための第1位置に切り替わると共に、前記ペデスタルを接地するための第2位置に切り替わるよう構成され、前記第1ステーションリレースイッチの前記第1位置は、前記第2ステーションリレースイッチの前記第2位置と同期され、前記第2ステーションリレースイッチの前記第1位置は、前記第1ステーションリレースイッチの前記第2位置と同期される装置。
[適用例6]適用例4に記載の装置であって、さらに、
前記LFRF発生器からの低周波数信号が前記蒸着モードで前記シャワーヘッドに到達しないように選択的にフィルタリングする1または複数のフィルタを備える装置。
[適用例7]適用例1ないし6のいずれか一項に記載の装置であって、前記LFRF発生器は、第1集積回路ボードの一部であり、前記HFRF発生器は、第2集積回路ボードの一部である装置。
[適用例8]適用例1ないし6のいずれか一項に記載の装置であって、前記1または複数のスイッチは、前記HFRF発生器に動作可能に接続され、前記蒸着モードで前記HFRF発生器から前記シャワーヘッドへの電力の供給と、前記エッチングモードでの前記HFRF発生器から前記ペデスタルへの電力の供給との間で切り替えを行うよう構成されたスイッチを含む装置。
[適用例9]適用例8に記載の装置であって、前記HFRF発生器は、前記蒸着モードで、第1集積回路ボードを通して前記シャワーヘッドに接続され、前記HFRF発生器および前記LFRF発生器は、前記エッチングモードで、第2集積回路ボードを通して前記ペデスタルに接続される装置。
[適用例10]適用例9に記載の装置であって、前記第1集積回路ボードおよび前記第2集積回路ボードは、同期リレー制御を介して通信可能に接続され、前記同期リレー制御は、前記スイッチに通信可能に接続される装置。
[適用例11]適用例1ないし6のいずれか一項に記載の装置であって、さらに、
動作を実行するための命令を備えるよう構成されたコントローラを備え、前記動作は、
(a)約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハを前記ペデスタル上に準備する動作と、
(b)前記蒸着モードの前記処理チャンバ内で、原子層蒸着(ALD)を用いて前記1または複数のギャップ内に第1誘電体層を蒸着する動作と、
(c)前記エッチングモードの前記処理チャンバ内で、前記第1誘電体層をスロープ制御して異方性エッチングする動作と、
(d)前記蒸着モードの前記処理チャンバ内で、ALDを用いて前記第1誘電体層の上の前記1または複数のギャップ内に第2誘電体酸化物層を蒸着する動作と
を含む装置。
[適用例12]蒸着処理およびエッチング処理を実行するための統合装置であって、
シャワーヘッドおよびペデスタルを備えた処理チャンバと、
1または複数のHF/LFRF発生器を備えた集積回路ボードと、
前記1または複数のHF/LFRF発生器に動作可能に接続された1または複数のスイッチと
を備え、
前記1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モード(前記蒸着モードの前記1または複数のスイッチは、前記HF/LFRF発生器の少なくとも1つを前記シャワーヘッドに接続する)と、(2)エッチング処理を実行するためのエッチングモード(前記エッチングモードの前記1または複数のスイッチは、前記HF/LFRF発生器の少なくとも1つを前記ペデスタルに接続する)との間で切り替えを行うよう構成されている装置。
[適用例13]適用例12に記載の装置であって、前記処理チャンバは、CCPリアクタであり、前記シャワーヘッドは上側電極を備え、前記ペデスタルは下側電極を備える装置。
[適用例14]適用例12に記載の装置であって、前記集積回路ボードは、1つのHF/LFRF発生器を備える装置。
[適用例15]適用例12に記載の装置であって、前記1または複数のスイッチは、
前記蒸着モードで前記HF/LFRF発生器の1つを前記シャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、
前記エッチングモードで前記HF/LFRF発生器の1つを前記ペデスタルに電気接続するよう構成された第2ステーションリレースイッチと
を含む装置。
[適用例16]適用例15に記載の装置であって、前記第1ステーションリレースイッチは、前記蒸着モードで前記HF/LFRF発生器の1つを前記シャワーヘッドに電気接続するための第1位置に切り替わると共に、前記シャワーヘッドを接地するための第2位置に切り替わるよう構成され、前記第2ステーションリレースイッチは、前記エッチングモードで前記HF/LFRF発生器の1つを前記ペデスタルに電気接続するための第1位置に切り替わると共に、前記ペデスタルを接地するための第2位置に切り替わるよう構成され、前記第1ステーションリレースイッチの前記第1位置は、前記第2ステーションリレースイッチの前記第2位置と同期され、前記第2ステーションリレースイッチの前記第1位置は、前記第1ステーションリレースイッチの前記第2位置と同期される装置。
[適用例17]適用例16に記載の装置であって、前記1または複数のスイッチは、さらに、
前記HF/LFRF発生器の1つが前記シャワーヘッドに動作可能に接続された時に前記蒸着モードで前記ペデスタルを接地するためのペデスタル接地リレースイッチと、
前記HF/LFRF発生器の1つが前記ペデスタルに動作可能に接続された時に前記エッチングモードで前記シャワーヘッドを接地するためのシャワーヘッド接地リレースイッチと
を含む装置。
[適用例18]適用例17に記載の装置であって、前記第1ステーションリレースイッチ、前記第2ステーションリレースイッチ、前記シャワーヘッド接地リレースイッチ、および、前記ペデスタル接地リレースイッチは、前記蒸着モードで、前記HF/LFRF発生器の1つが前記シャワーヘッドに電気接続されて、前記ペデスタルが接地されるように、そして、前記エッチングモードで、前記HF/LFRF発生器の1つが前記ペデスタルに電気接続されて、前記シャワーヘッドが接地されるように同期される装置。
[適用例19]適用例12ないし18のいずれか一項に記載の装置であって、さらに、
動作を実行するための命令を備えるよう構成されたコントローラを備え、前記動作は、
(a)約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハを前記ペデスタル上に準備する動作と、
(b)前記蒸着モードの前記処理チャンバ内で、原子層蒸着(ALD)を用いて前記1または複数のギャップ内に第1誘電体層を蒸着する動作と、
(c)前記エッチングモードの前記処理チャンバ内で、前記第1誘電体層をスロープ制御して異方性エッチングする動作と、
(d)前記蒸着モードの前記処理チャンバ内で、ALDを用いて前記第1誘電体層の上の前記1または複数のギャップ内に第2誘電体酸化物層を蒸着する動作と
を含む装置。
[適用例20]ウエハ内の1または複数のギャップを充填する方法であって、
約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハをプラズマ処理チャンバ内のペデスタル上に準備する工程と、
前記プラズマ処理チャンバ内で、ALDを用いて前記1または複数のギャップ内に第1誘電体層を蒸着する工程と、
前記プラズマ処理チャンバ内で、前記第1誘電体層をスロープ制御して異方性エッチングする工程と、
前記プラズマ処理チャンバ内で、ALDを用いて前記第1誘電体層の上の前記1または複数のギャップ内に第2誘電体層を蒸着する工程と
を備える方法。
[適用例21]適用例20に記載の方法であって、前記第1誘電体層を蒸着する間、前記第1誘電体層をスロープ制御して異方性エッチングする間、および、前記第2誘電体層を蒸着する間のウエハ温度は、約80℃~約400℃の間である方法。
[適用例22]適用例20に記載の方法であって、前記第1誘電体層を蒸着する間、前記第1誘電体層をスロープ制御して異方性エッチングする間、および、前記第2誘電体層を蒸着する間の圧力は、約0.3~約1.0Torrの間である方法。
[適用例23]適用例20ないし22のいずれか一項に記載の方法であって、前記第1誘電体層を蒸着する間、前記第1誘電体層をスロープ制御して異方性エッチングする間、および、前記第2誘電体層を蒸着する間に前記プラズマ処理チャンバに印加される低周波数電力は、約1500W~約6000Wの間であり、前記第1誘電体層を蒸着する間、前記第1誘電体層をスロープ制御して異方性エッチングする間、および、前記第2誘電体層を蒸着する間に前記プラズマ処理チャンバに印加される高周波数電力は、約0W~約5000Wの間である方法。
[適用例24]適用例23に記載の方法であって、前記第1誘電体層を蒸着し、前記第1誘電体層をスロープ制御して異方性エッチングし、前記第2誘電体層を蒸着するための前記低周波数電力の低周波数は、約400kHzであり、前記第1誘電体層を蒸着し、前記第1誘電体層をスロープ制御して異方性エッチングし、前記第2誘電体層を蒸着するための前記高周波数電力の高周波数は、約13.56MHzである方法。
[適用例25]適用例20ないし22のいずれか一項に記載の方法であって、さらに、
前記第1誘電体層をスロープ制御して異方性エッチングする前に、低周波数電力および高周波数電力を前記プラズマ処理チャンバ内の前記ペデスタルに印加すると共に前記プラズマ処理チャンバ内のシャワーヘッドを接地するように切り替えを行う工程と、
前記第2誘電体層を蒸着する前に、前記高周波数電力を前記プラズマ処理チャンバ内の前記シャワーヘッドに印加すると共に前記プラズマ処理チャンバ内の前記ペデスタルを接地するように切り替えを行う工程と
を備える方法。
Claims (18)
- ウエハ内の1または複数のギャップを充填する方法であって、
5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有し、前記1または複数のギャップ内に第1誘電体層を含むウエハをプラズマ処理チャンバ内のペデスタル上に準備する工程と、
蒸着モードとエッチンクモードとのうちから、前記プラズマ処理チャンバ内の前記第1誘電体層を異方性エッチングするエッチングモードに切り替え、前記エッチングモードでは、少なくとも前記ペデスタルはRF発生器による電力供給を受け、少なくともシャワーヘッドは接地される、工程と、
蒸着モードとエッチンクモードとのうちから、前記プラズマ処理チャンバ内を蒸着モードであって、前記第1誘電体層の上の前記1または複数のギャップ内で、ALDを用いて第2誘電体層を蒸着する蒸着モードに切り替え、前記蒸着モードでは、少なくとも前記シャワーヘッドは前記RF発生器による電力供給を受け、少なくとも前記ペデスタルは接地される、工程と
を備える方法。 - 請求項1に記載の方法であって、前記第1誘電体層を異方性エッチングする間、および、前記第2誘電体層を蒸着する間のウエハ温度は、50℃~650℃の間である、方法。
- 請求項1に記載の方法であって、前記第1誘電体層を異方性エッチングする間、および、前記第2誘電体層を蒸着する間の圧力は、0.3~1.0Torrの間である方法。
- 請求項1から請求項3のいずれか一項に記載の方法であって、
前記第1誘電体層を異方性エッチングする間、および、前記第2誘電体層を蒸着する間に前記プラズマ処理チャンバに印加される低周波数電力は、1500W~6000Wの間であり、
前記第1誘電体層を異方性エッチングする間、および、前記第2誘電体層を蒸着する間に前記プラズマ処理チャンバに印加される高周波数電力は、0W~5000Wの間である、方法。 - 請求項4に記載の方法であって、
前記第1誘電体層を異方性エッチングし、前記第2誘電体層を蒸着するための前記低周波数電力の低周波数は、400kHzであり、
前記第1誘電体層を異方性エッチングし、前記第2誘電体層を蒸着するための前記高周波数電力の高周波数は、13.56MHzである、方法。 - 請求項1から請求項3のいずれか一項に記載の方法であって、
前記RF発生器は、前記蒸着モードにおいて、高周波数電力を前記プラズマ処理チャンバ内の前記シャワーヘッドに印加すると共に、前記プラズマ処理チャンバ内の前記ペデスタルを接地する、方法。 - 前記第1誘電体層を異方性エッチングする間、ウエハ温度は300℃よりも高い、請求項1に記載の方法。
- 前記異方性エッチングにおいて、前記1または複数のギャップがそれぞれ、前記ギャップの底部近くよりも前記ギャップの上部近くにおいて、より傾斜したプロファイルを有するように、前記第1誘電体層を異方性エッチングする、請求項1に記載の方法。
- 請求項1に記載の方法であって、
前記プラズマ処理チャンバは、
前記ウエハを支持するペデスタルと、
前記ペデスタルの上のシャワーヘッドと、
低周波数ラジオ波(LFRF)発生器と、
高周波数ラジオ波(HFRF)発生器と、
前記LFRF発生器および前記HFRF発生器の一方または両方に動作可能に接続された1または複数のスイッチであって、前記1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モードであって、前記1または複数のスイッチは、少なくとも前記HFRF発生器を前記シャワーヘッドに接続する蒸着モードと、(2)エッチング処理を実行するためのエッチングモードであって、前記1または複数のスイッチは、前記HFRF発生器および前記LFRF発生器を前記ペデスタルに接続して、前記シャワーヘッドを接地するエッチングモードと、の間で切り替えを行うよう構成されている、1または複数のスイッチと、
を備える、方法。 - 前記プラズマ処理チャンバが容量結合プラズマ(CCP)リアクタであり、前記シャワーヘッドが上部電極を含み、前記ペデスタルが下部電極を含む、請求項1に記載の方法。
- 請求項1に記載の方法であって、
前記プラズマ処理チャンバは、
ウエハを支持するペデスタルと、
ペデスタルの上のシャワーヘッドと、
高周波数ラジオ波および低周波数ラジオ波を発生する1または複数のHF/LFRF発生器と
前記1または複数のHF/LFRF発生器に動作可能に結合された1または複数のスイッチであって、(1)蒸着処理を実行するための蒸着モードであって、前記1または複数のスイッチは、HF/LFRF発生器の少なくとも1つをシャワーヘッドに接続する蒸着モードと、(2)エッチング処理を実行するためのエッチングモードであって、前記1または複数のスイッチは、HF/LFRF発生器の少なくとも1つをペデスタルに接続するエッチングモードと、の間で切り替えを行うよう構成されている、1または複数のスイッチと、
を備える、方法。 - 前記プラズマ処理チャンバが容量結合プラズマ(CCP)リアクタであり、前記シャワーヘッドが上部電極を含み、前記ペデスタルが下部電極を含む、請求項11に記載の方法。
- 前記第1誘電体層および前記第2誘電体層のそれぞれが二酸化シリコン(SiO2 )を含む、請求項1に記載の方法。
- 前記第1誘電体層の前記異方性エッチングは、三フッ化窒素(NF3 )を用いた異方性エッチングを含み、NF3 の濃度は1.0~2.5体積%である、請求項1に記載の方法。
- 少なくとも前記ペデスタルは、前記エッチングモードにおいて、前記RF発生器によって低周波数電力の供給を受ける、請求項1に記載の方法。
- 前記ウエハは、30:1より大きい深さ対幅のアスペクト比をそれぞれ有する1または複数のギャップを有する、請求項1に記載の方法。
- 前記RF発生器による電力は、低周波電力および高周波電力を含む、請求項1に記載の方法。
- 請求項1に記載の方法であって、
前記プラズマ処理チャンバ内で、前記1または複数のギャップ内に前記第1誘電体層をALDによって蒸着する工程を備える、方法。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2023215357A JP2024029060A (ja) | 2016-06-30 | 2023-12-21 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/199,608 US9773643B1 (en) | 2016-06-30 | 2016-06-30 | Apparatus and method for deposition and etch in gap fill |
US15/199,608 | 2016-06-30 | ||
JP2017122828A JP7027050B2 (ja) | 2016-06-30 | 2017-06-23 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017122828A Division JP7027050B2 (ja) | 2016-06-30 | 2017-06-23 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023215357A Division JP2024029060A (ja) | 2016-06-30 | 2023-12-21 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2022028796A JP2022028796A (ja) | 2022-02-16 |
JP7410106B2 true JP7410106B2 (ja) | 2024-01-09 |
Family
ID=59886844
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017122828A Active JP7027050B2 (ja) | 2016-06-30 | 2017-06-23 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
JP2021185396A Active JP7410106B2 (ja) | 2016-06-30 | 2021-11-15 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
JP2023215357A Pending JP2024029060A (ja) | 2016-06-30 | 2023-12-21 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017122828A Active JP7027050B2 (ja) | 2016-06-30 | 2017-06-23 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023215357A Pending JP2024029060A (ja) | 2016-06-30 | 2023-12-21 | ギャップ充填時の蒸着およびエッチングのための装置および方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US9773643B1 (ja) |
JP (3) | JP7027050B2 (ja) |
KR (2) | KR102399577B1 (ja) |
CN (2) | CN111243931B (ja) |
TW (2) | TWI811757B (ja) |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10199270B2 (en) * | 2017-05-25 | 2019-02-05 | Globalfoundries Inc. | Multi-directional self-aligned multiple patterning |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US11075079B2 (en) * | 2017-11-21 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directional deposition for semiconductor fabrication |
JP7348440B2 (ja) * | 2018-03-20 | 2023-09-21 | 東京エレクトロン株式会社 | 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
CN110534392B (zh) * | 2018-05-25 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 射频阻抗匹配的方法及装置、半导体处理设备 |
TWI764008B (zh) * | 2018-06-19 | 2022-05-11 | 美商應用材料股份有限公司 | 高品質間隙填充的高偏壓沉積 |
US11293098B2 (en) * | 2018-07-11 | 2022-04-05 | Lam Research Corporation | Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching |
DE102019116860B4 (de) | 2018-07-31 | 2024-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung eines halbleiter-bauelements |
US10991550B2 (en) * | 2018-09-04 | 2021-04-27 | Lam Research Corporation | Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system |
TWI833804B (zh) * | 2018-09-21 | 2024-03-01 | 美商應用材料股份有限公司 | 含鋁膜的間隙填充 |
US11804362B2 (en) * | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
US11515123B2 (en) * | 2018-12-21 | 2022-11-29 | Advanced Energy Industries, Inc. | Apparatus and system for modulated plasma systems |
US10720305B2 (en) * | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
TW202104656A (zh) * | 2019-03-28 | 2021-02-01 | 美商蘭姆研究公司 | 噴淋頭護罩 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130041A (ko) | 2019-05-07 | 2020-11-18 | 램 리써치 코포레이션 | 폐루프 다중 출력 rf 매칭 |
CN114207770B (zh) | 2019-07-31 | 2024-07-05 | 朗姆研究公司 | 具有多个输出端口的射频功率产生器 |
JP7569858B2 (ja) | 2019-12-02 | 2024-10-18 | ラム リサーチ コーポレーション | 無線周波数支援プラズマ生成におけるインピーダンス変換 |
CN111554590B (zh) * | 2020-04-16 | 2021-04-13 | 上海陛通半导体能源科技股份有限公司 | 半导体填孔真空系统及填孔方法 |
JP7433154B2 (ja) * | 2020-07-16 | 2024-02-19 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI753633B (zh) * | 2020-10-30 | 2022-01-21 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 |
TWI742902B (zh) * | 2020-10-30 | 2021-10-11 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造半導體裝置的方法 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003110021A (ja) | 2001-07-07 | 2003-04-11 | Samsung Electronics Co Ltd | 半導体装置の製造方法及び層間絶縁膜の形成方法 |
JP2003119564A (ja) | 2001-10-12 | 2003-04-23 | Tokyo Electron Ltd | 成膜方法及びプラズマcvd装置 |
JP2012169658A (ja) | 2006-10-16 | 2012-09-06 | Applied Materials Inc | Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 |
JP2014112668A (ja) | 2012-11-08 | 2014-06-19 | Novellus Systems Incorporated | ギャップフィルのための共形膜蒸着 |
JP2014532304A (ja) | 2011-09-23 | 2014-12-04 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | プラズマ活性化されるコンフォーマル誘電体膜 |
JP2015029097A (ja) | 2013-07-25 | 2015-02-12 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
Family Cites Families (463)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4843472A (ja) | 1971-10-04 | 1973-06-23 | ||
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
JPH0293071A (ja) | 1988-09-29 | 1990-04-03 | Toshiba Corp | 薄膜の形成方法 |
JPH0311635A (ja) | 1989-06-08 | 1991-01-18 | Sekiyu Sangyo Katsuseika Center | 化合物半導体装置の製造方法 |
US5094984A (en) | 1990-10-12 | 1992-03-10 | Hewlett-Packard Company | Suppression of water vapor absorption in glass encapsulation |
US5230929A (en) | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
TW201848B (ja) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
DE4136987A1 (de) | 1991-11-11 | 1993-05-13 | Leybold Ag | Verfahren zur oberflaechenpassivierung von sensoren |
JPH05226279A (ja) | 1992-02-10 | 1993-09-03 | Toshiba Corp | 半導体装置の製造方法 |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
US5286297A (en) * | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
JPH06177120A (ja) | 1992-10-27 | 1994-06-24 | Sony Corp | 層間絶縁膜の形成方法 |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
JPH09102494A (ja) | 1995-10-09 | 1997-04-15 | Toshiba Corp | 半導体装置の保護膜およびその形成方法 |
JPH09167755A (ja) * | 1995-12-15 | 1997-06-24 | Nec Corp | プラズマ酸化膜処理装置 |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6399221B1 (en) | 1996-06-25 | 2002-06-04 | Northwestern University | Organic light-emitting diodes and methods for assembly and emission control |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JPH1098032A (ja) | 1996-09-20 | 1998-04-14 | Hitachi Ltd | 薄膜形成方法及び薄膜形成装置 |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US6069058A (en) | 1997-05-14 | 2000-05-30 | United Semiconductor Corp. | Shallow trench isolation for semiconductor devices |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6100202A (en) | 1997-12-08 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
JP3166745B2 (ja) * | 1998-12-25 | 2001-05-14 | 日本電気株式会社 | プラズマ処理装置ならびにプラズマ処理方法 |
WO2000047404A1 (en) | 1999-02-12 | 2000-08-17 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
WO2000055901A1 (en) | 1999-03-17 | 2000-09-21 | Semiconductor 300 Gmbh & Co. Kg | Method for filling gaps on a semiconductor wafer |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
EP1218796A4 (en) | 1999-07-22 | 2006-08-23 | Corning Inc | EXTREMELY ULTRAVIOLET SOFT X-RAYS LITHOGRAPHIC PROJECTION AND MASKS |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
KR100356473B1 (ko) | 1999-12-29 | 2002-10-18 | 주식회사 하이닉스반도체 | 반도체 소자의 알루미늄 옥사이드 박막 형성 방법 |
JP3437832B2 (ja) | 2000-03-22 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2001274404A (ja) | 2000-03-24 | 2001-10-05 | Toshiba Corp | 薄膜トランジスタおよびその製造方法 |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
JP2002009072A (ja) | 2000-06-23 | 2002-01-11 | Tokyo Electron Ltd | シリコン窒化膜の形成方法及び形成装置 |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US20050230047A1 (en) | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US6482726B1 (en) | 2000-10-17 | 2002-11-19 | Advanced Micro Devices, Inc. | Control trimming of hard mask for sub-100 nanometer transistor gate |
JP2002134497A (ja) | 2000-10-23 | 2002-05-10 | Sony Corp | 半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
JP3437830B2 (ja) | 2000-11-28 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR101027485B1 (ko) | 2001-02-12 | 2011-04-06 | 에이에스엠 아메리카, 인코포레이티드 | 반도체 박막 증착을 위한 개선된 공정 |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP4406178B2 (ja) | 2001-03-28 | 2010-01-27 | 株式会社渡辺商行 | 成膜装置 |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6610169B2 (en) | 2001-04-21 | 2003-08-26 | Simplus Systems Corporation | Semiconductor processing system and method |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
WO2002091461A2 (en) * | 2001-05-04 | 2002-11-14 | Tokyo Electron Limited | Ionized pvd with sequential deposition and etching |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US7138336B2 (en) | 2001-08-06 | 2006-11-21 | Asm Genitech Korea Ltd. | Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
JP2003197615A (ja) * | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
WO2003076678A2 (en) | 2002-03-08 | 2003-09-18 | Sundew Technologies, Llc | Ald method and apparatus |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US6682603B2 (en) * | 2002-05-07 | 2004-01-27 | Applied Materials Inc. | Substrate support with extended radio frequency electrode upper surface |
US6777308B2 (en) | 2002-05-17 | 2004-08-17 | Micron Technology, Inc. | Method of improving HDP fill process |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US7115228B2 (en) | 2002-05-24 | 2006-10-03 | Baxter International Inc. | One-piece tip protector and organizer |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
AU2003279751A1 (en) | 2002-10-03 | 2004-04-23 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
CN101572232B (zh) | 2002-12-20 | 2011-12-21 | 应用材料有限公司 | 形成高质量的低温氮化硅层的方法 |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
US7288292B2 (en) | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
CN1777697B (zh) | 2003-04-23 | 2011-06-22 | 集勒思公司 | 瞬时增强原子层沉积 |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6949442B2 (en) | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US6765303B1 (en) | 2003-05-06 | 2004-07-20 | Advanced Micro Devices, Inc. | FinFET-based SRAM cell |
KR100541195B1 (ko) * | 2003-05-09 | 2006-01-11 | 주식회사 아이피에스 | 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치 |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7205240B2 (en) * | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7264849B2 (en) | 2003-07-11 | 2007-09-04 | Optisolar, Inc. | Roll-vortex plasma chemical vapor deposition method |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
KR100500472B1 (ko) | 2003-10-13 | 2005-07-12 | 삼성전자주식회사 | 리세스 게이트 트랜지스터 구조 및 형성방법 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
KR100545697B1 (ko) | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리 방법 |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
US7088003B2 (en) | 2004-02-19 | 2006-08-08 | International Business Machines Corporation | Structures and methods for integration of ultralow-k dielectrics with improved reliability |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
KR100538096B1 (ko) | 2004-03-16 | 2005-12-21 | 삼성전자주식회사 | 원자층 증착 방법을 이용하는 커패시터 형성 방법 |
JP2005310927A (ja) | 2004-04-20 | 2005-11-04 | Toshiba Corp | 紫外線照射による高品質シリコン窒化膜の成膜方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US20070066038A1 (en) * | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7488690B2 (en) | 2004-07-06 | 2009-02-10 | Applied Materials, Inc. | Silicon nitride film with stress control |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
KR101170861B1 (ko) | 2004-09-01 | 2012-08-03 | 액셀리스 테크놀로지스, 인크. | 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
JP2006135029A (ja) | 2004-11-04 | 2006-05-25 | Sharp Corp | ドライエッチング装置 |
US20060105106A1 (en) | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
JP4701691B2 (ja) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
US20060183055A1 (en) | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
CN100554506C (zh) | 2005-03-09 | 2009-10-28 | 东京毅力科创株式会社 | 半导体处理用的成膜方法及装置 |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
JP4228150B2 (ja) | 2005-03-23 | 2009-02-25 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
JP4642528B2 (ja) * | 2005-03-31 | 2011-03-02 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4752349B2 (ja) | 2005-06-23 | 2011-08-17 | 大日本印刷株式会社 | パターン形成体およびその製造方法 |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
KR100734748B1 (ko) | 2005-09-08 | 2007-07-03 | 주식회사 아이피에스 | 인시튜 질화물(in-situ nitride) 박막증착방법 |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070068795A1 (en) | 2005-09-26 | 2007-03-29 | Jozef Brcka | Hollow body plasma uniformity adjustment device and method |
US7524743B2 (en) | 2005-10-13 | 2009-04-28 | Varian Semiconductor Equipment Associates, Inc. | Conformal doping apparatus and method |
US8034727B2 (en) | 2005-10-14 | 2011-10-11 | Nec Corporation | Method and apparatus for manufacturing semiconductor devices |
TWI331770B (en) | 2005-11-04 | 2010-10-11 | Applied Materials Inc | Apparatus for plasma-enhanced atomic layer deposition |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7829159B2 (en) | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
JP2007180362A (ja) | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
TWI424498B (zh) | 2006-03-31 | 2014-01-21 | Applied Materials Inc | 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007287890A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置 |
JP2007287889A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法 |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7727413B2 (en) * | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7431859B2 (en) * | 2006-04-28 | 2008-10-07 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
WO2007145513A1 (en) | 2006-06-16 | 2007-12-21 | Fujifilm Manufacturing Europe B.V. | Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR101057877B1 (ko) | 2006-09-19 | 2011-08-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 세정 방법 및 플라즈마 cvd 방법 |
JP5258229B2 (ja) | 2006-09-28 | 2013-08-07 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
KR101427142B1 (ko) | 2006-10-05 | 2014-08-07 | 에이에스엠 아메리카, 인코포레이티드 | 금속 규산염 막의 원자층 증착 |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
KR100816759B1 (ko) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법 |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20080179011A1 (en) * | 2007-01-30 | 2008-07-31 | Collins Kenneth S | Plasma reactor with wide process window employing plural vhf sources |
DE112008000368T5 (de) | 2007-02-12 | 2009-12-24 | Lotus Applied Technology, LLC, Beaverton | Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
KR100805018B1 (ko) | 2007-03-23 | 2008-02-20 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
JP2008294260A (ja) | 2007-05-25 | 2008-12-04 | Sony Corp | 半導体装置とその製造方法並びに積層絶縁膜とその形成方法 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8262920B2 (en) * | 2007-06-18 | 2012-09-11 | Lam Research Corporation | Minimization of mask undercut on deep silicon etch |
KR100956210B1 (ko) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US8197636B2 (en) * | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
US20090015268A1 (en) * | 2007-07-13 | 2009-01-15 | Gardner Delrae H | Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
JP5098882B2 (ja) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
EP2193541A1 (en) | 2007-09-18 | 2010-06-09 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR101221598B1 (ko) | 2007-12-18 | 2013-01-14 | 삼성전자주식회사 | 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법. |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
KR20090067576A (ko) | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법 |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
TWI420722B (zh) | 2008-01-30 | 2013-12-21 | Osram Opto Semiconductors Gmbh | 具有封裝單元之裝置 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (es) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar. |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8357617B2 (en) | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
US20100051578A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US8303780B2 (en) | 2008-09-30 | 2012-11-06 | Tdk Corporation | Method of forming mask for dry etching and manufacturing method of magnetic head using the same method |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
WO2010062582A2 (en) | 2008-10-27 | 2010-06-03 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US8580993B2 (en) | 2008-11-12 | 2013-11-12 | Air Products And Chemicals, Inc. | Amino vinylsilane precursors for stressed SiN films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
CN101736326B (zh) * | 2008-11-26 | 2011-08-10 | 中微半导体设备(上海)有限公司 | 电容耦合型等离子体处理反应器 |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
GB0823565D0 (en) | 2008-12-24 | 2009-01-28 | Oxford Instr Plasma Technology | Signal generating system |
JP5293168B2 (ja) | 2008-12-25 | 2013-09-18 | 富士通株式会社 | レジスト組成物及びそれを用いた半導体装置の製造方法 |
JP2010183069A (ja) | 2009-01-07 | 2010-08-19 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
US8142862B2 (en) | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP2010177652A (ja) | 2009-02-02 | 2010-08-12 | Toshiba Corp | 半導体装置の製造方法 |
JP5298938B2 (ja) | 2009-02-24 | 2013-09-25 | 住友電気工業株式会社 | 半導体素子の製造方法 |
JP4792097B2 (ja) | 2009-03-25 | 2011-10-12 | 株式会社東芝 | 不揮発性記憶装置及びその製造方法 |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
JP2010251654A (ja) | 2009-04-20 | 2010-11-04 | Elpida Memory Inc | 成膜方法および半導体装置の製造方法 |
KR101114283B1 (ko) * | 2009-04-24 | 2012-03-05 | (주)제이하라 | 플라즈마 발생장치 |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
JP2011023576A (ja) | 2009-07-16 | 2011-02-03 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2011023655A (ja) | 2009-07-17 | 2011-02-03 | Shimadzu Corp | 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置 |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
KR101680899B1 (ko) | 2009-09-02 | 2016-11-29 | 소니 주식회사 | 고체 촬상 장치 및 그 제조 방법 |
KR101732187B1 (ko) | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법 |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8076241B2 (en) | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
WO2011058947A1 (ja) | 2009-11-11 | 2011-05-19 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
US8691675B2 (en) | 2009-11-25 | 2014-04-08 | International Business Machines Corporation | Vapor phase deposition processes for doping silicon |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US8662053B2 (en) | 2009-12-22 | 2014-03-04 | Cummins Inc. | Pre-combustion device for an internal combustion engine |
CN102652186A (zh) | 2009-12-22 | 2012-08-29 | 应用材料公司 | 利用持续的等离子体的pecvd多重步骤处理 |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
JP2011166106A (ja) | 2010-01-13 | 2011-08-25 | Renesas Electronics Corp | 半導体装置の製造方法及び半導体装置 |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (ja) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜装置の使用方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US20130078376A1 (en) | 2010-04-01 | 2013-03-28 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
ES2758556T3 (es) | 2010-05-21 | 2020-05-05 | Asm Int Nv | Celda solar y método de fabricación de la misma |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (ko) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법 |
KR20130062980A (ko) | 2010-07-22 | 2013-06-13 | 시너스 테크놀리지, 인코포레이티드 | 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US20120064682A1 (en) | 2010-09-14 | 2012-03-15 | Jang Kyung-Tae | Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
KR101815527B1 (ko) | 2010-10-07 | 2018-01-05 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
CN103228983A (zh) | 2010-11-10 | 2013-07-31 | 纳米系统公司 | 量子点薄膜、照明器件及照明方法 |
US9719169B2 (en) * | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012160671A (ja) | 2011-02-02 | 2012-08-23 | Toshiba Corp | 磁気ランダムアクセスメモリ及びその製造方法 |
JP5661523B2 (ja) | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US20120258261A1 (en) * | 2011-04-11 | 2012-10-11 | Novellus Systems, Inc. | Increasing etch selectivity of carbon films with lower absorption co-efficient and stress |
WO2012148439A1 (en) | 2011-04-25 | 2012-11-01 | William Marsh Rice University | Direct growth of graphene films on non-catalyst surfaces |
US9006802B2 (en) | 2011-08-18 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device manufacturing methods and methods of forming insulating material layers |
JP5551129B2 (ja) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | 記憶装置 |
JP2013058521A (ja) | 2011-09-07 | 2013-03-28 | Toshiba Corp | 記憶装置及びその製造方法 |
WO2013039881A2 (en) | 2011-09-13 | 2013-03-21 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
JP6043546B2 (ja) | 2011-10-21 | 2016-12-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
WO2013065806A1 (ja) | 2011-11-02 | 2013-05-10 | 宇部興産株式会社 | トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法 |
US9318431B2 (en) | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
CN104126220B (zh) | 2011-12-20 | 2017-06-20 | 英特尔公司 | 保形低温密闭性电介质扩散屏障 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
JP5843318B2 (ja) | 2012-02-14 | 2016-01-13 | 株式会社Adeka | Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法 |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US9390893B2 (en) * | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
JP5547763B2 (ja) | 2012-03-16 | 2014-07-16 | 三井造船株式会社 | プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置 |
JP6125247B2 (ja) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8952765B2 (en) | 2012-03-23 | 2015-02-10 | Mks Instruments, Inc. | System and methods of bimodal automatic power and frequency tuning of RF generators |
SG195494A1 (en) * | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US20140049162A1 (en) * | 2012-08-15 | 2014-02-20 | George Thomas | Defect reduction in plasma processing |
US8795774B2 (en) | 2012-09-23 | 2014-08-05 | Rohm And Haas Electronic Materials Llc | Hardmask |
KR102207992B1 (ko) | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9362133B2 (en) * | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9304396B2 (en) * | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
CN104347421A (zh) | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(北京)有限公司 | 鳍式场效应管的形成方法 |
KR102081195B1 (ko) | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9564361B2 (en) | 2013-09-13 | 2017-02-07 | Qualcomm Incorporated | Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9368348B2 (en) | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
WO2015057930A1 (en) | 2013-10-17 | 2015-04-23 | Nanosys, Inc. | Light emitting diode (led) devices |
KR20210020175A (ko) | 2013-11-13 | 2021-02-23 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI480415B (zh) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | 多模式薄膜沉積設備以及薄膜沉積方法 |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
WO2015094596A1 (en) * | 2013-12-17 | 2015-06-25 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
JP6320248B2 (ja) * | 2014-03-04 | 2018-05-09 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
CN103928396A (zh) * | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | 扩大沟槽开口的方法 |
US9305837B2 (en) | 2014-04-10 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and formation thereof |
US9543375B2 (en) | 2014-06-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | MIM/RRAM structure with improved capacitance and reduced leakage current |
KR102421846B1 (ko) * | 2014-08-22 | 2022-07-15 | 램 리써치 코포레이션 | 일 상태 동안 서브-펄싱 |
JP6512962B2 (ja) * | 2014-09-17 | 2019-05-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
CN105719954B (zh) * | 2014-12-04 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9496169B2 (en) | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US9595424B2 (en) * | 2015-03-02 | 2017-03-14 | Lam Research Corporation | Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes |
US10043690B2 (en) * | 2015-03-31 | 2018-08-07 | Lam Research Corporation | Fault detection using showerhead voltage variation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9406693B1 (en) | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
US9502428B1 (en) | 2015-04-29 | 2016-11-22 | Sandisk Technologies Llc | Sidewall assisted process for wide and narrow line formation |
US9859088B2 (en) * | 2015-04-30 | 2018-01-02 | Lam Research Corporation | Inter-electrode gap variation methods for compensating deposition non-uniformity |
US9299830B1 (en) | 2015-05-07 | 2016-03-29 | Texas Instruments Incorporated | Multiple shielding trench gate fet |
US20160329206A1 (en) | 2015-05-08 | 2016-11-10 | Lam Research Corporation | Methods of modulating residual stress in thin films |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN106373880B (zh) | 2015-07-22 | 2021-05-25 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
KR102250656B1 (ko) | 2015-10-08 | 2021-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9627221B1 (en) * | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
-
2016
- 2016-06-30 US US15/199,608 patent/US9773643B1/en active Active
-
2017
- 2017-06-19 KR KR1020170077085A patent/KR102399577B1/ko active IP Right Grant
- 2017-06-23 JP JP2017122828A patent/JP7027050B2/ja active Active
- 2017-06-26 TW TW110129265A patent/TWI811757B/zh active
- 2017-06-26 TW TW106121191A patent/TWI738805B/zh active
- 2017-06-30 CN CN202010046740.4A patent/CN111243931B/zh active Active
- 2017-06-30 CN CN201710522311.8A patent/CN107564790B/zh active Active
- 2017-08-22 US US15/683,397 patent/US10373806B2/en active Active
-
2019
- 2019-06-26 US US16/453,237 patent/US10957514B2/en active Active
-
2021
- 2021-11-15 JP JP2021185396A patent/JP7410106B2/ja active Active
-
2022
- 2022-05-13 KR KR1020220059033A patent/KR102580991B1/ko active IP Right Grant
-
2023
- 2023-12-21 JP JP2023215357A patent/JP2024029060A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003110021A (ja) | 2001-07-07 | 2003-04-11 | Samsung Electronics Co Ltd | 半導体装置の製造方法及び層間絶縁膜の形成方法 |
JP2003119564A (ja) | 2001-10-12 | 2003-04-23 | Tokyo Electron Ltd | 成膜方法及びプラズマcvd装置 |
JP2012169658A (ja) | 2006-10-16 | 2012-09-06 | Applied Materials Inc | Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用 |
JP2014532304A (ja) | 2011-09-23 | 2014-12-04 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | プラズマ活性化されるコンフォーマル誘電体膜 |
JP2014112668A (ja) | 2012-11-08 | 2014-06-19 | Novellus Systems Incorporated | ギャップフィルのための共形膜蒸着 |
JP2015029097A (ja) | 2013-07-25 | 2015-02-12 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
Also Published As
Publication number | Publication date |
---|---|
CN111243931A (zh) | 2020-06-05 |
JP2022028796A (ja) | 2022-02-16 |
KR102399577B1 (ko) | 2022-05-17 |
KR20220069897A (ko) | 2022-05-27 |
JP2024029060A (ja) | 2024-03-05 |
TWI811757B (zh) | 2023-08-11 |
JP2018011050A (ja) | 2018-01-18 |
CN111243931B (zh) | 2023-04-11 |
KR20180003435A (ko) | 2018-01-09 |
US20180005801A1 (en) | 2018-01-04 |
CN107564790B (zh) | 2020-02-18 |
CN107564790A (zh) | 2018-01-09 |
US9773643B1 (en) | 2017-09-26 |
TWI738805B (zh) | 2021-09-11 |
US10373806B2 (en) | 2019-08-06 |
US10957514B2 (en) | 2021-03-23 |
TW201809344A (zh) | 2018-03-16 |
US20190385820A1 (en) | 2019-12-19 |
KR102580991B1 (ko) | 2023-09-20 |
TW202143290A (zh) | 2021-11-16 |
JP7027050B2 (ja) | 2022-03-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7410106B2 (ja) | ギャップ充填時の蒸着およびエッチングのための装置および方法 | |
US10804144B2 (en) | Deposition of aluminum oxide etch stop layers | |
JP7190814B2 (ja) | エアギャップの形成方法 | |
US9437451B2 (en) | Radical-component oxide etch | |
KR20240059612A (ko) | 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제 | |
KR20180117525A (ko) | Ale (atomic layer etch) 리셋을 사용한 선택적인 증착 | |
US9633896B1 (en) | Methods for formation of low-k aluminum-containing etch stop films | |
KR20150101927A (ko) | 플라즈마 처리 장치의 클리닝 방법 | |
US10418236B2 (en) | Composite dielectric interface layers for interconnect structures | |
US20170309514A1 (en) | Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing | |
CN112335016A (zh) | 高深宽比结构的有效率的清洁和蚀刻 | |
CN114762079A (zh) | 射频辅助等离子体生成中的阻抗变换 | |
KR20190015132A (ko) | 피처리체를 처리하는 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20211115 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20211115 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20221223 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20230207 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230501 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20230829 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20231128 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20231205 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20231221 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7410106 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |