KR102678471B1 - 저 불소 함량을 가진 텅스텐 막들 - Google Patents
저 불소 함량을 가진 텅스텐 막들 Download PDFInfo
- Publication number
- KR102678471B1 KR102678471B1 KR1020160064757A KR20160064757A KR102678471B1 KR 102678471 B1 KR102678471 B1 KR 102678471B1 KR 1020160064757 A KR1020160064757 A KR 1020160064757A KR 20160064757 A KR20160064757 A KR 20160064757A KR 102678471 B1 KR102678471 B1 KR 102678471B1
- Authority
- KR
- South Korea
- Prior art keywords
- tungsten
- fluorine
- containing film
- torr
- less
- Prior art date
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 158
- 239000010937 tungsten Substances 0.000 title claims abstract description 158
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 157
- 229910052731 fluorine Inorganic materials 0.000 title claims abstract description 68
- 239000011737 fluorine Substances 0.000 title claims abstract description 68
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 title claims abstract description 67
- 238000000034 method Methods 0.000 claims abstract description 149
- 230000008021 deposition Effects 0.000 claims abstract description 58
- 239000002243 precursor Substances 0.000 claims abstract description 53
- 239000000758 substrate Substances 0.000 claims abstract description 50
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 37
- 230000006911 nucleation Effects 0.000 claims abstract description 32
- 238000010899 nucleation Methods 0.000 claims abstract description 32
- 230000008569 process Effects 0.000 claims description 87
- 238000000151 deposition Methods 0.000 claims description 82
- 238000000231 atomic layer deposition Methods 0.000 claims description 37
- 238000005229 chemical vapour deposition Methods 0.000 claims description 26
- 239000007789 gas Substances 0.000 claims description 24
- 238000012545 processing Methods 0.000 claims description 22
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- 125000004429 atom Chemical group 0.000 claims description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 9
- 230000004888 barrier function Effects 0.000 claims description 8
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 8
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 4
- 229910052796 boron Inorganic materials 0.000 claims description 4
- 230000008878 coupling Effects 0.000 claims description 2
- 238000010168 coupling process Methods 0.000 claims description 2
- 238000005859 coupling reaction Methods 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 239000010410 layer Substances 0.000 description 98
- 239000010408 film Substances 0.000 description 51
- 235000012431 wafers Nutrition 0.000 description 26
- 239000000463 material Substances 0.000 description 25
- 238000011049 filling Methods 0.000 description 19
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 18
- 239000004065 semiconductor Substances 0.000 description 15
- 238000012546 transfer Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 12
- 239000000376 reactant Substances 0.000 description 12
- 239000010936 titanium Substances 0.000 description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 230000001276 controlling effect Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 230000009467 reduction Effects 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- -1 silicon nitrides Chemical class 0.000 description 4
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 4
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- 230000002123 temporal effect Effects 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical group [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- DOYIBAKSKZZYPC-UHFFFAOYSA-N cyclopenta-1,3-diene;nickel(2+);prop-1-ene Chemical compound [Ni+2].[CH2-]C=C.C=1C=C[CH-]C=1 DOYIBAKSKZZYPC-UHFFFAOYSA-N 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910000078 germane Inorganic materials 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical group 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 2
- CGHIBGNXEGJPQZ-UHFFFAOYSA-N 1-hexyne Chemical group CCCCC#C CGHIBGNXEGJPQZ-UHFFFAOYSA-N 0.000 description 1
- RLJUCKFARAQBDA-UHFFFAOYSA-N C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O RLJUCKFARAQBDA-UHFFFAOYSA-N 0.000 description 1
- JVCWKXBYGCJHDF-UHFFFAOYSA-N CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C Chemical group CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C JVCWKXBYGCJHDF-UHFFFAOYSA-N 0.000 description 1
- OAMOJYZDVHZSDW-UHFFFAOYSA-N CC1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound CC1(C=CC=C1)[W](N=O)(=C=O)=C=O OAMOJYZDVHZSDW-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910004529 TaF 5 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical class O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- VXGHASBVNMHGDI-UHFFFAOYSA-N digermane Chemical compound [Ge][Ge] VXGHASBVNMHGDI-UHFFFAOYSA-N 0.000 description 1
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 238000000399 optical microscopy Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical class Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01009—Fluorine [F]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
본 명세서에 기술된 방법들 및 장치의 양태들은, 텅스텐 핵생성 층들 및 다른 텅스텐-함유 막들의 디포지션에 관한 것이다. 방법들의 다양한 실시예들은 기판의 표면 상에 텅스텐-함유 층을 디포짓하도록 저 챔버 압력에서 텅스텐 전구체와 환원제의 교번하는 펄스들에 기판을 노출시키는 단계를 수반한다. 다양한 실시예들에 따르면, 챔버 압력은 10 Torr 이하로 유지될 수도 있다. 일부 실시예들에서, 챔버 압력은 7 Torr 이하로, 또는 훨씬 보다 낮은, 예를 들어, 5 Torr 이하로 유지될 수도 있다. 방법들은 불소-함유 텅스텐 전구체를 사용하여 구현될 수도 있지만, 디포짓된 층 내에 매우 적거나 검출 불가능한 양의 불소를 발생시킬 수도 있다.
Description
텅스텐-함유 재료들을 CVD (chemical vapor deposition) 기법들을 사용하여 디포짓하는 것은 수많은 반도체 제조 프로세스들 중 중요한 부분이다. 이러한 텅스텐-함유 재료들은 수평형 상호접속부들, 인접하는 금속 층들 간의 비아들, 제 1 금속 층들과 실리콘 기판 상의 디바이스들 간의 콘택트들, 및 고 종횡비 피처들용으로 사용될 수도 있다. 통상적인 디포지션 프로세스에서, 기판이 디포지션 챔버 내에서 미리 결정된 프로세스 온도로 가열되고, 씨드 또는 핵생성 층의 역할을 하는 텅스텐-함유 재료의 박층이 디포짓된다. 그 후에, 텅스텐-함유 재료의 나머지 층 (벌크 층) 이 핵생성 층 상에 디포짓된다. 통상적으로, 텅스텐-함유 재료들은 텅스텐 헥사플루오라이드 (WF6) 를 환원시킴으로써 형성된다.
본 명세서에 기술된 주제의 일 양태는 기판 상에 저-불소 텅스텐-함유 막을 증착하는 방법에 관한 것이다. 방법은 기판 상에 텅스텐-함유 막을 디포짓하도록 불소-함유 텅스텐 전구체와 환원제의 교번하는 펄스들에 기판을 노출시키는 단계를 포함하고, 불소-함유 텅스텐 전구체 펄스들 동안 기판을 하우징하는 챔버의 챔버 압력은 10 Torr 미만이다. 텅스텐-함유 막 내의 불소 농도는 1019 atoms/㎤ 미만일 수도 있다. 일부 실시예들에서, 텅스텐 막 내의 불소 농도는 1018 atoms/㎤ 미만이다. 다양한 실시예들에 따르면, 챔버 압력은 7 Torr 미만이거나 5 Torr 미만일 수도 있다. 일부 실시예들에서, 텅스텐-함유 막은 텅스텐 핵생성 층이다. 일부 실시예들에서, 텅스텐-함유 막은 불소-프리 프로세스를 통해 디포짓된 제 1 텅스텐-함유 막 상에 디포짓된다. 일부 실시예들에서, 텅스텐-함유 막은 배리어 층 상에 디포짓된다. 환원제들의 예들은 실리콘-함유 환원제, 붕소-함유 환원제, 및 게르마늄-함유 환원제를 포함한다. 일부 실시예들에서, 불소-함유 텅스텐 전구체 펄스들은 수소 (H2) 를 포함한다. 다양한 실시예들에 따르면, 텅스텐-함유 막은 예를 들어, 130 μΩ/㎝ 미만 또는 110 μΩ/㎝ 미만의 저 저항률을 가질 수도 있다. 방법은 CVD (chemical vapor deposition) 에 의해 텅스텐-함유 막 상에 텅스텐 벌크 층을 디포짓하는 단계를 더 포함할 수도 있다.
본 명세서에 기술된 주제의 또 다른 양태는, 불소-프리 프로세스에 의해 기판 상에 제 1 텅스텐-함유 막을 디포짓하는 단계; 및 불소-함유 전구체를 사용하는 ALD (atomic layer deposition) 에 의해 제 2 텅스텐-함유 막을 디포짓하는 단계로서, 제 2 텅스텐-함유 막의 디포지션 동안 기판을 하우징하는 챔버의 챔버 압력은 10 Torr 미만인, 제 2 텅스텐-함유 막을 디포짓하는 단계를 포함하는 방법에 관한 것이다. 일부 실시예들에서, 제 1 텅스텐-함유 막은 배리어 층 상에 디포짓된다. 일부 실시예들에서, 제 1 텅스텐-함유 막은 실리콘 옥사이드 상에 디포짓된다. 다양한 실시예들에 따르면, 챔버 압력은 7 Torr 미만이거나 5 Torr 미만일 수도 있다. 다양한 실시예들에 따르면, 제 2 텅스텐-함유 막 내의 불소 농도는 1019 atoms/㎤ 미만이거나 1018 atoms/㎤ 미만이다.
추가의 양태들은 본 명세서에 기술된 방법들 중 임의의 방법을 구현하도록 구성된 장치 내에서 구현될 수 있다. 예를 들어, 본 명세서에 기술된 주제의 또 다른 양태는, 기판들을 프로세싱하기 위한 장치에 관한 것이고, 장치는 (a) 기판을 홀딩하도록 구성된 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; (b) 진공에 커플링하기 위한 적어도 하나의 유출부; (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 (d) 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는, 교번하는 펄스들로 환원제 및 불소-함유 텅스텐 전구체를 프로세스 챔버에 도입하기 위한 머신 판독가능 인스트럭션들을 포함하고, 챔버 압력은 10 Torr 미만이다.
이들 및 다른 양태들은 도면들을 참조하여 더 기술된다.
도 1a 내지 도 1g는 본 명세서에 기술된 프로세스들에 따라 저 불소 층이 불소-함유 전구체를 사용하여 디포짓될 수도 있는 다양한 구조체들의 개략적인 예들이다.
도 2는 텅스텐 핵생성 층을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다.
도 3은 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, 텅스텐 (W)/티타늄 나이트라이드 (TiN)/USG (undoped silicon glass) 스택들에 대한 불소 (F) 농도 프로파일들을 도시하는 플롯이다.
도 4는 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, TiN 박층들을 가진 W/TiN/열 옥사이드 스택들에 대한 F 농도 프로파일들을 도시하는 플롯이다.
도 5는 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, W/TiN/열 옥사이드 스택들에 대한 F 농도 프로파일들을 도시하는 플롯이다.
도 6은 40 Torr ALD 프로세스 (백그라운드에 H2 있음) 및 H2가 없는 3 Torr ALD 프로세스에 대한 저항률 측정치들을 도시한다.
도 7은 텅스텐을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다.
도 8은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 9는 개시된 실시예들을 수행하기 위한 예시적인 스테이션의 개략도이다.
도 2는 텅스텐 핵생성 층을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다.
도 3은 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, 텅스텐 (W)/티타늄 나이트라이드 (TiN)/USG (undoped silicon glass) 스택들에 대한 불소 (F) 농도 프로파일들을 도시하는 플롯이다.
도 4는 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, TiN 박층들을 가진 W/TiN/열 옥사이드 스택들에 대한 F 농도 프로파일들을 도시하는 플롯이다.
도 5는 다양한 W 디포지션 방법들에 대해 F 농도를 비교하는, W/TiN/열 옥사이드 스택들에 대한 F 농도 프로파일들을 도시하는 플롯이다.
도 6은 40 Torr ALD 프로세스 (백그라운드에 H2 있음) 및 H2가 없는 3 Torr ALD 프로세스에 대한 저항률 측정치들을 도시한다.
도 7은 텅스텐을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다.
도 8은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 9는 개시된 실시예들을 수행하기 위한 예시적인 스테이션의 개략도이다.
다음의 기술에서, 수많은 구체적인 상세들이 본 발명의 완전한 이해를 제공하도록 제시된다. 본 발명은 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 발명은 구체적인 구현예들과 함께 기술될 것이지만, 구현예들에 본 발명을 제한하도록 의도되지 않음이 이해될 것이다.
텅스텐 디포지션 방법들, 이와 관련된 시스템들 및 장치가 본 명세서에 기술된다. 애플리케이션들의 예들은 로직 및 메모리 콘택트 충진, DRAM 매립된 워드선 충진, 수직으로 통합된 메모리 게이트/워드선 충진, 및 TSV들 (through-silicon via) 을 사용한 3-D 통합을 포함한다. 일부 실시예들에서, 방법들은 텅스텐 피처 충진을 위해 사용될 수도 있다. 이러한 피처들은 수직 피처들, 예를 들어 비아들, 및 수평 피처들, 예를 들어 VNAND (vertical NAND) 워드선들을 포함할 수 있다. 이 방법들은 컨포멀한 충진 또는 보텀-업 충진/인사이드-아웃 충진을 위해서 사용될 수도 있다.
본 명세서에 기술된 방법들 및 장치의 양태들은 텅스텐 핵생성 층들과 같은 텅스텐-함유 층들의 디포지션에 관한 것이다. 방법들의 다양한 실시예들은 기판의 표면 상에 텅스텐 핵생성 층을 디포짓하도록 저 챔버 압력에서 텅스텐 전구체와 환원제의 교번하는 펄스들에 기판을 노출시키는 단계를 수반한다. 다양한 실시예들에 따르면, 챔버 압력은 10 Torr 이하로 유지될 수도 있다. 일부 실시예들에서, 챔버 압력은 7 Torr 이하로, 또는 훨씬 보다 낮은, 예를 들어, 5 Torr 이하로 유지될 수도 있다. 방법들은 불소-함유 텅스텐 전구체를 사용하여 구현될 수도 있지만, 디포짓된 핵생성 층 내에 매우 적거나 검출 불가능한 양의 불소를 발생시킬 수도 있다.
도 1a 내지 도 1g는 본 명세서에 기술된 프로세스들에 따라 저 불소 층이 불소-함유 전구체를 사용하여 디포짓될 수도 있는 다양한 구조체들의 개략적인 예들이다. 일부 실시예들에서, 구조체들은 텅스텐 또는 텅스텐-함유 재료로 충진될 피처들을 포함한다. 일부 실시예들에서, 피처는 좁은 개구, 재차 들어간 (re-entrant) 개구, 피처 내의 협착부들 (constriction), 및 고종횡비 중 하나 이상을 특징으로 할 수 있다. 도 1a는 텅스텐으로 충진될 수직 피처 (101) 의 단면도의 예를 도시한다. 피처는 기판 (103) 내에 피처 홀 (105) 을 포함할 수 있다. 기판은 실리콘 웨이퍼일 수도 있으며, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있으며, 이들은 그 상에 디포짓된 재료, 예를 들어 유전체, 도전성 또는 반도전성 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함한다. 피처는 이들 층들 중 하나 이상의 층들 내에 형성될 수도 있다. 예를 들어, 피처는 유전체 층 내에 적어도 부분적으로 형성될 수도 있다. 일부 구현예들에서, 피처 홀 (105) 은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 또는 그보다 높은 종횡비를 가질 수도 있다. 피처 홀 (105) 은 또한 개구와 근사한 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어, 약 25 ㎚ 내지 300 ㎚의 개구 직경 또는 라인 폭을 가질 수도 있다. 피처 홀 (105) 은 충진되지 않은 피처 또는 단순히 피처로서 지칭될 수 있다. 피처 (101), 및 임의의 피처는 수직 축들을 가진 수직으로-배향된 피처들 및 수평 축들을 가진 수평으로-배향된 피처들과 함께, 피처의 길이를 통해 연장하는 축 (118) 을 부분적으로 특징으로 할 수도 있다.
도 1b는 재차 들어간 프로파일을 가진 피처 (101) 의 예를 도시한다. 재차 들어간 프로파일은 폐쇄된 하단 단부로부터 피처 개구로 또는 피처의 내부로부터 피처 개구로 좁아지는 프로파일이다. 다양한 구현예들에 따르면, 프로파일은 점점 좁아질 수도 있고 그리고/또는 피처 개구에서 오버행 (overhang) 을 포함할 수도 있다. 도 1b는 피처 홀 (105) 의 내부 표면들 또는 측벽을 라이닝하는 (line) 하층 (113) 을 가진 후자의 예를 도시한다. 하층 (113) 은 예를 들어, 확산 배리어 층, 접착 층, 핵생성 층, 이들의 조합, 또는 임의의 다른 적절한 재료일 수 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함할 수 있다. 특정한 구현예들에서, 하층은 하나 이상의 Ti, TiN, WN, TiAl, 및 W일 수 있다. 하층 (113) 은 하층 (113) 이 피처 (101) 의 내부보다 피처 (101) 의 개구 근방에서 보다 두껍도록 오버행 (115) 을 형성한다.
일부 구현예들에서, 피처 내에 하나 이상의 협착부들을 가진 피처들이 충진될 수도 있다. 도 1c는 협착부들을 가진 다양한 충진된 피처들의 도면들의 예들을 도시한다. 도 1c에서 예들 (a), (b) 및 (c) 각각은, 피처 내의 중간지점에 협착부 (109) 를 포함한다. 협착부 (109) 는 예를 들어, 약 15 ㎚ 내지 20 ㎚ 너비일 수 있다. 협착부들은 피처의 부분이 충진되기 전에 협착부를 지나서 추가의 디포지션을 차단하는 디포짓된 텅스텐과 함께, 종래의 기법들을 사용하여 피처 내에 텅스텐을 디포짓하는 동안 핀치 오프 (pinch off) 를 유발할 수 있고, 피처 내에 보이드들을 발생시킨다. 예 (b) 는 피처 개구에 라이너/배리어 오버행 (115) 을 더 포함한다. 이러한 오버행은 또한 잠재적인 핀치-오프 지점일 수 있다. 예 (c) 는 예 (b) 의 오버행 (115) 보다 필드 구역으로부터 더 떨어져서 협착부 (112) 를 포함한다.
3-D 메모리 구조체들과 같은, 수평 피처들이 또한 충진될 수 있다. 도 1d는 협착부 (151) 를 포함하는 수평 피처 (150) 의 예를 도시한다. 예를 들어, 수평 피처 (150) 는 VNAND 구조체 내의 워드선일 수도 있다.
일부 구현예들에서, 협착부들은 VNAND 구조체 또는 다른 구조체 내의 필라들 (pillar) 의 존재 때문에 있을 수 있다. 도 1e는 예를 들어, VNAND 또는 VIM (vertically integrated memory) 구조체 (148) 내의 필라들 (125) 의 평면도를 도시하고, 도 1f는 필라들 (125) 의 단면도의 간략한 개략도를 도시한다. 도 1e에서 화살표들은 디포지션 재료를 나타내고; 필라들 (125) 이 영역 (127) 과 가스 유입부 또는 다른 디포지션 소스 사이에 배치되기 때문에, 인접한 필라들은 영역 (127) 의 보이드 프리 충진에서 과제들을 나타내는 협착부들 (151) 을 발생시킬 수 있다.
구조체 (148) 는 예를 들어, 기판 (100) 상에 교번하는 층간 유전체 층들 (154) 과 희생적인 층들 (미도시) 의 스택을 디포짓함으로써 그리고 희생적인 층들을 선택적으로 에칭함으로써 형성될 수 있다. 층간 유전체 층들은 예를 들어, 에천트를 사용하여 선택적으로 에칭 가능한 재료로 이루어진 희생적인 층들을 가진, 실리콘 옥사이드 및/또는 실리콘 나이트라이드 층들일 수도 있다. 완성된 메모리 디바이스의 채널 구역들을 포함할 수 있는, 필라들 (125) 을 형성하도록 에칭 프로세스 및 디포지션 프로세스가 이어질 수도 있다.
기판 (100) 의 주 표면은 x 방향 및 y 방향으로 연장될 수 있고, 필라들 (125) 은 z 방향으로 배향된다. 도 1e 및 도 1f의 예에서, x-방향에서 바로 인접한 필라들 (125) 이 y-방향에서 서로 오프셋되도록 (그 역도 가능) 필라들 (125) 은 오프셋 방식으로 배치된다. 다양한 구현예들에 따르면, 필라들 (그리고 인접한 필라들에 의해 형성된 대응하는 협착부들) 은 임의의 수의 방식들로 배치될 수도 있다. 게다가, 필라들 (125) 은 원형, 정사각형, 등을 포함한 임의의 형상일 수도 있다. 필라들 (125) 은 환형 반도전성 재료, 또는 원형 (또는 정사각형) 반도전성 재료를 포함할 수 있다. 게이트 유전체는 반도전성 재료를 둘러쌀 수도 있다. 층간 유전체 층 (129) 각각 사이의 영역은 텅스텐으로 충진될 수 있고; 구조체 (148) 는 x 방향 및/또는 y 방향으로 충진되도록 연장하는 복수의 스택된 수평으로-배향된 피처들을 갖는다.
도 1g는 수평 피처, 예를 들어, 필라 협착부들 (151) 을 포함하는 VNAND 또는 다른 구조체의 도면의 또 다른 예를 제공한다. 도 1g의 예는 개방-단부를 갖고 (open-ended), 증착될 재료는 화살표들로 나타낸 바와 같이 2개의 측면들로부터 수평으로 진입할 수 있다. (도 1g의 예가 구조체의 3-D 피처들을 제공하는 2-D 피처들로서 보일 수 있고, 도 1g가 충진될 영역의 단면도이고 필라 협착부들이 단면도보다는 평면으로 보일 협착부들을 나타낸 도면으로 도시된다는 것을 주의해야 한다.) 일부 구현예들에서, 3-D 구조체들은 2차원 또는 3차원을 따라 (예를 들어, 도 1f의 예에서 x 방향과 y 방향으로 또는 x 방향, y 방향 및 z 방향으로) 확장하여 충진될 영역을 특징으로 할 수 있고, 1차원 또는 2차원을 따라 확장하는 홀들 또는 트렌치들을 충진하는 것보다 충진을 위한 보다 많은 과제들을 제공할 수 있다. 예를 들어, 3-D 구조체의 충진을 제어하는 것은, 증착 가스들이 다중 차원으로부터 피처에 진입할 수도 있기 때문에 어려울 수 있다.
수평으로-배향된 피처와 수직으로-배향된 피처에 대한 피처 충진의 예들은 이하에 기술된다. 대부분의 경우들에서, 이러한 예들이 수평으로-배향된 피처와 수직으로-배향된 피처 양자에 적용 가능하다는 것을 주의해야 한다. 게다가, 이하의 기술에서, 용어 "측면"은 피처 축에 대체로 직각인 방향을 지칭하도록 사용될 수도 있고 용어 "수직"은 대체로 피처 축을 따르는 방향을 지칭하도록 사용될 수도 있다.
피처 내의 재료의 분포는 피처의 단차 커버리지를 특징으로 할 수도 있다. 이 기술의 목적들을 위해서, "단차 커버리지"는 2개의 두께들의 비로서, 즉 개구 근방의 재료의 두께에 의해서 나누어진 피처 내부의 재료의 두께로서 규정된다. 이 기술의 목적들을 위해서, 용어 "피처 내부"는 피처의 축을 따라서 피처의 중간 지점 주위에 위치된 피처의 중간 부분을 나타내며, 예를 들어, 피처의 개구로부터 측정된 피처의 깊이를 따르는 거리의 약 25 % 내지 75 %, 또는 특정한 실시예들에서, 약 40 % 내지 60 %의 영역, 또는 개구로부터 측정된 피처의 축을 따르는 거리의 약 75 % 내지 95 % 사이에 위치된 피처의 단부 부분을 말한다. 용어 "피처의 개구 근방" 또는 "피처 개구 근방"은 개구의 에지 또는 개구의 에지를 나타내는 다른 엘리먼트의 25 % 또는 보다 구체적으로 10 % 내에 위치된 피처의 상단 부분을 말한다. 100 % 이상의 단차 커버리지는 예를 들어, 피처 개구에서보다 피처의 중간 또는 피처의 하단 근방에서 피처를 보다 넓게 (wider) 충진함으로써 달성될 수 있다.
이하의 기술이 텅스텐 피처 충진에 초점을 맞추지만, 본 개시의 양태들은 또한 다른 재료들로 피처들을 충진하는데 있어서 구현될 수도 있다. 예를 들어, 본 명세서에 기술된 하나 이상의 기법들을 사용하는 피처 충진은, 다른 텅스텐-함유 재료들 (예를 들어, 텅스텐 나이트라이드 (WN) 및 텅스텐 카바이드 (WC)), 티타늄-함유 재료들 (예를 들어, 티타늄 (Ti), 티타늄 나이트라이드 (TiN), 티타늄 실리사이드 (TiSi), 티타늄 카바이드 (TiC) 및 티타늄 알루마이드 (TiAl)), 탄탈륨-함유 재료들 (예를 들어, 탄탈륨 (Ta), 및 탄탈륨 나이트라이드 (TaN)), 및 니켈-함유 재료들 (예를 들어, 니켈 (Ni) 및 니켈 실리사이드 (NiSi) 을 포함한 다른 재료들로 피처들을 충진하도록 사용될 수도 있다. 또한, 본 명세서에 개시된 방법들 및 장치는 피처 충진으로 제한되지 않지만, 평평한 표면들 상에 블랭킷 막들을 형성하는 것을 포함하여, 임의의 적절한 표면 상에 텅스텐을 디포짓하도록 사용될 수 있다.
일부 실시예들에서, 본 명세서에 기술된 방법들은 벌크 층의 디포지션 전에 텅스텐 핵생성 층의 디포지션을 수반한다. 핵생성 층은 통상적으로 상부의 벌크 텅스텐-함유 재료의 차후의 디포지션을 용이하게 하는 컨포멀한 (conformal) 박층이다. 다양한 실시예들에 따르면, 핵생성 층은 임의의 피처 충진 전에 그리고/또는 텅스텐 또는 텅스텐-함유 재료로 피처를 충진하는 동안 차후의 시점들에서 디포짓될 수도 있다.
특정한 구현예들에서, 핵생성 층은 PNL (pulsed nucleation layer) 기법을 사용하여 디포짓된다. PNL 기법에서, 환원제의 펄스, 선택 가능한 퍼지 가스들의 펄스, 및 텅스텐-함유 전구체의 펄스는, 반응 챔버 내로 순차적으로 주입되고 반응 챔버로부터 퍼지된다. 프로세스는 목표된 두께가 달성될 때까지 순환적인 방식으로 반복된다. PNL 기법은 ALD 기법들을 포함하여, 반도체 기판 상의 반응을 위해 반응물질들을 순차적으로 추가하는 임의의 순환적인 프로세스를 폭 넓게 포함한다. 텅스텐 핵생성 층들을 디포짓하기 위한 PNL 기법들은, 미국 특허 제 6,635,965 호; 제 7,005,372 호; 제 7,141,494 호; 제 7,589,017 호, 제 7,772,114 호, 제 7,955,972 호 및 제 8,058,170 호, 및 미국 특허 공개 공보 제 2010-0267235 호에 기술되고, 이들 모두는 전체가 참조로서 본 명세서에 인용된다. 핵생성 층 두께는 핵생성 층 디포지션 방법뿐만 아니라 벌크 디포지션의 목표된 품질에 따라 결정될 수 있다. 대체로, 핵생성 층 두께는 고품질의, 균일한 벌크 디포지션을 지원하는데 충분하다. 예들은 5 Å 내지 100 Å일 수도 있다.
텅스텐 전구체들 및 디포짓된 텅스텐 막들 내의 불소 (F) 는 매우 반응성인 불산 (HF) 을 형성하도록 추가의 통합 동작들 동안 반응할 수도 있다. HF는 예를 들어, 옥사이드 스택들 내의 옥사이드를 부식시킬 (eat into) 수 있거나 그렇지 않으면 통합에 부정적으로 영향을 미칠 수 있다. 텅스텐 헥사플루오라이드 (WF6) 와 같은 플루오르화 전구체들을 사용하는 텅스텐 핵생성 층들을 디포짓하는 종래의 방법들은, 핵생성 층들 내에 일부 양의 불소를 발생시킨다. 도 2는 텅스텐 핵생성 층을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다. 도 2에 대해 기술된 방법은 저 불소 함량을 가진 텅스텐 핵생성 층을 발생시킨다.
도 2에 대해 이하에 기술된 방법은 환원제 및 불소-함유 텅스텐 전구체의 교번하는 펄스들에 기판을 노출시키는 단계를 수반한다. 다양한 실시예들에 따르면, 이들 교번하는 펄스들에 대한 노출은 시간적 스위칭 또는 공간적 스위칭을 수반할 수도 있다. 시간적 스위칭은 기판이 챔버와 같은 특정한 환경에서 정지 상태로 남아 있다면 수행될 수도 있다. 공간적 스위칭은 기판을 상이한 환경으로 이동시키는 것을 수반할 수도 있다. 이하의 기술이 주로 시간적 스위칭 (그렇다면 기판이 디포지션 전반에 걸쳐 특정한 챔버 환경에 남아 있다고 가정) 에 관한 것이지만, 방법은 또한 공간적 스위칭에 의해 구현될 수 있다는 것이 이해된다.
블록 202에서, 프로세스는 웨이퍼 또는 다른 기판을 하우징하는 챔버 내에서 환원제를 펄싱하는 것을 수반한다. 환원제는 텅스텐 (W) 을 형성하도록 텅스텐 전구체를 환원시킬 수 있는 (그리고/또는 환원시킬 수 있는 환원 생성물 (decomposition product) 을 형성하는) 화합물이다. 환원제는 실란들과 같은 실리콘-함유 환원제들, 보란들과 같은 붕소-함유 환원제들, 및 게르만들과 같은 게르마늄-환원제들을 포함하는 예들을 가진, 수소 (H2) 보다 보다 강한 환원제일 수도 있다. 특정한 예들은 실란 (SiH4), 디실란 (Si2H6), 보란 (BH3), 디보란 (B2H6), 게르만 (GeH4) 및 디게르만 (Ge2H6) 을 포함한다. 하이드라진들과 같은 다른 환원제들이 채용될 수도 있다. 일부 실시예들에서, 환원제 또는 환원제의 환원 생성물은 기판 표면 상에 흡착되거나 그렇지 않으면 디포짓될 수도 있고 반응을 위해 이용 가능할 수도 있다. 블록 204에서, 기판 표면 상에 디포짓되지 않은 과잉 환원제가 챔버로부터 퍼지된다. 일부 실시예들에서 퍼지 동작이 수행되지 않을 수도 있다.
블록 206에서, WF6과 같은 불소-함유 텅스텐 전구체는 기판을 하우징하는 챔버 내에서 펄싱되고, 챔버는 펄싱 동안 저 챔버 압력으로 있다. 저압은 10 Torr 미만이다. 일부 구현예들에서, 압력은 7 Torr 미만, 5 Torr 미만, 또는 3 Torr 이하이다.
도 2의 예에서, 기판은 프로세스 동안 단일의 챔버 내에 남아 있다. 이와 같이, 챔버는 도 2의 동작들 중 임의의 동작 동안 저압으로 있을 수도 있다. 일부 실시예들에서, 챔버 압력은 프로세스 동안 실질적으로 일정하다. 당업자는 실질적으로 일정한 챔버 압력이 상이한 가스 플로우들의 도입, 등에 기인한 작은 변동들을 가진 챔버 압력을 포함한다는 것을 이해할 것이다. 그러나, 일부 실시예들에서, 챔버 압력은 블록 206보다 블록 202에서 보다 높을 수 있다. 불소-함유 텅스텐 전구체는 텅스텐 막의 층 또는 서브-층을 형성하도록 환원제 또는 환원 생성물과 반응한다. 이하에 더 기술되는 바와 같이, 블록 206을 저압으로 수행함으로써, 막 내에 포함된 불소의 양은 상당히 감소된다. 블록들 202 내지 206은 목표된 두께의 텅스텐을 구축하도록 1회 이상 선택 가능하게 반복될 수도 있다. 블록 208. 다양한 수정들이 도 2에 도시된 프로세스에 대해 행해질 수도 있다. 일부 실시예들에서, 예를 들어, 불소-함유 텅스텐 전구체가 환원제 펄싱 전에 펄싱될 수도 있도록 블록 206이 블록 202 전에 수행될 수도 있다. 이와 같이, 불소-함유 전구체 또는 불소-함유 전구체의 환원 생성물은, 들어오는 펄스의 환원제와 반응하도록 이용 가능한 기판 상에 흡착될 수도 있다.
도 3은 텅스텐 (W)/티타늄 나이트라이드 (TiN)/USG (undoped silicon glass) 스택들에 대한 불소 (F) 농도 프로파일들을 도시하는 플롯이고, 프로파일들은 각각 ALD에 의해 3 Torr, 10 Torr 및 40 Torr에서 디포짓된 W 층을 가진 스택에 대해 도시된다. 텅스텐 헥사플루오라이드 및 디보란은 각각 텅스텐 전구체 및 환원제로서 사용되었다. 프로파일 각각은 약 275 Å의 깊이까지 상대적으로 평평한 부분, 이어서 W/TiN 계면에서 F 농도의 증가, 그리고 깊이가 TiN/USG 계면과 USG 층으로 증가할 때 감소를 특징으로 한다. W 층에 나타난 증가는 측정 프로세스의 아티팩트 (artifact) 이고, 아마 TiN 층 내의 F 함량을 반영한다. 이와 같이, W 층 내의 F 함량은 프로파일의 평평한 부분에 가장 잘 나타난다.
특히, 10 Torr 막 (라인 304) 이 40 Torr 막 (라인 306) 보다 상당히 보다 낮은 불소 함량을 갖는 반면에, 10 Torr (라인 304) 보다 저압에서 디포짓된 ALD 막은 10 Torr (라인 304) 및 40 Torr (라인 306) 에서 디포짓된 막들과 비교하여 100x 이상의 불소 함량 감소를 갖는다. 라인 302의 평평한 부분은, 불소를 측정하도록 사용된 툴의 검출 레벨 이하인 1017 atoms/㎤에 있고, 불소 레벨이 1017 atoms/㎤보다 보다 낮을 수도 있다는 것을 나타낸다. 이 측정 레벨은 또한 불소-프리 전구체를 사용하여 디포짓된 텅스텐에 대응하고, 저압 (< 10 Torr) 프로세스가 불소-함유 전구체를 사용하여 본질적으로 불소-프리 텅스텐 핵생성 층을 디포짓하도록 사용될 수도 있다는 것을 나타낸다.
저압 프로세스는 또한 일부 실시예들에서 하부 유전체 층 내의 불소의 양을 감소시킨다. 도 4는 얇은 (30 Å) TiN 배리어 층들 상에 ALD에 의해 디포짓된 W을 가진 텅스텐 (W)/TiN/열 옥사이드에 대한 불소 (F) 농도 프로파일들을 도시하는 플롯이다. 3개의 300 Å W 핵생성 층/30 Å TiN/1000 Å 열 옥사이드 스택들의 프로파일들이 도시되고, 300 Å 텅스텐 (W) 핵생성 층들은 3 Torr, 10 Torr 및 40 Torr 각각에서 디포짓된다. 여기서, 3 Torr W 층의 F 농도는 F 검출 레벨 이하이다 (도 3에서와 같음). 또한, 보다 저압 프로세스는 열 옥사이드 층의 보다 저 F 농도를 발생시킨다.
상기에 나타낸 바와 같이, 불소-함유 텅스텐 전구체를 사용한, 텅스텐 핵생성 층의 저압 ALD 디포지션은 견고한 (solid) 텅스텐 타깃의 PVD (physical vapor deposition) 에 의해 또는 불소-프리 전구체들을 사용하여 디포짓된 막들과 필적하는 불소 함량을 발생시킨다. 도 5는 W/TiN/열 옥사이드 스택들에 대한 F 농도 프로파일들을 도시한 플롯이다. 다음의 W 디포지션 방법들: WF6를 사용하는, 40 Torr에서의 ALD (라인 506), WF6를 사용하는, 10 Torr에서의 ALD (라인 504), WF6를 사용하는, 3 Torr에서의 ALD (라인 502), 불소-프리 텅스텐 (FFW) 전구체를 사용하는, 40 Torr에서의 ALD (라인 505), 및 PVD (라인 503) 에 대한 프로파일들이 도시된다.
도 5는 대부분의 W ALD 막의 F 퍼센티지가 40 Torr ALD 프로세스, 뒤이어 10 Torr ALD 프로세스에서 가장 높다는 것을 나타낸다. 10 Torr ALD 막의 F 함량은 FFW 막의 F 함량과 대략 동일하다. F 함량은 3 Torr ALD 막과 PVD 막에서 가장 낮다. 특히, FFW 막보다 3 Torr WF6 막에서 보다 낮은 F가 측정된다.
TiN/옥사이드 계면에서, F 함량은 40 Torr ALD 막에서 가장 높고, (순서대로) 10 Torr ALD 막, 3 Torr ALD 막, 그리고 FFW 막 및 PVD 막이 뒤를 잇는다.
도 3 내지 도 5에 나타난 챔버 압력에 대한 불소 함량의 비선형 상관관계는 예상 밖인데, 부분적으로 WF6계 ALD 디포짓된 막들 내의 불소가 온도와 같은 다른 프로세스 파라미터들의 변동들에 대체로 매우 무반응이기 때문이다. 기판 온도를 상승시키는 것은 불소 함량을 어느 정도로 감소시킬 수 있지만, FFW 막의 불소 함량에 다가가기엔 불충분하다. 또한, 온도의 증가는 단차 커버리지의 감소를 발생시킨다. 대조적으로, 본 명세서에 개시된 저압 프로세스들은 우수한 단차 커버리지 (예를 들어, 적어도 90 %) 를 발생시킬 수 있다. 불소계 텅스텐 전구체들을 사용하는 CVD 디포지션이 도 3 내지 도 5에 도시된 방식으로 챔버 압력에 응답하지 않는다는 것이 또한 주의되어야 한다. 특정한 이론에 매이지 않고, ALD 프로세스 동안 저 챔버 압력은 불소 (F2) 또는 반응의 다른 F-함유 부산물들로 하여금 W 막이 디포짓될 때 W 막의 외부로 확산하거나 W 막의 표면을 떠나게 할 수도 있다고 여겨진다.
도 2의 프로세스에서, 캐리어 가스는 반응물질들을 챔버로 전달하도록 사용될 수도 있다. 사용된다면, 챔버 압력이 캐리어 가스의 분압과 유사할 수도 있도록 캐리어 가스는 통상적으로 반응물질들보다 훨씬 보다 높은 플로우 레이트를 갖는다. 캐리어 가스가 또한 반응물질 펄스들 사이에서 퍼지 가스로서 기능할 수도 있거나, 별개의 퍼지 가스가 사용될 수도 있다. 아르곤, 제논, 크립톤, 질소, 또는 헬륨과 같은 불활성 컴포넌트가 캐리어 가스로서 사용될 수도 있다.
다양한 구현예들에 따르면, 수소는 또 다른 캐리어 가스에 더하여 또는 또 다른 캐리어 가스 대신에 백그라운드에서 흐르거나 (run) 흐르지 않을 수도 있다. 일부 실시예들에서, 핵생성 층 디포지션이 수소 (H2)-프리 프로세스이도록 수소는 백그라운드에서 흐르지 않는다. H2를 첨가하는 것은 가능하게는 HF의 생성 때문에 불소 감소를 개선할 수 있다.
불소 오염의 유해한 효과들을 방지하는 것에 더하여, 저압 프로세스는 감소된 저항률을 발생시킬 수 있다. 도 6은 40 Torr ALD 프로세스 (백그라운드에 H2 있음) 및 H2가 없는 3 Torr ALD 프로세스에 대한 저항률 측정들을 나타낸다. WF6은 텅스텐 함유 전구체로서 그리고 B2H6은 환원제로서 사용되었다. 저항률은 저압 프로세스에 대해 상당히 보다 낮은데, 이는 막 내의 F 불순물의 감소된 양 때문일 수도 있다. 도 6의 데이터 생성에서 디포짓된 막들은 비정질이고, 3 Torr 막의 저항률은 비정질 막에 대해 매우 낮다는 것이 주의되어야 한다.
도 3 내지 도 6의 데이터를 생성하도록 사용된 3 Torr ALD 디포지션들은 10 Torr 및 40 Torr에서 디포짓하도록 사용된 툴의 제한들 때문에 10 Torr 및 40 Torr ALD 디포지션들과 상이한 툴들 상에서 수행되었다는 것을 주의하라.
일부 실시예들에서, 제 1 텅스텐 층은 불소-함유 텅스텐 전구체를 사용하는, 저압의 ALD에 의해 텅스텐 층을 디포짓하기 전에 불소-프리 프로세스에 의해 디포짓될 수도 있다. 이러한 프로세스들은 예를 들어, 하부 층 내 또는 층들 간의 계면에서 불소 함량을 감소시키는데 유용할 수도 있다. 도 7은 텅스텐을 디포짓하는 방법의 예의 특정한 동작들을 예시하는 프로세스 흐름도이다. 방법은 비-불소 프로세스에서 제 1 텅스텐 층을 디포짓하는 단계 (702) 를 포함한다. 제 1 텅스텐 층은 예를 들어, 배리어 또는 부착 층, 예를 들어, 티타늄 (Ti), TiN, Ti/TiN, 텅스텐 나이트라이드 (WN), 또는 다른 층 상에 디포짓될 수도 있다. 일부 구현예들에서 제 1 텅스텐은 실리콘 옥사이드 층과 같은 유전체 층 바로 위에 디포짓될 수도 있다.
비-불소 프로세스들의 예들은 불소-함유 전구체들을 사용하지 않는 ALD 프로세스 및 CVD 프로세스를 포함한다. 사용될 수도 있는 불소-프리 텅스텐 전구체들의 예들은, 텅스텐 헥사카보닐 (W(CO)6), 텅스텐 클로라이드들, 예를 들어, 텅스텐 헥사클로라이드 (WCl6) 및 텅스텐 펜타클로라이드 (WCl5), 및 유기텅스텐 전구체들, 예를 들어, W2(NMe2)6, W(OEt)6, W(OnPr)6, (tBuN=)2W(NMe2)2, (tBuN=)2W(NEtMe)2, W(Cp)2H2, W(NEt2)2(NEt)2, W(iPrCp)2H2, (tBuN=)2W(HNMe)2, W(EtCp)2H2 및 이들의 유도체들을 포함한다. 추가의 예들은 Praxair로부터 입수 가능한 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten), MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten), 및 ETHW (ethylcyclopentadienyl)tricarbonylhydridotungsten), 뿐만 아니라 다음의 구조를 갖는 텅스텐 bis(알킬이미노)bis(알킬아미노) 화합물들을 포함한다.
여기서 R 각각은 메틸기, 에틸기, 프로필기, 부틸기, 및 tert-부틸기로부터 독립적으로 선택될 수도 있다. 이들 기들은 치환되거나 치환되지 않을 수도 있지만, 통상적으로 치환되지 않는다. 예를 들어, 텅스텐-함유 전구체는 bis(tert-부틸이미노)bis(디메틸아미노) 텅스텐 (W[N(C4H9)]2[N(CH3)2]2) 이다.
제 1 텅스텐 층은 차후의 디포지션에서 불소-함유 텅스텐 프로세스로부터의 불소를 하부 재료에 도달하는 것으로부터 방지하기에 충분한 두께로 디포짓될 수도 있다. 예시적인 두께들은 10 Å 내지 100 Å일 수도 있지만, 이들 범위들 외의 두께들이 구현될 수도 있다.
방법은 불소-함유 전구체를 사용하는 저압 ALD에 의해 제 2 텅스텐 층을 디포짓하는 것으로 계속된다. 블록 704. 제 2 텅스텐 층은 제 1 텅스텐 층 바로 위에 디포짓된 텅스텐 핵생성 층일 수도 있다. 블록 704는 도 2에 대해 상기에 기술된 바와 같은 프로세스를 수반할 수도 있다.
블록 704에 대한 디포지션 레이트는 블록 702에 대한 디포지션 레이트보다 상당히 보다 높을 수도 있다. 이와 같이, 블록 702는 불소로부터 하부 층을 보호하는 불소-프리 층을 발생시킬 수도 있고 블록 704는 매우 저 불소 함량을 가진 보다 빠른 디포지션을 발생시킨다.
일부 실시예들에서, 텅스텐 핵생성 층의 디포지션에, 텅스텐 벌크 디포지션 전의 하나 이상의 처리 동작들이 이어질 수도 있다. 보다 저 저항률로 디포짓된 텅스텐 핵생성 층을 처리하는 것은, 예를 들어 본 명세서에 참조로서 인용되는, 미국 특허 제 7,772,114 호 및 제 8,058,170 호 및 미국 특허 공개 공보 제 2010-0267235 호에 기술된다.
텅스텐 층의 저압 ALD 디포지션에, 텅스텐 벌크 디포지션이 이어질 수도 있다. 텅스텐 벌크 디포지션은, 환원제 및 텅스텐-함유 전구체가 피처 내에 벌크 충진 층을 디포짓하도록 디포지션 챔버 내로 흐르는 CVD 프로세스에 의해 발생할 수 있다. 불활성 캐리어 가스는 미리 혼합되거나 미리 혼합되지 않을 수도 있는 하나 이상의 반응물질 스트림들을 전달하도록 사용될 수도 있다. PNL 프로세스 또는 ALD 프로세스와 달리, CVD 동작은 목표된 양이 디포짓될 때까지 반응물질들을 함께 연속적으로 흘리는 것을 일반적으로 수반한다. 특정한 구현예들에서, CVD 동작은 방향 전환된 하나 이상의 반응물질 플로우들의 기간들에 의해 분리된 반응물질들의 연속적이거나 동시의 플로우의 복수의 기간들을 가진, 복수의 단계들로 발생할 수도 있다.
이로 제한되지 않지만, WF6, WCl6, WCl5, W(CO)6을 포함하는 다양한 텅스텐-함유 가스들 또는 상기에 나열된 유기텅스텐 전구체들은, 텅스텐-함유 전구체로서 사용될 수 있다. 특정한 구현예들에서, 텅스텐-함유 전구체는 WF6과 같은 할로겐-함유 화합물이다. 특정한 구현예들에서, 환원제는 수소 가스이지만, 실란 (SiH4), 디실란 (Si2H6), 하이드라진 (N2H4), 디보란 (B2H6) 및 게르만 (GeH4) 을 포함한 다른 환원제들도 사용될 수도 있다. 많은 구현예들에서, 수소 가스는 CVD 프로세스에서 환원제로서 사용된다. 일부 다른 구현예들에서, 벌크 텅스텐 층을 형성하도록 분해될 (decompose) 수 있는 텅스텐 전구체가 사용될 수 있다. 벌크 디포지션이 또한 ALD 프로세스들을 포함한 다른 타입들의 프로세스들을 사용하여 발생할 수도 있다. 더욱이 또한, 벌크 디포지션은 순차적인 CVD 프로세스를 사용하여 발생할 수도 있다. 이러한 프로세스들의 기술들은 동시에 출원된 미국 특허 출원 (대리인 문서 제 LAMRP184/3601 호) 에 제공된다. 온도들의 예들은 200 ℃ 내지 500 ℃일 수도 있다. 다양한 구현예들에 따르면, 본 명세서에 기술된 임의의 CVD W 동작들은 예를 들어, 약 250 ℃ 내지 350 ℃ 또는 약 300 ℃인 저온 CVD W 충진을 채용할 수 있다.
디포지션은 다양한 구현예들에 따라 특정한 피처 프로파일이 달성되고 그리고/또는 특정한 양의 텅스텐이 디포짓될 때까지 진행될 수도 있다. 일부 구현예들에서, 디포지션 시간 및 다른 연관된 파라미터들은 모델링 및/또는 시행착오에 의해 결정될 수도 있다. 예를 들어, 텅스텐이 핀치-오프까지 피처 내에 컨포멀하게 디포짓될 수 있는 인사이드 아웃 충진 프로세스에 대한 초기 디포지션에 대해, 핀치-오프를 달성할 텅스텐 두께 및 대응하는 디포지션 시간을 피처 치수들에 기초하여 결정하는 것이 간단할 수도 있다. 일부 구현예들에서, 프로세스 챔버에는 디포지션 동작의 엔드-포인트 검출에 대한 인-시츄 계측 측정들을 수행하도록 다양한 센서들이 구비될 수도 있다. 인-시츄 계측의 예들은 디포짓된 막들의 두께를 결정하기 위한 광학 현미경 검사 및 XRF (X-Ray Fluorescence) 를 포함한다.
본 명세서에 기술된 임의의 텅스텐 막들은 특정한 전구체들 및 사용된 프로세스들에 따라, 일정량의 다른 화합물들, 도펀트들 및/또는 불순물들, 예를 들어 질소, 탄소, 산소, 붕소, 인, 황, 실리콘, 게르마늄 등을 포함할 수도 있다는 것이 이해되어야 한다. 막 내의 텅스텐 함량은 20 % 내지 100 % (원자) 텅스텐일 수도 있다. 많은 구현예들에서, 막들은 적어도 50 % (원자) 텅스텐, 또는 심지어 적어도 약 60 %, 75 %, 90 %, 또는 99 % (원자) 텅스텐을 가진, 텅스텐-풍부 막들이다. 일부 구현예들에서, 막들은 금속 또는 원소 텅스텐 (W) 과 텅스텐 카바이드 (WC), 텅스텐 나이트라이드 (WN), 등과 같은 다른 텅스텐-함유 화합물들의 혼합물일 수도 있다.
이들 재료들의 CVD, ALD 및 저압 ALD 디포지션은 임의의 적절한 전구체들을 사용하는 것을 포함할 수 있다. 예를 들어, 텅스텐 나이트라이드의 CVD 및 ALD 디포지션은 아래에 더 기술되는 바와 같이 할로겐-함유 화합물 및 할로겐-프리 텅스텐-함유 화합물 및 질소-함유 화합물을 사용하는 것을 포함할 수 있다. 티타늄-함유 층들의 CVD 및 ALD 디포지션은 TDMAT (tetrakis(dimethylamino)titanium) 및 티타늄 클로라이드 (TiCl4) 를 포함한 예들을 가진 티타늄을 함유한 전구체들, 그리고 적합한 경우에, 하나 이상의 공반응물질들을 사용하는 것을 포함할 수 있다. 탄탈륨-함유 층들의 CVD 및 ALD 디포지션은 PDMAT (pentakis-dimethylamino tantalum) 및 TaF5와 같은 전구체들, 그리고 적합한 경우에, 하나 이상의 공반응물질들을 사용하는 것을 포함할 수 있다. 코발트-함유 층들의 CVD 및 ALD 디포지션은 TTHC (tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt), BCC (bis(cyclopentadienyl)cobalt), 및 DHB (dicobalt hexacarbonyl butylacetylene) 와 같은 전구체들, 및 하나 이상의 공반응물들을 사용하는 것을 포함할 수 있다. 니켈-함유 층들의 CVD 및 ALD 디포지션은 CDC (cyclopentadienylallylnickel (CpAllylNi)) 및 MeCp2Ni와 같은 전구체들을 사용하는 것을 포함할 수 있다. 공반응물질들의 예들은 N2, NH3, N2H4, N2H6, SiH4, Si3H6, B2H6, H2, 및 AlCl3을 포함할 수 있다. 본 명세서에 기술된 방법들은 불소-함유 전구체들을 사용하는, 다양한 막들의 ALD를 위해 사용될 수도 있다.
장치
임의의 적합한 챔버가 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 디포지션 장치들은 다양한 시스템들, 예를 들어, 캘리포니아 프리몬트 소재의 Lam Research Corp.으로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 일부 실시예들에서, 저압 ALD는 단일의 디포지션 챔버 내에 위치된 2개, 5개, 또는 심지어 더 많은 디포지션 스테이션들 중 하나의 스테이션인 일 스테이션에서 수행될 수도 있다. 따라서, 예를 들어, 디보란 및 텅스텐 헥사플루오라이드는 기판 표면에 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여, 스테이션에서 반도체 기판의 표면으로 교번하여 도입될 수도 있다. 또 다른 스테이션이 불소-프리 텅스텐 디포지션, 또는 CVD를 위해 사용될 수도 있다. 2개 이상의 스테이션들이 병렬 프로세싱에서 텅스텐을 디포짓하도록 사용될 수도 있다. 대안적으로, 웨이퍼는 순차적으로 2개 이상의 스테이션들 위에서 다양한 동작들을 수행하도록 인덱싱될 수도 있다.
도 8은 실시예들에 따른, 도전성 텅스텐 박막 디포지션 프로세스들에 적합한 프로세싱 시스템의 블록도이다. 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 다양한 반응기 모듈들 사이에서 프로세싱될 기판들이 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하기 위해 클린 (clean), 가압된 환경을 제공한다. 실시예들에 따라 ALD 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (809) 가 이송 모듈 (803) 상에 장착된다. 멀티-스테이션 반응기 (809) 는 일부 실시예들에서 저압 텅스텐 ALD 및/또는 텅스텐 CVD를 수행하도록 사용될 수도 있다. 멀티-스테이션 반응기 (809) 는, 개시된 실시예들에 따라 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (811, 813, 815, 및 817) 을 포함할 수도 있다. 예를 들어, 멀티-스테이션 반응기 (809) 는 스테이션 (811) 이 ALD에 의한 불소-프리 핵생성 층 디포지션을 수행하고, 스테이션 (813) 이 저압 ALD를 수행하고, 그리고 스테이션들 (815 및 817) 이 CVD를 수행하도록 구성될 수 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입부들 또는 샤워헤드 또는 확산 플레이트를 포함할 수도 있다. 웨이퍼 지지부 (902) 및 샤워헤드 (903) 를 포함하는 디포지션 스테이션 (900) 의 예는 도 9에 도시된다. 가열기는 페데스탈 부분 (901) 에 제공될 수도 있다. 페데스탈 (901) 은 웨이퍼를 클램핑하기 위한 척을 포함할 수도 있다. 특정한 실시예들에서, 저압 환경을 제공하는 것을 용이하게 하도록 진공 척보다는 정전 또는 기계 척이 사용될 수도 있다. 가스들은 배기부 (미도시) 에 의해 디포지션 스테이션 (900) 외부로 배기될 수도 있다.
또한 플라즈마 또는 화학적 (비플라즈마) 선-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (807) 이 이송 모듈 (803) 상에 장착될 수도 있다. 모듈은 또한 다양한 처리들, 예를 들어, 디포지션 프로세스를 위해 기판을 준비하도록 사용될 수도 있다. 시스템 (800) 은 프로세싱 전후에 웨이퍼들이 저장되는 하나 이상의 웨이퍼 소스 모듈들 (801) 을 또한 포함한다. 대기 이송 챔버 (819) 내의 대기 로봇 (atmospheric robot) (미도시) 은 먼저 소스 모듈들 (801) 로부터 로드록들 (821) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (803) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 은 로드록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
특정한 실시예들에서, 시스템 제어기 (829) 는 디포지션 동안 프로세스 조건들을 제어하도록 채용된다. 시스템 제어기 (829) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
시스템 제어기 (829) 는 디포지션 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (829) 는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 제어기 (829) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (829) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직이 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 내에 하드 코딩된 로직, ASIC들 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 시스템 제어기 (829) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 시스템 (800) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시예들에 따라 디포지션 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드를 포함한다.
일부 구현예들에서, 제어기 (829) 는 상술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (829) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (829) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (829) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (829) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 공간을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들, 펄스 시간들을 제어하기 위한 코드 그리고 선택 가능하게 챔버 내의 압력을 안정화시키기 위해 디포지션 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
디포지션 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들과 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 써모커플들을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터로 사용될 수도 있다.
전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현예를 기술한다. 본 명세서에서 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작 동안, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래픽 패터닝은 일반적으로 단계들 각각이 복수의 가능한 툴들을 사용하여 제공되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
Claims (20)
- 기판 상에 텅스텐-함유 막을 디포짓하도록 불소-함유 텅스텐 전구체와 환원제의 교번하는 펄스들에 상기 기판을 노출시키는 단계를 포함하고, 상기 불소-함유 텅스텐 전구체 펄스들 동안 상기 기판을 하우징하는 챔버의 챔버 압력은 10 Torr 미만이고, 그리고 상기 텅스텐-함유 막 내의 불소 농도는 1018 atoms/㎤ 미만이고, 상기 챔버 압력은 상기 텅스텐-함유 막의 디포지션 동안 일정하게 유지되는, 방법.
- 제 1 항에 있어서,
상기 챔버 압력은 7 Torr 미만인, 방법. - 제 1 항에 있어서,
상기 챔버 압력은 5 Torr 미만인, 방법. - 제 1 항에 있어서,
상기 텅스텐-함유 막은 텅스텐 핵생성 층인, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 텅스텐-함유 막은 불소-프리 프로세스를 통해 디포짓된 제 1 텅스텐-함유 막 상에 디포짓되는, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 텅스텐-함유 막은 배리어 층 상에 디포짓되는, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 환원제는 실리콘-함유 환원제, 붕소-함유 환원제, 및 게르마늄-함유 환원제로부터 선택되는, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 불소-함유 텅스텐 전구체 펄스들은 수소 (H2) 를 포함하는, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 텅스텐-함유 막의 저항률은 130 μΩ/㎝ 미만인, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 텅스텐-함유 막의 저항률은 110 μΩ/㎝ 미만인, 방법. - 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
CVD (chemical vapor deposition) 에 의해 상기 텅스텐-함유 막 상에 텅스텐 벌크 층을 디포짓하는 단계를 더 포함하는, 방법. - 불소-프리 프로세스에 의해 기판 상에 제 1 텅스텐-함유 막을 디포짓하는 단계; 및
불소-함유 전구체를 사용하는 ALD (atomic layer deposition) 에 의해 제 2 텅스텐-함유 막을 디포짓하는 단계로서, 상기 제 2 텅스텐-함유 막의 디포지션 동안 상기 기판을 하우징하는 챔버의 챔버 압력은 10 Torr 미만이고, 상기 제 2 텅스텐-함유 막 내의 불소 농도는 1018 atoms/㎤ 미만이고, 상기 챔버 압력은 상기 제 2 텅스텐-함유 막의 디포지션 동안 일정하게 유지되는, 상기 제 2 텅스텐-함유 막을 디포짓하는 단계를 포함하는, 방법. - 제 12 항에 있어서,
상기 제 1 텅스텐-함유 막은 배리어 층 상에 디포짓되는, 방법. - 제 12 항에 있어서,
상기 제 1 텅스텐-함유 막은 실리콘 옥사이드 상에 디포짓되는, 방법. - 제 12 항 또는 제 13 항에 있어서,
상기 챔버 압력은 7 Torr 미만인, 방법. - 제 12 항 또는 제 13 항에 있어서,
상기 챔버 압력은 5 Torr 미만인, 방법. - 제 12 항 또는 제 13 항에 있어서,
상기 제 2 텅스텐-함유 막의 불소 농도 프로파일은 불소 농도가 1018 atoms/㎤ 미만인 제 1 프로파일 부분을 가지는 것을 특징으로 하고, 상기 제 1 프로파일 부분은 상기 제 2 텅스텐-함유 막의 두께의 대부분을 나타내는, 방법. - 기판들을 프로세싱하기 위한 장치에 있어서,
(a) 기판을 홀딩하도록 구성된 페데스탈을 포함하는 적어도 하나의 프로세스 챔버;
(b) 진공에 커플링하기 위한 적어도 하나의 유출부;
(c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
(d) 장치의 동작들을 제어하기 위한 제어기를 포함하고,
상기 제어기는,
교번하는 펄스들로 환원제 및 불소-함유 텅스텐 전구체를 상기 프로세스 챔버에 도입하기 위한 머신 판독가능 인스트럭션들을 포함하고,
1018 atoms/㎤ 미만의 총 불소 농도를 갖는 텅스텐-함유 막을 디포짓하도록 상기 챔버 압력은 10 Torr 미만이고, 상기 챔버 압력은 상기 텅스텐-함유 막의 디포지션 동안 일정하게 유지되는, 기판들을 프로세싱하기 위한 장치. - 삭제
- 삭제
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/723,275 US9754824B2 (en) | 2015-05-27 | 2015-05-27 | Tungsten films having low fluorine content |
US14/723,275 | 2015-05-27 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20160140458A KR20160140458A (ko) | 2016-12-07 |
KR102678471B1 true KR102678471B1 (ko) | 2024-06-25 |
Family
ID=57398986
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160064757A KR102678471B1 (ko) | 2015-05-27 | 2016-05-26 | 저 불소 함량을 가진 텅스텐 막들 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9754824B2 (ko) |
JP (1) | JP6971539B2 (ko) |
KR (1) | KR102678471B1 (ko) |
TW (1) | TWI709656B (ko) |
Families Citing this family (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9793139B2 (en) * | 2015-10-29 | 2017-10-17 | Sandisk Technologies Llc | Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines |
JP6710089B2 (ja) * | 2016-04-04 | 2020-06-17 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10283404B2 (en) * | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
KR102331573B1 (ko) | 2017-03-31 | 2021-11-25 | 가부시키가이샤 코쿠사이 엘렉트릭 | 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 |
CN110731003B (zh) | 2017-04-10 | 2024-03-26 | 朗姆研究公司 | 含钼的低电阻率的膜 |
US10460987B2 (en) * | 2017-05-09 | 2019-10-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor package device with integrated antenna and manufacturing method thereof |
JP2020530881A (ja) * | 2017-08-14 | 2020-10-29 | ラム リサーチ コーポレーションLam Research Corporation | 3次元垂直nandワード線用の金属充填プロセス |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
US10665685B2 (en) * | 2017-11-30 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
TWI713961B (zh) * | 2018-01-15 | 2020-12-21 | 美商應用材料股份有限公司 | 針對碳化鎢膜改善附著及缺陷之技術 |
WO2019209381A1 (en) | 2018-04-24 | 2019-10-31 | Applied Materials, Inc. | Tungsten deposition without barrier layer |
CN112262457A (zh) | 2018-05-03 | 2021-01-22 | 朗姆研究公司 | 在3d nand结构中沉积钨和其他金属的方法 |
KR20200141522A (ko) * | 2018-05-04 | 2020-12-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 금속 필름들의 증착 |
KR102513403B1 (ko) * | 2018-07-30 | 2023-03-24 | 주식회사 원익아이피에스 | 텅스텐 증착 방법 |
CN113169056A (zh) * | 2018-11-19 | 2021-07-23 | 朗姆研究公司 | 用于钨的钼模板 |
US11972952B2 (en) | 2018-12-14 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
SG11202108217UA (en) * | 2019-01-28 | 2021-08-30 | Lam Res Corp | Deposition of metal films |
SG11202109796QA (en) | 2019-03-11 | 2021-10-28 | Lam Res Corp | Precursors for deposition of molybdenum-containing films |
US12002679B2 (en) | 2019-04-11 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
JP7295749B2 (ja) * | 2019-09-13 | 2023-06-21 | キオクシア株式会社 | 半導体装置の製造方法 |
US11776980B2 (en) * | 2020-03-13 | 2023-10-03 | Applied Materials, Inc. | Methods for reflector film growth |
JP2023026869A (ja) * | 2021-08-16 | 2023-03-01 | キオクシア株式会社 | 半導体記憶装置及び半導体記憶装置の製造方法 |
US12037682B2 (en) | 2021-10-05 | 2024-07-16 | Applied Materials, Inc. | Methods for forming low resistivity tungsten features |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004536960A (ja) | 2001-03-28 | 2004-12-09 | アプライド マテリアルズ インコーポレイテッド | フッ素を含まないタングステン核生成によるw−cvd |
JP2009144242A (ja) * | 2007-12-05 | 2009-07-02 | Novellus Systems Inc | 低抵抗率タングステン膜の均一性および接着性を高める方法 |
JP2011192680A (ja) | 2010-03-11 | 2011-09-29 | Novellus Systems Inc | 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法 |
Family Cites Families (241)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
DE69033760T2 (de) | 1990-01-08 | 2001-10-25 | Lsi Logic Corp | Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
DE69518710T2 (de) | 1994-09-27 | 2001-05-23 | Applied Materials Inc | Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (ko) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
AU1208201A (en) | 1999-10-15 | 2001-04-30 | Asm America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
JP5184731B2 (ja) | 2000-05-18 | 2013-04-17 | コーニング インコーポレイテッド | 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法 |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
CN100446218C (zh) | 2000-11-17 | 2008-12-24 | 东京毅力科创株式会社 | 金属膜的形成方法和钨膜的形成方法 |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100399417B1 (ko) | 2001-01-08 | 2003-09-26 | 삼성전자주식회사 | 반도체 집적 회로의 제조 방법 |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
KR20020072996A (ko) | 2001-03-14 | 2002-09-19 | 주성엔지니어링(주) | 금속 플러그 형성방법 |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
TWI253478B (en) | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
KR100446300B1 (ko) | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
JP2006515535A (ja) | 2002-12-23 | 2006-06-01 | アプライド シン フィルムズ,インコーポレイティッド | リン酸アルミニウムコーティング |
JP4429919B2 (ja) | 2002-12-27 | 2010-03-10 | 株式会社アルバック | 窒化タングステン膜の成膜方法 |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
WO2004113585A2 (en) | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
KR101178743B1 (ko) | 2004-04-12 | 2012-09-07 | 가부시키가이샤 알박 | 배리어막의 형성 방법, 및 전극막의 형성 방법 |
EP1741119B1 (en) | 2004-04-21 | 2019-04-03 | Lumileds Holding B.V. | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) * | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100881391B1 (ko) * | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
US20080174021A1 (en) | 2007-01-18 | 2008-07-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
TWI493058B (zh) | 2007-05-15 | 2015-07-21 | Applied Materials Inc | 鎢材料的原子層沈積法 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
US8518282B2 (en) | 2007-11-21 | 2013-08-27 | Lam Research Corporation | Method of controlling etch microloading for a tungsten-containing layer |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
CN102265383B (zh) | 2008-12-31 | 2014-06-11 | 应用材料公司 | 用于沉积具有降低电阻率及改良表面形态的钨膜的方法 |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
SG10201407519TA (en) | 2009-11-19 | 2015-01-29 | Univ Singapore | Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
US20120199887A1 (en) | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
KR102100520B1 (ko) | 2012-03-27 | 2020-04-14 | 노벨러스 시스템즈, 인코포레이티드 | 핵생성 억제를 사용하는 텅스텐 피처 충진 |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
KR20140028992A (ko) * | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
JP6494940B2 (ja) * | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
-
2015
- 2015-05-27 US US14/723,275 patent/US9754824B2/en active Active
-
2016
- 2016-05-26 KR KR1020160064757A patent/KR102678471B1/ko active IP Right Grant
- 2016-05-26 TW TW105116363A patent/TWI709656B/zh active
- 2016-05-26 JP JP2016105216A patent/JP6971539B2/ja active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004536960A (ja) | 2001-03-28 | 2004-12-09 | アプライド マテリアルズ インコーポレイテッド | フッ素を含まないタングステン核生成によるw−cvd |
JP2009144242A (ja) * | 2007-12-05 | 2009-07-02 | Novellus Systems Inc | 低抵抗率タングステン膜の均一性および接着性を高める方法 |
JP2011192680A (ja) | 2010-03-11 | 2011-09-29 | Novellus Systems Inc | 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20160140458A (ko) | 2016-12-07 |
US20160351444A1 (en) | 2016-12-01 |
US9754824B2 (en) | 2017-09-05 |
TWI709656B (zh) | 2020-11-11 |
JP2017014615A (ja) | 2017-01-19 |
JP6971539B2 (ja) | 2021-11-24 |
TW201710543A (zh) | 2017-03-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102678471B1 (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
US11355345B2 (en) | Method for preventing line bending during metal fill process | |
US11978666B2 (en) | Void free low stress fill | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
US9613818B2 (en) | Deposition of low fluorine tungsten by sequential CVD process | |
JP7574360B2 (ja) | 3d nand及び他の用途のためのモリブデン充填 | |
US20210140043A1 (en) | Deposition of pure metal films | |
KR102397797B1 (ko) | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 | |
US20200402846A1 (en) | Self-limiting growth | |
KR20230127377A (ko) | 몰리브덴을 함유하는 저 저항률 막들 | |
US12077858B2 (en) | Tungsten deposition | |
US12002679B2 (en) | High step coverage tungsten deposition | |
US20230122846A1 (en) | Feature fill with nucleation inhibition | |
US20220349048A1 (en) | Reducing line bending during metal fill process | |
US20240376598A1 (en) | Process gas ramp during semiconductor processing | |
WO2023038905A1 (en) | Process gas ramp during semiconductor processing | |
WO2023114737A1 (en) | Tungsten wordline fill in high aspect ratio 3d nand architecture | |
KR20240153380A (ko) | 로직 소스/드레인 콘택트에 대한 저 저항성 몰리브덴 증착 | |
CN118786517A (zh) | 用于逻辑源极/漏极触点的低电阻钼沉积 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
X091 | Application refused [patent] | ||
AMND | Amendment | ||
X701 | Decision to grant (after re-examination) | ||
GRNT | Written decision to grant |