JP7574360B2 - 3d nand及び他の用途のためのモリブデン充填 - Google Patents
3d nand及び他の用途のためのモリブデン充填 Download PDFInfo
- Publication number
- JP7574360B2 JP7574360B2 JP2023095239A JP2023095239A JP7574360B2 JP 7574360 B2 JP7574360 B2 JP 7574360B2 JP 2023095239 A JP2023095239 A JP 2023095239A JP 2023095239 A JP2023095239 A JP 2023095239A JP 7574360 B2 JP7574360 B2 JP 7574360B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- molybdenum
- precursor
- feature
- features
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 229910052750 molybdenum Inorganic materials 0.000 title claims description 141
- 239000011733 molybdenum Substances 0.000 title claims description 140
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 title claims description 130
- 238000011049 filling Methods 0.000 title description 21
- 238000000034 method Methods 0.000 claims description 231
- 239000002243 precursor Substances 0.000 claims description 137
- 230000008569 process Effects 0.000 claims description 115
- 239000007789 gas Substances 0.000 claims description 96
- 238000000151 deposition Methods 0.000 claims description 92
- 239000000758 substrate Substances 0.000 claims description 68
- 239000003638 chemical reducing agent Substances 0.000 claims description 48
- 238000012545 processing Methods 0.000 claims description 35
- 238000005530 etching Methods 0.000 claims description 28
- 238000000231 atomic layer deposition Methods 0.000 claims description 22
- 238000010926 purge Methods 0.000 claims description 22
- 239000000126 substance Substances 0.000 claims description 21
- -1 nitrogen-containing compound Chemical class 0.000 claims description 18
- 229910052736 halogen Inorganic materials 0.000 claims description 17
- 150000002367 halogens Chemical class 0.000 claims description 17
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 11
- 238000011282 treatment Methods 0.000 claims description 11
- 150000001875 compounds Chemical class 0.000 claims description 9
- 239000003112 inhibitor Substances 0.000 claims description 7
- 230000036961 partial effect Effects 0.000 claims description 7
- 230000002401 inhibitory effect Effects 0.000 claims description 6
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 claims description 5
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 4
- CNRRZWMERIANGJ-UHFFFAOYSA-N chloro hypochlorite;molybdenum Chemical compound [Mo].ClOCl CNRRZWMERIANGJ-UHFFFAOYSA-N 0.000 claims description 2
- 238000004381 surface treatment Methods 0.000 claims 1
- 230000008021 deposition Effects 0.000 description 67
- 239000010408 film Substances 0.000 description 47
- 239000000463 material Substances 0.000 description 36
- 235000012431 wafers Nutrition 0.000 description 29
- 230000004907 flux Effects 0.000 description 27
- 230000006911 nucleation Effects 0.000 description 23
- 238000010899 nucleation Methods 0.000 description 23
- 238000010586 diagram Methods 0.000 description 22
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 20
- 239000004065 semiconductor Substances 0.000 description 19
- 230000004888 barrier function Effects 0.000 description 17
- 229910052710 silicon Inorganic materials 0.000 description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 229910052796 boron Inorganic materials 0.000 description 16
- 239000010703 silicon Substances 0.000 description 16
- 229910052721 tungsten Inorganic materials 0.000 description 16
- 239000010937 tungsten Substances 0.000 description 16
- 230000005764 inhibitory process Effects 0.000 description 15
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 15
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 14
- 239000000376 reactant Substances 0.000 description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 12
- 239000001257 hydrogen Substances 0.000 description 12
- 229910052739 hydrogen Inorganic materials 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 9
- 229910052731 fluorine Inorganic materials 0.000 description 9
- 239000001301 oxygen Substances 0.000 description 9
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 8
- 229910052801 chlorine Inorganic materials 0.000 description 8
- 239000000460 chlorine Substances 0.000 description 8
- 239000011737 fluorine Substances 0.000 description 8
- 229910000077 silane Inorganic materials 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 7
- 238000009792 diffusion process Methods 0.000 description 7
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- ASLHVQCNFUOEEN-UHFFFAOYSA-N dioxomolybdenum;dihydrochloride Chemical compound Cl.Cl.O=[Mo]=O ASLHVQCNFUOEEN-UHFFFAOYSA-N 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 101100277553 Caenorhabditis elegans dep-1 gene Proteins 0.000 description 4
- 238000009826 distribution Methods 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 description 4
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 4
- 239000011800 void material Substances 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 3
- 229910015221 MoCl5 Inorganic materials 0.000 description 3
- 229910015255 MoF6 Inorganic materials 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 150000004820 halides Chemical class 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- RLCOZMCCEKDUPY-UHFFFAOYSA-H molybdenum hexafluoride Chemical compound F[Mo](F)(F)(F)(F)F RLCOZMCCEKDUPY-UHFFFAOYSA-H 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 238000011112 process operation Methods 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- WSWMGHRLUYADNA-UHFFFAOYSA-N 7-nitro-1,2,3,4-tetrahydroquinoline Chemical compound C1CCNC2=CC([N+](=O)[O-])=CC=C21 WSWMGHRLUYADNA-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 229910015421 Mo2N Inorganic materials 0.000 description 1
- 229910015686 MoOCl4 Inorganic materials 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- 229910017843 NF3 Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910007264 Si2H6 Inorganic materials 0.000 description 1
- 229910003818 SiH2Cl2 Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- KKAXNAVSOBXHTE-UHFFFAOYSA-N boranamine Chemical class NB KKAXNAVSOBXHTE-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000005112 continuous flow technique Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- RCJVRSBWZCNNQT-UHFFFAOYSA-N dichloridooxygen Chemical compound ClOCl RCJVRSBWZCNNQT-UHFFFAOYSA-N 0.000 description 1
- JCFNAADCQWXIJD-UHFFFAOYSA-L dioxomolybdenum(2+);dichloride Chemical compound Cl[Mo](Cl)(=O)=O JCFNAADCQWXIJD-UHFFFAOYSA-L 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- MEFBJEMVZONFCJ-UHFFFAOYSA-N molybdate Chemical compound [O-][Mo]([O-])(=O)=O MEFBJEMVZONFCJ-UHFFFAOYSA-N 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000013110 organic ligand Substances 0.000 description 1
- SFPKXFFNQYDGAH-UHFFFAOYSA-N oxomolybdenum;tetrahydrochloride Chemical compound Cl.Cl.Cl.Cl.[Mo]=O SFPKXFFNQYDGAH-UHFFFAOYSA-N 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000035484 reaction time Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- UYEGPKGLVUUIGD-UHFFFAOYSA-J tetrachloro(oxo)molybdenum Chemical compound Cl[Mo](Cl)(Cl)(Cl)=O UYEGPKGLVUUIGD-UHFFFAOYSA-J 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- 229910021324 titanium aluminide Inorganic materials 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B69/00—Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Electrodes Of Semiconductors (AREA)
Description
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
MoOxCly+H2→Mo(s)+HCl+H2O(亜塩化物によるMoバルク堆積)、
Mo+Cl2→MoCly(Moエッチング)、および
Mo+MoCly→MozCly(Moエッチング)。
下層(例えば、W下層)が存在する場合は、次の特定の条件下でエッチングされうる:
W+Cl2→WCly(Wエッチング)、および
W+WCly→WzCly(Wエッチング)。
例1:(NF3+Ar/パージ/H2+Ar)の1または複数サイクル(+は、ガスがチャンバに同時に流されることを示し、「/」は、時間的分離を示す)、
例2:(NH3+Ar/H2+Ar)の1または複数サイクル、
例3:(NH3+Ar/Mo前駆体+H2+Ar)の1または複数サイクル、および
例4:(NH3+Ar/Mo前駆体+Ar)の1または複数サイクル
を含む。
Mo堆積
Mo成膜
装置
結び
[適用例1]
方法であって、
1つ以上のフィーチャを有する基板を提供する工程であって、前記1つ以上のフィーチャの各々は、少なくとも1つの開口部と、前記少なくとも1つの開口部を通じて流体的にアクセス可能な内部領域とを有する、工程と、
前記1つ以上のフィーチャにモリブデンの第1の層を堆積する工程と、
前記第1の層を非共形に処理する工程であって、前記処理は、前記1つ以上のフィーチャの前記少なくとも1の開口部付近の前記第1の層の部分に、前記フィーチャの前記内部領域よりも深い前記第1の層の部分に対して優先的に施される、工程と、
を含む、方法。
[適用例2]
適用例1に記載の方法であって、
前記第1の層を非共形に処理する工程は、前記第1の層を非共形に抑制する工程を含む、方法。
[適用例3]
適用例1に記載の方法であって、
前記第1の層を非共形に処理する工程は、前記第1の層を非共形にエッチングする工程を含む、方法。
[適用例4]
適用例2または適用例3に記載の方法であって、
前記第1の層を処理する工程は、モリブデンの前記第1の層を堆積する間に実施される、方法。
[適用例5]
適用例4に記載の方法であって、
モリブデン前駆体の流束または集結が、前記1つ以上のフィーチャの前記少なくとも1つの開口部寄りになるような条件下で、前記1つ以上のフィーチャを前記前駆体に曝露する工程を含む、方法。
[適用例6]
適用例5に記載の方法であって、
前記モリブデン前駆体は、モリブデンオキシハライドである、方法。
[適用例7]
適用例6に記載の方法であって、
前記モリブデンオキシハライドは、エッチング反応により、前記1つ以上のフィーチャの前記1つ以上の開口部付近で前記内部領域よりも低い成長速度を有する、方法。
[適用例8]
適用例6に記載の方法であって、
前記モリブデンオキシハライドは、抑制処理により、前記1つ以上のフィーチャの前記1つ以上の開口部付近で前記内部領域よりも低い成長速度を有する、方法。
[適用例9]
適用例2または適用例3に記載の方法であって、
前記第1の層を処理する工程は、モリブデンの前記第1の層が堆積した後に実施される、方法。
[適用例10]
適用例9に記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を抑制化学物質に曝露する工程を含む、方法。
[適用例11]
適用例10に記載の方法であって、
前記抑制化学物質は、窒素含有化学物質である、方法。
[適用例12]
適用例11に記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を窒素含有化学物質に曝露した後に、前記第1の層を水素ガスおよびモリブデン前駆体のうちのいずれかまたは両方に曝露する工程を含む、方法。
[適用例13]
適用例9に記載の方法であって、
前記第1の層を処理する工程は、前記第1の層をエッチング化学物質に曝露する工程を含む、方法。
[適用例14]
適用例13に記載の方法であって、
前記エッチング化学物質は、ハロゲン含有化学物質である、方法。
[適用例15]
適用例14に記載の方法であって、
前記第1の層を処理する工程は、前記第1の層をハロゲン含有化学物質に曝露した後に、前記基板を収容するチャンバをパージする工程を含む、方法。
[適用例16]
適用例1~9のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を窒素含有化合物に曝露する工程を含む、方法。
[適用例17]
適用例1~9のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層をハロゲン含有化合物に曝露する工程を含む、方法。
[適用例18]
適用例1~9のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層をアンモニアまたは三フッ化窒素に曝露する工程を含む、方法。
[適用例19]
適用例1~18のいずれかに記載の方法であって、
前記第1の層を処理する工程は、非プラズマ熱プロセスである、方法。
[適用例20]
適用例1~18のいずれかに記載の方法であって、
前記第1の層を処理する工程は、プラズマプロセスである、方法。
[適用例21]
適用例1~20のいずれかに記載の方法であって、
モリブデンの前記第1の層を堆積する工程は、モリブデンオキシハライド前駆体またはモリブデンハライド前駆体の還元剤としてH 2 を用いる原子層堆積プロセスを含む、方法。
[適用例22]
適用例1~21のいずれかに記載の方法であって、さらに、
前記第1の層を非共形に処理した後に、モリブデンの第2の層を堆積する工程を含む、方法。
[適用例23]
適用例22に記載の方法であって、
前記第2の層を堆積する工程は、モリブデンオキシハライド前駆体またはモリブデンハライド前駆体の還元剤としてH 2 を用いる原子層堆積プロセスを含む、方法。
[適用例24]
適用例1~23のいずれかに記載の方法であって、
前記基板は、側壁を有する3D構造を備え、前記1つ以上のフィーチャの前記1つ以上の開口部は、前記側壁における開口部である、方法。
[適用例25]
適用例1~23のいずれかに記載の方法であって、
前記1つ以上のフィーチャは、閉端を有する、方法。
[適用例26]
方法であって、
(a)第1のモリブデン(Mo)前駆体流量、Mo前駆体分圧、およびMo前駆体ドーズ時間でMo前駆体を用いて、フィーチャにMoの共形層を堆積する工程と、
(b)Mo前駆体流量、Mo前駆体分圧、およびMo前駆体ドーズ時間のうちの少なくとも1つを増加させて、前記フィーチャの開口部における前記Mo前駆体の流束を増加させ、それにより前記Moの共形層の上に第2のMoの層を堆積する工程と、
を含む、方法。
[適用例27]
適用例26に記載の方法であって、
前記Mo前駆体は、モリブデンオキシクロライドである、方法。
[適用例28]
装置であって、
各々が基板を保持するように構成された1つ以上のステーションを有する処理チャンバと、
水素(H 2 )ガス源、モリブデン前駆体ガス源、不活性パージガス源、および処理ガス源に接続するための1つ以上の処理ガス入口と、
前記装置における動作を制御するためのコントローラであって、
(a)基板上に第1のモリブデンの層を堆積するために、前記1つ以上の処理ガス入口を通じて、前記処理チャンバへのH 2 パルスおよびモリブデン前駆体パルスを交互に繰り返し、
(b)(a)の後に、前記第1のモリブデンの層を処理するために処理ガスを注入し、
(c)(b)の後に、基板上に第1のモリブデンの層を堆積させるために、前記1つ以上の処理ガス入口を通じて、前記処理チャンバへのH 2 パルスおよびモリブデン前駆体パルスを交互に繰り返すための機械可読命令を備えるコントローラと、
を備える、装置。
[適用例29]
装置であって、
各々が基板を保持するように構成された1つ以上のステーションを有する処理チャンバと、
水素(H 2 )ガス源、モリブデン前駆体ガス源、不活性パージガス源、および処理ガス源に接続するための1つ以上の処理ガス入口と、
前記装置における動作を制御するためのコントローラであって、
(a)基板上に第1のモリブデンの層を堆積するために、前記1つ以上の処理ガス入口を通じて、前記処理チャンバにH 2 およびモリブデン前駆体を注入し、
(b)(a)の後に、基板上に第1のモリブデンの層を堆積するために、Mo前駆体の流量およびドーズ時間のいずれかまたは両方を変更するための機械可読命令を備えるコントローラと、
を備える、装置。
Claims (25)
- 方法であって、
1つ以上のフィーチャを有する基板を提供する工程であって、前記1つ以上のフィーチャの各々は、開口部と、前記開口部を通じて流体的にアクセス可能な内部領域とを有する、工程と、
各フィーチャの前記内部領域が流体的にアクセス可能なままになるように、前記1つ以上のフィーチャの各々にモリブデンの第1の層を堆積する工程と、
前記第1の層を非共形に処理する工程であって、前記処理は、前記1つ以上のフィーチャの前記開口部付近の前記第1の層の部分に、前記フィーチャの前記内部領域よりも深く堆積した前記第1の層の部分に対して優先的に施される、工程と、
を含み、
前記第1の層を非共形に処理する工程は、前記第1の層を非共形にエッチングする工程を含み、
前記基板は、側壁を有する3D構造を備え、前記フィーチャは水平フィーチャであり、前記1つ以上のフィーチャの前記開口部は、前記側壁における開口部であり、
前記第1の層を非共形に処理する工程は、前記1つ以上のフィーチャを処理ガスに曝露する工程を含み、前記処理ガスは、充填容器から供給される、方法。 - 請求項1に記載の方法であって、
前記第1の層を非共形に処理する工程は、さらに、前記第1の層を非共形に抑制する工程を含む、方法。 - 請求項1または請求項2に記載の方法であって、
前記第1の層を処理する工程は、モリブデンの前記第1の層が堆積した後に実施される、方法。 - 請求項3に記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を抑制化学物質に曝露する工程を含む、方法。 - 請求項4に記載の方法であって、
前記抑制化学物質は、窒素含有化学物質である、方法。 - 請求項1~3のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を窒素含有化合物に曝露する工程を含む、方法。 - 請求項1~3のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層をハロゲン含有化合物に曝露する工程を含む、方法。 - 請求項1~3のいずれかに記載の方法であって、
前記第1の層を処理する工程は、前記第1の層を三フッ化窒素に曝露する工程を含む、方法。 - 請求項1~8のいずれかに記載の方法であって、
前記第1の層を処理する工程は、非プラズマ熱プロセスである、方法。 - 請求項1~8のいずれかに記載の方法であって、
前記第1の層を処理する工程は、プラズマプロセスである、方法。 - 請求項10に記載の方法であって、
前記プラズマは、遠隔に生成されたプラズマである、方法。 - 請求項10に記載の方法であって、
前記プラズマは、NF3から生成される、方法。 - 請求項1~12のいずれかに記載の方法であって、
モリブデンの前記第1の層を堆積する工程は、モリブデンオキシハライド前駆体またはモリブデンハライド前駆体の還元剤としてH2を用いる原子層堆積プロセスを含む、方法。 - 請求項1~13のいずれかに記載の方法であって、さらに、
前記第1の層を非共形に処理した後に、モリブデンの第2の層を堆積する工程を含む、方法。 - 請求項14に記載の方法であって、
前記第2の層を堆積する工程は、モリブデンオキシハライド前駆体またはモリブデンハライド前駆体の還元剤としてH2を用いる原子層堆積プロセスを含む、方法。 - 請求項1~15のいずれかに記載の方法であって、
前記1つ以上のフィーチャは、閉端を有する、方法。 - 請求項1に記載の方法であって、さらに、
前記1つ以上のフィーチャをモリブデン前駆体に曝露する工程であって、前記モリブデン前駆体は、充填容器から供給される、工程を含む、方法。 - 請求項1に記載の方法であって、
モリブデンの前記第1の層を堆積する工程および前記第1の層を非共形にエッチングする工程は、同時に実施される、方法。 - 請求項1に記載の方法であって、さらに、
少なくとも1つのモリブデンの第2の層を堆積する工程と、前記少なくとも1つの次の層を非共形にエッチングする工程とを含み、前記少なくとも1つの次の層を堆積およびエッチングする工程は、同時に実施される、方法。 - 請求項1に記載の方法であって、
モリブデンの前記第1の層を堆積する工程およびモリブデンの前記第1の層を非共形に処理する工程は、前記フィーチャをモリブデンオキシクロライドに曝露する工程を含む、方法。 - 請求項1に記載の方法であって、
モリブデン前駆体のドーズ時間、モリブデン前駆体の流量、チャンバ圧、およびモリブデン前駆体の分圧のうちの少なくとも1つは、前記前駆体の濃度を調節するために用いられ、前記1つ以上のフィーチャの前記内部領域の前記モリブデン前駆体の濃度は、前記1つ以上のフィーチャの前記開口部付近の前記モリブデン前駆体の濃度よりも低く、モリブデンの前記第1の層は、ボトムアップ式で堆積される、方法。 - 請求項1に記載の方法であって、
モリブデンの前記第1の層は、酸化アルミニウムからなる誘電体表面上に直接堆積される、方法。 - 請求項1に記載の方法であって、さらに、
少なくとも1つのモリブデンの次の層を堆積する工程を含み、モリブデンの前記第1の層は、モリブデンの前記次の層よりも低温で堆積される、方法。 - 請求項1に記載の方法であって、
前記第1の層を非共形にエッチングする工程は、前記基板をハロゲン含有ガスまたは窒素含有ガスに曝露する工程と、前記基板をパージガスに曝露する工程と、前記基板を表面処理ガスに曝露する工程と、を含む、方法。 - 請求項24に記載の方法であって、
前記処理ガスは、モリブデン前駆体、還元剤、もしくはその両方のパルス流または連続流であってよい、方法。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2024180526A JP2025013846A (ja) | 2019-10-15 | 2024-10-16 | 3d nand及び他の用途のためのモリブデン充填 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962915492P | 2019-10-15 | 2019-10-15 | |
US62/915,492 | 2019-10-15 | ||
PCT/US2020/055596 WO2021076636A1 (en) | 2019-10-15 | 2020-10-14 | Molybdenum fill |
JP2022522581A JP2022551965A (ja) | 2019-10-15 | 2020-10-14 | モリブデン充填 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022522581A Division JP2022551965A (ja) | 2019-10-15 | 2020-10-14 | モリブデン充填 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2024180526A Division JP2025013846A (ja) | 2019-10-15 | 2024-10-16 | 3d nand及び他の用途のためのモリブデン充填 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2023113892A JP2023113892A (ja) | 2023-08-16 |
JP7574360B2 true JP7574360B2 (ja) | 2024-10-28 |
Family
ID=75538123
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022522581A Pending JP2022551965A (ja) | 2019-10-15 | 2020-10-14 | モリブデン充填 |
JP2023095239A Active JP7574360B2 (ja) | 2019-10-15 | 2023-06-09 | 3d nand及び他の用途のためのモリブデン充填 |
JP2024180526A Pending JP2025013846A (ja) | 2019-10-15 | 2024-10-16 | 3d nand及び他の用途のためのモリブデン充填 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022522581A Pending JP2022551965A (ja) | 2019-10-15 | 2020-10-14 | モリブデン充填 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2024180526A Pending JP2025013846A (ja) | 2019-10-15 | 2024-10-16 | 3d nand及び他の用途のためのモリブデン充填 |
Country Status (5)
Country | Link |
---|---|
US (1) | US12327762B2 (ja) |
JP (3) | JP2022551965A (ja) |
KR (1) | KR20220082023A (ja) |
CN (1) | CN114667600A (ja) |
WO (1) | WO2021076636A1 (ja) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN112262457A (zh) | 2018-05-03 | 2021-01-22 | 朗姆研究公司 | 在3d nand结构中沉积钨和其他金属的方法 |
US12014928B2 (en) | 2018-07-31 | 2024-06-18 | Lam Research Corporation | Multi-layer feature fill |
US12148623B2 (en) | 2018-11-19 | 2024-11-19 | Lam Research Corporation | Deposition of tungsten on molybdenum templates |
US11970776B2 (en) | 2019-01-28 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition of metal films |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
JP2022547025A (ja) | 2019-09-03 | 2022-11-10 | ラム リサーチ コーポレーション | モリブデン堆積 |
JP2022551965A (ja) | 2019-10-15 | 2022-12-14 | ラム リサーチ コーポレーション | モリブデン充填 |
WO2022256410A1 (en) * | 2021-06-01 | 2022-12-08 | Applied Materials, Inc. | Methods of lowering deposition rate |
JP2024546753A (ja) * | 2021-12-15 | 2024-12-26 | ラム リサーチ コーポレーション | ケイ素含有反応物により支援された低温モリブデン堆積 |
JP2025507597A (ja) * | 2022-02-24 | 2025-03-21 | ラム リサーチ コーポレーション | 論理ソース/ドレインコンタクト用の低抵抗のモリブデン堆積 |
US20230323543A1 (en) * | 2022-04-06 | 2023-10-12 | Applied Materials, Inc. | Integrated cleaning and selective molybdenum deposition processes |
EP4511875A1 (en) * | 2022-04-19 | 2025-02-26 | Lam Research Corporation | Molybdenum integration and void-free fill |
TW202409322A (zh) * | 2022-04-20 | 2024-03-01 | 美商蘭姆研究公司 | 橫向間隙填充 |
TW202411448A (zh) * | 2022-05-02 | 2024-03-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括摻雜黏著膜的結構之方法 |
US20230369113A1 (en) * | 2022-05-10 | 2023-11-16 | Applied Materials, Inc. | Methods for forming multi-tier tungsten features |
WO2025024731A1 (en) * | 2023-07-26 | 2025-01-30 | Lam Research Corporation | Molybdenum metallization and fill techniques for logic and memory |
US20250140562A1 (en) * | 2023-10-30 | 2025-05-01 | Applied Materials, Inc. | Low resistivity metal stacks and methods of depositing the same |
WO2025111176A1 (en) * | 2023-11-21 | 2025-05-30 | Applied Materials, Inc. | Methods for forming molybdenum surfaces with increased diffusion barrier |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011035366A (ja) | 2009-08-04 | 2011-02-17 | Novellus Systems Inc | 高アスペクト比のフィーチャーへのタングステン堆積方法 |
US20180053660A1 (en) | 2016-08-16 | 2018-02-22 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US20180142345A1 (en) | 2016-11-23 | 2018-05-24 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
WO2018191183A1 (en) | 2017-04-10 | 2018-10-18 | Lam Research Corporation | Low resistivity films containing molybdenum |
JP2019044266A (ja) | 2017-08-30 | 2019-03-22 | アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ | 層形成方法 |
JP2019527302A (ja) | 2016-07-14 | 2019-09-26 | インテグリス・インコーポレーテッド | MoOCl4を使用することによるCVD Mo堆積 |
Family Cites Families (296)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1012671A (en) | 1911-05-16 | 1911-12-26 | Tailors Accessories Co | Cleaning-machine. |
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS595246A (ja) | 1982-06-30 | 1984-01-12 | Konishiroku Photo Ind Co Ltd | ハロゲン化銀カラ−写真製品 |
JPH02231714A (ja) | 1989-03-03 | 1990-09-13 | Toshiba Corp | 半導体装置の製造方法 |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
JPH03131023A (ja) | 1989-10-17 | 1991-06-04 | Nippon Telegr & Teleph Corp <Ntt> | 金属膜形成法 |
US5612254A (en) | 1992-06-29 | 1997-03-18 | Intel Corporation | Methods of forming an interconnect on a semiconductor substrate |
JP2536377B2 (ja) | 1992-11-27 | 1996-09-18 | 日本電気株式会社 | 半導体装置およびその製造方法 |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
GB9315771D0 (en) | 1993-07-30 | 1993-09-15 | Epichem Ltd | Method of depositing thin metal films |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6221792B1 (en) | 1997-06-24 | 2001-04-24 | Lam Research Corporation | Metal and metal silicide nitridization in a high density, low pressure plasma reactor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US6114242A (en) | 1997-12-05 | 2000-09-05 | Taiwan Semiconductor Manufacturing Company | MOCVD molybdenum nitride diffusion barrier for Cu metallization |
US6103609A (en) | 1997-12-11 | 2000-08-15 | Lg Semicon Co., Ltd. | Method for fabricating semiconductor device |
KR100477840B1 (ko) | 1997-12-27 | 2005-06-29 | 주식회사 하이닉스반도체 | 반도체장치의장벽금속막형성방법 |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
FR2795745B1 (fr) | 1999-06-30 | 2001-08-03 | Saint Gobain Vitrage | Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6635570B1 (en) | 1999-09-30 | 2003-10-21 | Carl J. Galewski | PECVD and CVD processes for WNx deposition |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
KR100316721B1 (ko) | 2000-01-29 | 2001-12-12 | 윤종용 | 실리사이드막을 구비한 반도체소자의 제조방법 |
US6436819B1 (en) | 2000-02-01 | 2002-08-20 | Applied Materials, Inc. | Nitrogen treatment of a metal nitride/metal stack |
JP2001284360A (ja) | 2000-03-31 | 2001-10-12 | Hitachi Ltd | 半導体装置 |
JP2001298028A (ja) | 2000-04-17 | 2001-10-26 | Tokyo Electron Ltd | 半導体デバイス製造方法 |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6284653B1 (en) | 2000-10-30 | 2001-09-04 | Vanguard International Semiconductor Corp. | Method of selectively forming a barrier layer from a directionally deposited metal layer |
US6271084B1 (en) | 2001-01-16 | 2001-08-07 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
JP2005518088A (ja) | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
KR101013231B1 (ko) | 2001-09-14 | 2011-02-10 | 에이에스엠 인터내셔널 엔.브이. | 환원펄스를 이용한 원자층증착에 의한 질화금속증착 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
KR20030043201A (ko) | 2001-11-27 | 2003-06-02 | 주식회사 하이닉스반도체 | 반도체 소자의 콘택 플러그 형성방법 |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
KR20050028015A (ko) | 2002-07-12 | 2005-03-21 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 텅스텐 질소화물의 증기 증착 |
US6869876B2 (en) | 2002-11-05 | 2005-03-22 | Air Products And Chemicals, Inc. | Process for atomic layer deposition of metal films |
DE60330896D1 (de) | 2002-11-15 | 2010-02-25 | Harvard College | Atomlagenabscheidung (ald) mit hilfe von metallamidinaten |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7211508B2 (en) | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
JP2005026380A (ja) | 2003-06-30 | 2005-01-27 | Toshiba Corp | 不揮発性メモリを含む半導体装置及びその製造方法 |
US7282738B2 (en) | 2003-07-18 | 2007-10-16 | Corning Incorporated | Fabrication of crystalline materials over substrates |
US9029189B2 (en) | 2003-11-14 | 2015-05-12 | President And Fellows Of Harvard College | Bicyclic guanidines, metal complexes thereof and their use in vapor deposition |
JP2005150416A (ja) | 2003-11-17 | 2005-06-09 | Hitachi Ltd | 半導体集積回路装置及びその製造方法 |
KR20050054122A (ko) | 2003-12-04 | 2005-06-10 | 성명모 | 자외선 원자층 증착법을 이용한 박막 제조 방법 |
US7115304B2 (en) | 2004-02-19 | 2006-10-03 | Nanosolar, Inc. | High throughput surface treatment on coiled flexible substrates |
DE102004010954A1 (de) | 2004-03-03 | 2005-10-06 | Novaled Gmbh | Verwendung eines Metallkomplexes als n-Dotand für ein organisches halbleitendes Matrixmaterial, organisches Halbleitermaterial und elektronisches Bauteil |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
US6987063B2 (en) | 2004-06-10 | 2006-01-17 | Freescale Semiconductor, Inc. | Method to reduce impurity elements during semiconductor film deposition |
US20050282384A1 (en) | 2004-06-17 | 2005-12-22 | Hidemi Nawafune | Method for forming protective film and electroless plating bath |
US20090304914A1 (en) | 2006-08-30 | 2009-12-10 | Lam Research Corporation | Self assembled monolayer for improving adhesion between copper and barrier layer |
KR100615093B1 (ko) | 2004-08-24 | 2006-08-22 | 삼성전자주식회사 | 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법 |
US7250367B2 (en) | 2004-09-01 | 2007-07-31 | Micron Technology, Inc. | Deposition methods using heteroleptic precursors |
US20060068098A1 (en) | 2004-09-27 | 2006-03-30 | Tokyo Electron Limited | Deposition of ruthenium metal layers in a thermal chemical vapor deposition process |
US20060115590A1 (en) | 2004-11-29 | 2006-06-01 | Tokyo Electron Limited; International Business Machines Corporation | Method and system for performing in-situ cleaning of a deposition system |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
KR101354910B1 (ko) | 2005-04-07 | 2014-01-22 | 에이.와이.와이.티. 테크놀로지컬 어플리케이션즈 앤드 데이타 업데이트 리미티드 | 무기 풀러렌-유사 나노입자의 제조 공정 및 제조장치 |
EP1728894B1 (en) | 2005-06-01 | 2008-10-15 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
WO2007005088A2 (en) | 2005-07-01 | 2007-01-11 | Honeywell International Inc. | Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films |
JP4721794B2 (ja) | 2005-07-11 | 2011-07-13 | キヤノンアネルバ株式会社 | 微細構造物の作製方法 |
US7538001B2 (en) | 2005-09-01 | 2009-05-26 | Micron Technology, Inc. | Transistor gate forming methods and integrated circuits |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
CN101461071B (zh) | 2005-12-20 | 2012-01-18 | Nxp股份有限公司 | 纵向相变存储器单元及其制造方法 |
DE102006000823A1 (de) | 2006-01-05 | 2007-07-12 | H. C. Starck Gmbh & Co. Kg | Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD) |
US7910907B2 (en) | 2006-03-15 | 2011-03-22 | Macronix International Co., Ltd. | Manufacturing method for pipe-shaped electrode phase change memory |
US20070232015A1 (en) | 2006-04-04 | 2007-10-04 | Jun Liu | Contact for memory cell |
US8278216B1 (en) | 2006-08-18 | 2012-10-02 | Novellus Systems, Inc. | Selective capping of copper |
JP4267013B2 (ja) | 2006-09-12 | 2009-05-27 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
KR100873890B1 (ko) | 2006-11-17 | 2008-12-15 | 삼성전자주식회사 | 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법 |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
TWI324823B (en) | 2007-02-16 | 2010-05-11 | Ind Tech Res Inst | Memory device and fabrications thereof |
JP2008205219A (ja) | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
US7786006B2 (en) | 2007-02-26 | 2010-08-31 | Tokyo Electron Limited | Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming |
CN100577866C (zh) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法 |
WO2008127935A1 (en) | 2007-04-13 | 2008-10-23 | The Board Of Trustees Of The University Of Illinois | Metal complex compositions and methods for making metal-containing films |
WO2008129508A2 (en) | 2007-04-20 | 2008-10-30 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Deposition of transition metal carbide containing films |
KR100883412B1 (ko) | 2007-05-09 | 2009-02-11 | 삼성전자주식회사 | 자기 정렬된 전극을 갖는 상전이 메모리소자의 제조방법,관련된 소자 및 전자시스템 |
TWI493058B (zh) | 2007-05-15 | 2015-07-21 | Applied Materials Inc | 鎢材料的原子層沈積法 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
KR100890047B1 (ko) | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
US8142847B2 (en) | 2007-07-13 | 2012-03-27 | Rohm And Haas Electronic Materials Llc | Precursor compositions and methods |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US8017183B2 (en) | 2007-09-26 | 2011-09-13 | Eastman Kodak Company | Organosiloxane materials for selective area deposition of inorganic materials |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US9217200B2 (en) | 2007-12-21 | 2015-12-22 | Asm International N.V. | Modification of nanoimprint lithography templates by atomic layer deposition |
KR20090101592A (ko) | 2008-03-24 | 2009-09-29 | 삼성전자주식회사 | 산화막 형성 방법 및 이를 이용한 게이트 형성 방법 |
WO2009125255A1 (en) | 2008-04-11 | 2009-10-15 | Freescale Semiconductor, Inc. | Surface treatment in semiconductor manufacturing |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
CN101752299B (zh) | 2008-12-09 | 2012-05-16 | 中芯国际集成电路制造(上海)有限公司 | 插塞结构的制作方法和插塞结构 |
KR101462154B1 (ko) | 2008-12-15 | 2014-11-14 | 주식회사 원익아이피에스 | 텅스텐 박막 증착방법 |
CN102265383B (zh) | 2008-12-31 | 2014-06-11 | 应用材料公司 | 用于沉积具有降低电阻率及改良表面形态的钨膜的方法 |
US8021974B2 (en) | 2009-01-09 | 2011-09-20 | Internatioanl Business Machines Corporation | Structure and method for back end of the line integration |
US8492817B2 (en) | 2009-02-13 | 2013-07-23 | International Business Machines Corporation | Highly scalable trench capacitor |
KR20100096488A (ko) | 2009-02-24 | 2010-09-02 | 삼성전자주식회사 | 리세스 채널 구조를 갖는 반도체 소자 |
KR101558428B1 (ko) | 2009-03-03 | 2015-10-20 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20100267230A1 (en) | 2009-04-16 | 2010-10-21 | Anand Chandrashekar | Method for forming tungsten contacts and interconnects with small critical dimensions |
US20110020546A1 (en) | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
KR101604054B1 (ko) | 2009-09-03 | 2016-03-16 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
TWI449170B (zh) | 2009-12-29 | 2014-08-11 | Ind Tech Res Inst | 相變化記憶體裝置及其製造方法 |
US8642797B2 (en) | 2010-02-25 | 2014-02-04 | Air Products And Chemicals, Inc. | Amidate precursors for depositing metal containing films |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
IL213195A0 (en) | 2010-05-31 | 2011-07-31 | Rohm & Haas Elect Mat | Photoresist compositions and emthods of forming photolithographic patterns |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
WO2012047591A1 (en) | 2010-09-27 | 2012-04-12 | Astrowatt, Inc. | Electronic device including a semiconductor layer and a metal-containing layer, and a process of forming the same |
WO2012057884A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Nitrogen-containing ligands and their use in atomic layer deposition methods |
US8227785B2 (en) | 2010-11-11 | 2012-07-24 | Micron Technology, Inc. | Chalcogenide containing semiconductors with chalcogenide gradient |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
DE102011012515A1 (de) | 2011-02-25 | 2012-08-30 | Umicore Ag & Co. Kg | Metallkomplexe mit N-Amino-Amidinat-Liganden |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
CN102206387B (zh) | 2011-03-30 | 2014-04-16 | 东华大学 | 一种高分子和无机纳米粒子杂化薄膜及其制备方法 |
JP5730670B2 (ja) | 2011-05-27 | 2015-06-10 | 株式会社Adeka | 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料 |
KR101817158B1 (ko) | 2011-06-02 | 2018-01-11 | 삼성전자 주식회사 | 적층형 캐패시터를 포함하는 상변화 메모리 장치 |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
WO2013095433A1 (en) | 2011-12-21 | 2013-06-27 | Intel Corporation | Electroless filled conductive structures |
WO2013112383A1 (en) | 2012-01-26 | 2013-08-01 | Sigma-Aldrich Co. Llc | Molybdenum allyl complexes and use thereof in thin film deposition |
CN113862634A (zh) | 2012-03-27 | 2021-12-31 | 诺发系统公司 | 钨特征填充 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
WO2014052642A1 (en) | 2012-09-28 | 2014-04-03 | Advanced Technology Materials, Inc. | Fluorine free tungsten ald/cvd process |
JP2014074190A (ja) | 2012-10-02 | 2014-04-24 | Tokyo Electron Ltd | 成膜装置 |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US11043386B2 (en) | 2012-10-26 | 2021-06-22 | Applied Materials, Inc. | Enhanced spatial ALD of metals through controlled precursor mixing |
US9230815B2 (en) | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
US9627611B2 (en) | 2012-11-21 | 2017-04-18 | Micron Technology, Inc. | Methods for forming narrow vertical pillars and integrated circuit devices having the same |
US9546419B2 (en) | 2012-11-26 | 2017-01-17 | Applied Materials, Inc. | Method of reducing tungsten film roughness and resistivity |
US9029258B2 (en) | 2013-02-05 | 2015-05-12 | Lam Research Corporation | Through silicon via metallization |
WO2014140672A1 (en) | 2013-03-15 | 2014-09-18 | L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
JP6116425B2 (ja) | 2013-07-19 | 2017-04-19 | 大陽日酸株式会社 | 金属薄膜の製膜方法 |
JP6494940B2 (ja) | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
KR102291990B1 (ko) * | 2013-08-16 | 2021-08-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법 |
US11549181B2 (en) | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US11286557B2 (en) | 2014-01-24 | 2022-03-29 | Commissariat A L'energie Atomique Et Aux Engergies Alternatives | Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor |
JP5852151B2 (ja) | 2014-02-12 | 2016-02-03 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
JP2015177006A (ja) | 2014-03-14 | 2015-10-05 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP6379550B2 (ja) | 2014-03-18 | 2018-08-29 | 東京エレクトロン株式会社 | 成膜装置 |
US9595470B2 (en) | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US20150348840A1 (en) * | 2014-05-31 | 2015-12-03 | Lam Research Corporation | Methods of filling high aspect ratio features with fluorine free tungsten |
US9551074B2 (en) | 2014-06-05 | 2017-01-24 | Lam Research Corporation | Electroless plating solution with at least two borane containing reducing agents |
US9624577B2 (en) | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
TWI656232B (zh) | 2014-08-14 | 2019-04-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 鉬組成物及其用於形成氧化鉬膜之用途 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9548266B2 (en) | 2014-08-27 | 2017-01-17 | Nxp Usa, Inc. | Semiconductor package with embedded capacitor and methods of manufacturing same |
JP2017527117A (ja) | 2014-08-27 | 2017-09-14 | ウルトラテック インク | 改良型貫通シリコンビア |
US20160064409A1 (en) | 2014-08-29 | 2016-03-03 | Kabushiki Kaisha Toshiba | Non-volatile semiconductor storage device |
KR102156409B1 (ko) | 2014-09-16 | 2020-09-15 | 에스케이하이닉스 주식회사 | 패턴 형성 방법 |
US9419135B2 (en) | 2014-11-13 | 2016-08-16 | Sandisk Technologies Llc | Three dimensional NAND device having reduced wafer bowing and method of making thereof |
JP2016098406A (ja) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | モリブデン膜の成膜方法 |
US10727122B2 (en) | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
US20160168699A1 (en) | 2014-12-12 | 2016-06-16 | Asm Ip Holding B.V. | Method for depositing metal-containing film using particle-reduction step |
US9502263B2 (en) | 2014-12-15 | 2016-11-22 | Applied Materials, Inc. | UV assisted CVD AlN film for BEOL etch stop application |
US9443865B2 (en) * | 2014-12-18 | 2016-09-13 | Sandisk Technologies Llc | Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel |
US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
JP6465699B2 (ja) | 2015-03-06 | 2019-02-06 | 株式会社Adeka | ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物 |
KR20250048597A (ko) | 2015-04-13 | 2025-04-09 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 |
US20160309596A1 (en) | 2015-04-15 | 2016-10-20 | Applied Materials, Inc. | Methods for forming cobalt interconnects |
US10079144B2 (en) | 2015-04-22 | 2018-09-18 | Samsung Electronics Co., Ltd. | Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer |
JP6548725B2 (ja) | 2015-05-11 | 2019-07-24 | 日本放送協会 | 有機薄膜および有機薄膜の製造方法、有機エレクトロルミネッセンス素子、表示装置、照明装置、有機薄膜太陽電池、薄膜トランジスタ、塗料組成物 |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US11047042B2 (en) | 2015-05-27 | 2021-06-29 | Asm Ip Holding B.V. | Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US20210140048A1 (en) | 2015-08-04 | 2021-05-13 | Samsung Electronics Co., Ltd. | Semiconductor manufacturing apparatus |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US20170062714A1 (en) | 2015-08-31 | 2017-03-02 | Intel Corporation | Thermally regulated electronic devices, systems, and associated methods |
WO2017070634A1 (en) | 2015-10-23 | 2017-04-27 | Applied Materials, Inc. | Methods for spatial metal atomic layer deposition |
US9853123B2 (en) | 2015-10-28 | 2017-12-26 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
CN108352316B (zh) | 2015-11-10 | 2023-03-24 | 乔治洛德方法研究和开发液化空气有限公司 | 蚀刻反应物及使用其的无等离子体的氧化物蚀刻方法 |
CN108431924B (zh) | 2015-11-25 | 2024-03-22 | 应用材料公司 | 通过集成工艺流程系统形成低电阻触点的方法 |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
JP2019510877A (ja) | 2016-02-19 | 2019-04-18 | メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung | モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着 |
US9837350B2 (en) | 2016-04-12 | 2017-12-05 | International Business Machines Corporation | Semiconductor interconnect structure with double conductors |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
TWI732846B (zh) | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | 透過控制前驅物混合來強化金屬的空間ald |
US10214807B2 (en) | 2016-06-02 | 2019-02-26 | Lam Research Corporation | Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack |
TWI736631B (zh) | 2016-06-06 | 2021-08-21 | 韋恩州立大學 | 二氮雜二烯錯合物與胺類的反應 |
US9659998B1 (en) | 2016-06-07 | 2017-05-23 | Macronix International Co., Ltd. | Memory having an interlayer insulating structure with different thermal resistance |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR20190035784A (ko) | 2016-07-26 | 2019-04-03 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막의 성막 방법 |
US10246774B2 (en) | 2016-08-12 | 2019-04-02 | Lam Research Corporation | Additive for ALD deposition profile tuning in gap features |
JP6855191B2 (ja) | 2016-08-29 | 2021-04-07 | 株式会社Adeka | 原子層堆積法による金属薄膜の製造方法 |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US9899372B1 (en) | 2016-10-31 | 2018-02-20 | International Business Machines Corporation | Forming on-chip metal-insulator-semiconductor capacitor |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10453744B2 (en) | 2016-11-23 | 2019-10-22 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10262945B2 (en) | 2016-11-28 | 2019-04-16 | Sandisk Technologies Llc | Three-dimensional array device having a metal containing barrier and method of making thereof |
JP2018098287A (ja) | 2016-12-09 | 2018-06-21 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
JP6913752B2 (ja) | 2016-12-15 | 2021-08-04 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 核形成のない間隙充填aldプロセス |
US10283404B2 (en) | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
US12057310B2 (en) | 2018-05-22 | 2024-08-06 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US11177127B2 (en) | 2017-05-24 | 2021-11-16 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US10731250B2 (en) | 2017-06-06 | 2020-08-04 | Lam Research Corporation | Depositing ruthenium layers in interconnect metallization |
KR20200022009A (ko) | 2017-06-23 | 2020-03-02 | 메르크 파텐트 게엠베하 | 선택적 필름 성장을 위한 원자층 증착 방법 |
US10199267B2 (en) | 2017-06-30 | 2019-02-05 | Lam Research Corporation | Tungsten nitride barrier layer deposition |
US20190067014A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US11049714B2 (en) | 2017-09-19 | 2021-06-29 | Versum Materials Us, Llc | Silyl substituted organoamines as precursors for high growth rate silicon-containing films |
US10096475B1 (en) | 2017-11-17 | 2018-10-09 | Lam Research Corporation | System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks |
US10727117B2 (en) | 2017-11-20 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
KR20200079339A (ko) | 2017-11-20 | 2020-07-02 | 램 리써치 코포레이션 | 자기 제한 성장 |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10879081B2 (en) | 2017-11-22 | 2020-12-29 | Applied Materials, Inc. | Methods of reducing or eliminating defects in tungsten film |
KR102476262B1 (ko) | 2017-12-14 | 2022-12-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들 |
US10381411B2 (en) | 2017-12-15 | 2019-08-13 | Sandisk Technologies Llc | Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same |
US11560625B2 (en) | 2018-01-19 | 2023-01-24 | Entegris, Inc. | Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor |
KR20190104902A (ko) | 2018-03-02 | 2019-09-11 | 마이크로머티어리얼즈 엘엘씨 | 금속 산화물들을 제거하기 위한 방법들 |
US10932931B2 (en) | 2018-03-13 | 2021-03-02 | Medtronic Vascular, Inc. | Medical device delivery system including a support member |
JP7063117B2 (ja) | 2018-03-30 | 2022-05-09 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
CN112262457A (zh) | 2018-05-03 | 2021-01-22 | 朗姆研究公司 | 在3d nand结构中沉积钨和其他金属的方法 |
US11021793B2 (en) | 2018-05-31 | 2021-06-01 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude | Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films |
US10643846B2 (en) | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
US10505111B1 (en) | 2018-07-20 | 2019-12-10 | International Business Machines Corporation | Confined phase change memory with double air gap |
WO2020023790A1 (en) | 2018-07-26 | 2020-01-30 | Lam Research Corporation | Deposition of pure metal films |
US12014928B2 (en) * | 2018-07-31 | 2024-06-18 | Lam Research Corporation | Multi-layer feature fill |
JP7547037B2 (ja) | 2018-08-20 | 2024-09-09 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造 |
JP2020043139A (ja) | 2018-09-06 | 2020-03-19 | 東京エレクトロン株式会社 | 埋め込み方法及び処理システム |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11387112B2 (en) | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
JP7336884B2 (ja) | 2018-10-04 | 2023-09-01 | 東京エレクトロン株式会社 | 表面処理方法及び処理システム |
US10510951B1 (en) | 2018-10-24 | 2019-12-17 | Taiwan Semicondutor Manufacturing Co., Ltd. | Low temperature film for PCRAM sidewall protection |
US11362277B2 (en) | 2018-11-14 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Sidewall protection for PCRAM device |
KR102355507B1 (ko) | 2018-11-14 | 2022-01-27 | (주)디엔에프 | 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막 |
US12148623B2 (en) | 2018-11-19 | 2024-11-19 | Lam Research Corporation | Deposition of tungsten on molybdenum templates |
US10763432B2 (en) | 2018-12-13 | 2020-09-01 | Intel Corporation | Chalcogenide-based memory architecture |
CN113195783A (zh) | 2018-12-19 | 2021-07-30 | 恩特格里斯公司 | 在还原共反应剂存在下沉积钨或钼层的方法 |
US10903273B2 (en) | 2019-01-04 | 2021-01-26 | International Business Machines Corporation | Phase change memory with gradual conductance change |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
US11970776B2 (en) | 2019-01-28 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition of metal films |
US10977405B2 (en) * | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
US11282745B2 (en) | 2019-04-28 | 2022-03-22 | Applied Materials, Inc. | Methods for filling features with ruthenium |
JP7113566B2 (ja) | 2019-07-16 | 2022-08-05 | エフ・ツェー・ペー・フューエル・セル・パワートレイン・ゲゼルシャフト・ミット・ベシュレンクテル・ハフツング | 燃料電池モジュール、燃料電池システム、および燃料電池モジュールの製造方法 |
TWI878334B (zh) | 2019-08-12 | 2025-04-01 | 美商應用材料股份有限公司 | 經氧化還原的鉬薄膜 |
JP2022544674A (ja) | 2019-08-22 | 2022-10-20 | ラム リサーチ コーポレーション | 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
JP2022547025A (ja) | 2019-09-03 | 2022-11-10 | ラム リサーチ コーポレーション | モリブデン堆積 |
US11450562B2 (en) | 2019-09-16 | 2022-09-20 | Tokyo Electron Limited | Method of bottom-up metallization in a recessed feature |
US11145690B2 (en) | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method thereof |
JP2022551965A (ja) | 2019-10-15 | 2022-12-14 | ラム リサーチ コーポレーション | モリブデン充填 |
KR20250067181A (ko) | 2019-10-29 | 2025-05-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들 |
US11821080B2 (en) | 2020-03-05 | 2023-11-21 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes |
WO2021178399A1 (en) | 2020-03-06 | 2021-09-10 | Lam Research Corporation | Atomic layer etching of molybdenum |
JP2023515751A (ja) | 2020-03-11 | 2023-04-14 | アプライド マテリアルズ インコーポレイテッド | 触媒堆積を使用する間隙充填方法 |
US11417568B2 (en) | 2020-04-10 | 2022-08-16 | Applied Materials, Inc. | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
KR20230027036A (ko) | 2020-05-22 | 2023-02-27 | 램 리써치 코포레이션 | 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 |
KR20210156444A (ko) | 2020-06-18 | 2021-12-27 | 주식회사 아이켐스 | 몰리브데넘 함유 전구체, 이를 이용한 몰리브데넘 함유 박막 및 이의 제조 방법. |
US11282711B2 (en) | 2020-07-31 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-assisted etching of metal oxides |
KR20220058434A (ko) | 2020-10-30 | 2022-05-09 | 에이에스엠 아이피 홀딩 비.브이. | 몰리브덴 증착 방법 |
JP2023550331A (ja) | 2020-11-19 | 2023-12-01 | ラム リサーチ コーポレーション | 低抵抗率コンタクト及びインターコネクト |
US20240047269A1 (en) | 2021-01-05 | 2024-02-08 | Lam Research Corporation | Molybdenum deposition in features |
US12060370B2 (en) | 2021-01-12 | 2024-08-13 | Applied Materials, Inc. | Molybdenum (0) precursors for deposition of molybdenum films |
US11434254B2 (en) | 2021-01-12 | 2022-09-06 | Applied Materials, Inc. | Dinuclear molybdenum precursors for deposition of molybdenum-containing films |
US11530477B2 (en) | 2021-01-12 | 2022-12-20 | Applied Materials, Inc. | Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films |
US20240234152A9 (en) | 2021-02-23 | 2024-07-11 | Lam Research Corporation | Non-metal incorporation in molybdenum on dielectric surfaces |
TW202245082A (zh) | 2021-03-02 | 2022-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於填補間隙的方法和系統 |
WO2022221210A1 (en) | 2021-04-14 | 2022-10-20 | Lam Research Corporation | Deposition of molybdenum |
JP2024546753A (ja) | 2021-12-15 | 2024-12-26 | ラム リサーチ コーポレーション | ケイ素含有反応物により支援された低温モリブデン堆積 |
-
2020
- 2020-10-14 JP JP2022522581A patent/JP2022551965A/ja active Pending
- 2020-10-14 CN CN202080072306.1A patent/CN114667600A/zh active Pending
- 2020-10-14 US US17/763,529 patent/US12327762B2/en active Active
- 2020-10-14 WO PCT/US2020/055596 patent/WO2021076636A1/en active IP Right Grant
- 2020-10-14 KR KR1020227015736A patent/KR20220082023A/ko active Pending
-
2023
- 2023-06-09 JP JP2023095239A patent/JP7574360B2/ja active Active
-
2024
- 2024-10-16 JP JP2024180526A patent/JP2025013846A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011035366A (ja) | 2009-08-04 | 2011-02-17 | Novellus Systems Inc | 高アスペクト比のフィーチャーへのタングステン堆積方法 |
JP2019527302A (ja) | 2016-07-14 | 2019-09-26 | インテグリス・インコーポレーテッド | MoOCl4を使用することによるCVD Mo堆積 |
US20180053660A1 (en) | 2016-08-16 | 2018-02-22 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US20180142345A1 (en) | 2016-11-23 | 2018-05-24 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
WO2018191183A1 (en) | 2017-04-10 | 2018-10-18 | Lam Research Corporation | Low resistivity films containing molybdenum |
JP2019044266A (ja) | 2017-08-30 | 2019-03-22 | アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ | 層形成方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2021076636A1 (en) | 2021-04-22 |
TW202129049A (zh) | 2021-08-01 |
JP2023113892A (ja) | 2023-08-16 |
US20220375792A1 (en) | 2022-11-24 |
US12327762B2 (en) | 2025-06-10 |
CN114667600A (zh) | 2022-06-24 |
KR20220082023A (ko) | 2022-06-16 |
JP2022551965A (ja) | 2022-12-14 |
JP2025013846A (ja) | 2025-01-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7574360B2 (ja) | 3d nand及び他の用途のためのモリブデン充填 | |
US20230290680A1 (en) | Self-limiting growth | |
US10777453B2 (en) | Low resistivity films containing molybdenum | |
US20220262640A1 (en) | Method for preventing line bending during metal fill process | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
KR102678471B1 (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
KR102131581B1 (ko) | 텅스텐 피처 충진 | |
US9613818B2 (en) | Deposition of low fluorine tungsten by sequential CVD process | |
JP7649741B2 (ja) | 3d nand構造上の原子層堆積 | |
US20230122846A1 (en) | Feature fill with nucleation inhibition | |
US12173399B2 (en) | Reducing line bending during metal fill process | |
US20250038050A1 (en) | Feature fill with nucleation inhibition | |
TWI886161B (zh) | 鉬填充 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230630 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20230630 |
|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20230630 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20231003 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20231226 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20240329 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240611 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20240902 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20240917 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20241016 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7574360 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |