JP2007538413A - プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法 - Google Patents
プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法 Download PDFInfo
- Publication number
- JP2007538413A JP2007538413A JP2007527467A JP2007527467A JP2007538413A JP 2007538413 A JP2007538413 A JP 2007538413A JP 2007527467 A JP2007527467 A JP 2007527467A JP 2007527467 A JP2007527467 A JP 2007527467A JP 2007538413 A JP2007538413 A JP 2007538413A
- Authority
- JP
- Japan
- Prior art keywords
- process chamber
- plasma
- coating film
- ion implantation
- depositing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000000034 method Methods 0.000 title claims abstract description 308
- 230000008569 process Effects 0.000 title claims abstract description 231
- 238000005468 ion implantation Methods 0.000 title claims abstract description 77
- 238000011065 in-situ storage Methods 0.000 title description 11
- 238000000576 coating method Methods 0.000 claims abstract description 135
- 239000011248 coating agent Substances 0.000 claims abstract description 116
- 239000000758 substrate Substances 0.000 claims abstract description 83
- 238000004140 cleaning Methods 0.000 claims abstract description 78
- 239000002243 precursor Substances 0.000 claims abstract description 46
- 150000002500 ions Chemical class 0.000 claims abstract description 36
- 239000000203 mixture Substances 0.000 claims abstract description 32
- 239000007789 gas Substances 0.000 claims description 95
- 239000000463 material Substances 0.000 claims description 47
- 238000000151 deposition Methods 0.000 claims description 46
- 239000002019 doping agent Substances 0.000 claims description 45
- 229910052710 silicon Inorganic materials 0.000 claims description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 18
- 239000010703 silicon Substances 0.000 claims description 18
- 238000002513 implantation Methods 0.000 claims description 12
- 230000008021 deposition Effects 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 8
- 229910052796 boron Inorganic materials 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 7
- 229910052785 arsenic Inorganic materials 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- 239000011737 fluorine Substances 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- 229910052739 hydrogen Inorganic materials 0.000 claims description 6
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 claims description 3
- 238000007872 degassing Methods 0.000 claims description 3
- 230000005684 electric field Effects 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 3
- 229910052760 oxygen Inorganic materials 0.000 claims description 3
- 229910052698 phosphorus Inorganic materials 0.000 claims description 3
- QXTIBZLKQPJVII-UHFFFAOYSA-N triethylsilicon Chemical compound CC[Si](CC)CC QXTIBZLKQPJVII-UHFFFAOYSA-N 0.000 claims description 3
- 229910002601 GaN Inorganic materials 0.000 claims description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 2
- 229910008310 Si—Ge Inorganic materials 0.000 claims description 2
- 150000004820 halides Chemical class 0.000 claims description 2
- 229910052594 sapphire Inorganic materials 0.000 claims description 2
- 239000010980 sapphire Substances 0.000 claims description 2
- 230000003213 activating effect Effects 0.000 claims 5
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 2
- 108010000020 Platelet Factor 3 Proteins 0.000 claims 1
- 229910052787 antimony Inorganic materials 0.000 claims 1
- 125000001153 fluoro group Chemical group F* 0.000 claims 1
- 238000012544 monitoring process Methods 0.000 claims 1
- 239000012495 reaction gas Substances 0.000 claims 1
- 239000010408 film Substances 0.000 description 55
- 239000004065 semiconductor Substances 0.000 description 9
- 238000012545 processing Methods 0.000 description 8
- 238000011109 contamination Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000003750 conditioning effect Effects 0.000 description 6
- 238000012423 maintenance Methods 0.000 description 5
- -1 fluorine radicals Chemical class 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 239000010410 layer Substances 0.000 description 3
- 241000894007 species Species 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000012686 silicon precursor Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 235000003140 Panax quinquefolius Nutrition 0.000 description 1
- 240000005373 Panax quinquefolius Species 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000002925 chemical effect Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000011538 cleaning material Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- AQRLNPVMDITEJU-UHFFFAOYSA-N triethylsilane Substances CC[SiH](CC)CC AQRLNPVMDITEJU-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32412—Plasma immersion ion implantation
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- High Energy & Nuclear Physics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Physical Vapour Deposition (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【解決手段】基板のプラズマイオン注入のための方法が、プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意し、基板のプラズマイオン注入から生ずる付着膜の組成物に似た新しいコーティング膜を、新しいコーティング膜を形成する前にプロセスチャンバの内側表面に付着し、一つ以上の活性化されたクリーニング前駆体を使用して古い膜を除去することにより、プロセスチャンバの内側表面をクリーニングし、プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行い、プロセスチャンバの内側表面をクリーニングすること、および新しいコーティングに続いて一つ以上の基板に対してプラズマイオン注入をおこなうことの工程を繰り返すことを含む。
Description
本発明は基板のプラズマイオン注入のシステムおよび方法に関し、特に、プラズマイオン注入プロセスチャンバを調整する方法に関する。本調整方法はクリーニングプロセス、コーティングプロセス、または両方のプロセスを含むことができる。
イオン注入は半導体ウエハ中に導電率を変更する不純物を導入するための標準的技術である。従来のビームラインイオン注入装置において、所望の不純物材料がイオン源内でイオン化され、該イオンは所定のエネルギーのイオンビームを形成するべく加速され、該イオンビームはウエハの表面に向けられる。ビーム内の活性イオンは半導体材料のバルクに侵入し、所望の導電率領域を形成するべく半導体材料の結晶格子内に埋め込まれる。
半導体産業界の開発の方向は、より小型のより高速なデバイスに向かっていることは周知のことである。特に、半導体デバイスの素子の横寸法および深さの両方が減少している。ドーパント材料の注入深さは、少なくとも部分的には、半導体ウエハ中に注入されるイオンのエネルギーにより決定される。典型的に、ビームラインイオン注入装置は比較的高い注入エネルギーにおいて効率的に動作するように設計されており、浅い接合注入に必要な低エネルギーにおいて効率的に機能しない。
プラズマドーピング装置は半導体ウエハ中に浅い接合を形成するために開発されたものである。プラズマドーピング装置において、半導体ウエハは陰極として機能する導体プラテン上に載置され、プラズマドーピングチャンバ内に配置される。所望のドーパント材料を含むイオン性処理ガスがチャンバ内に導入され、プラテンと陽極またはチャンバ壁との間に電圧パルスが印加されると、ウエハ付近にプラズマシースを有するプラズマが形成される。印加されたパルスにより、プラズマ内のイオンはプラズマシースを横切ることができ、ウエハ中に注入される。注入の深さはウエハと陽極との間に印加された電圧に関係する。プラズマドーピング装置は、非常に低い注入エネルギーを達成することが可能である。プラズマドーピング装置の例としては、特許文献1(Shengによる1994年10月11日発行の米国特許第5,354,381号)、特許文献2(Liebertらによる2000年2月1日発行の米国特許第6,020,592号)、および特許文献3(Goecknerらによる2001年2月6日発行の米国特許第6,182,604号)に記載されたものがある。
米国特許第5,354,381号明細書
米国特許第6,020,592号明細書
米国特許第6,182,604号明細書
上記プラズマドーピング装置において、印加された電圧パルスはプラズマを生成し、プラズマからの正イオンをウエハ方向へ加速する。プラズマイマージョン装置として知られる他のタイプのプラズマ装置において、連続またはパルス化されたRFエネルギーにより連続またはパルス化されたプラズマが生成される。間欠的に、負の電圧パルス(RFパルスと同期化されてもよい)がプラテンに印加されると、プラズマ中の正イオンがウエハ方向へ加速される。
基板プロセス処理システムのプロセスの制御がプロセスチャンバの状態に非常に敏感であることは知られている。良好なプロセスの繰り返し性をもつためには、プロセスチャンバは一定の条件で維持されるべきものである。しかし、基板のプロセス処理の間、プロセスチャンバはプラズマとの相互作用のためドリフトしてしまう。エッチングやスパッタリングにより基板から材料が除去され、または異なる動作条件の下で付着により材料が累積することになる。したがって、プロセスチャンバの状態は、繰り返し可能なプロセスを得るために制御されなければならない。チャンバの状態の制御に関連して解決すべき課題は、ウエハからウエハへと繰り返される注入の間で、チャンバを固定した状態に戻ること、メンテナンスおよび/またはチャンバクリーニングの後にチャンバ状態を戻すこと、異なるドーパントが使用されたとき、前のプロセス処理からの金属および/またはドーパントのような不所望の成分による注入されたウエハの汚染を制限することを含む。これらの成分は、プロセスチャンバのハードウエハの部分から生じ、注入の間、ウエハにもたらされる。
本発明の第1の態様にしたがって、基板のプラズマイオン注入のための方法および装置が与えられる。本方法は、プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意し、プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティング膜をプロセスチャンバの内側表面に付着し、プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行うことを含む。
本発明の第2の態様にしたがって、基板のプラズマイオン注入のための方法および装置が与えられる。本方法は、プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意し、プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティング膜をプロセスチャンバの内側表面に付着し、プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行うことを含み、ここでコーティング膜の付着は、ドーパントの材料を含むコーティング膜を付着することである。コーティング膜は、プラズマイオン注入の間、基板の表面の組成物と似た組成物を含む。
本発明の第3の態様にしたがって、基板のプラズマイオン注入のための方法および装置が与えられる。本方法は、プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意し、基板のプラズマイオン注入から生ずる付着膜の組成物に似た新しいコーティング膜を、新しいコーティング膜を形成する前にプロセスチャンバの内側表面に付着し、一つ以上の活性化されたクリーニング前駆体を使用して古い膜を除去することにより、プロセスチャンバの内側表面をクリーニングし、プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行い、プロセスチャンバの内側表面をクリーニングすること、および新しいコーティングに続いて一つ以上の基板に対してプラズマイオン注入を行うことの工程を繰り返すことを含む。
本発明の第4の態様にしたがって、基板のプラズマイオン注入のための方法および装置が与えられる。本方法は、プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意し、プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するクリーニングガスでもって、プロセスチャンバの内側表面をクリーニングし、プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行うことを含む。
本発明の実施に適したプラズマドーピング装置の例が図1に略示されている。プロセスチャンバ10は密閉空間12を画成する。チャンバ10内に配置されたプラテン14は半導体ウエハ20のような基板を保持するための表面を与える。ウエハ20は、たとえば、プラテン14の平坦面に対しその周縁がクランプされてもよく、静電的にクランプされてもよい。ひとつの実施例において、プラテンはウエハ20を支持するための電気的に導体となる表面を有する。他の実施例において、プラテンはウエハ20と接触するための導体ピン(図示せず)を含む。さらに、ウエハ20およびプラテン14はウエハ/基板の温度を制御するために、加熱/冷却システムを備える。
陽極24がプラテン14から空間をあけてチャンバ10内に配置される。陽極24はプラテン14に対して垂直の矢印26の方向に移動可能である。典型的に、陽極はチャンバ10の電気的に導電性をもつ壁に結合され、両者は接地される。他の構成において、陽極24およびプラテン14の両方がアースに対してバイアスされてもよい。
ウエハ20(プラテン14を介して)および陽極24は高電圧パルス源30に接続され、その結果ウエハ20は陰極として機能する。典型的にパルス源30は、振幅が約20から20000ボルトの範囲で、間隔が約1から200ミリ秒で、パルス周期が約100Hzから20kHzのパルスを与える。これらのパルスパラメータ値は例として与えられるものであり、発明の態様の範囲内で他の値が使用され得ることが理解されよう。
チャンバ10の密閉空間12は制御可能バルブ32を通じて真空ポンプ34に結合されている。プロセスガス源36は質量流量制御器38を介してチャンバ10と結合されている。チャンバ10内に配置された圧力センサー48はチャンバ圧力を示す信号を制御器48に与える。制御器46は感知したチャンバ圧力を所望の圧力入力と比較し、制御信号をバルブ32または質量流量制御器38に与える。制御信号は、チャンバ圧力と所望の圧力との間の差を最小にするようにバルブ32または質量流量制御器38を制御する。真空ポンプ34、バルブ32、圧力センサー48および制御器46は閉ループ圧力制御システムを構成する。典型的に、圧力は約1ミリトルから約500ミリトルの範囲で制御されるが、この範囲に限定されない。ガス源36は被処理体に注入するための所望のドーパントを含むイオン性ガスを供給する。イオン性ガスの例として、BF3、N2、Ar、PH3、AsH3、B2H6、PF3、AsF5およびXeが含まれる。質量流量制御器38はチャンバ10に供給されるガス流量を調節する。図1に示された構成は、一定のガス流量および一定圧力の処理ガスの連続流を与える。圧力およびガス流量は反復可能な結果を与えるよう好適に調整される。これに代えて、他の実施例では、ガス流が制御器により制御さえるバルブを使用して調節される一方で、バルブ32は一定位置に保持されてよい。このような構成は上流圧力制御として参照される。
プラズマドーピング装置は、中空陰極パルス源56に接続された中空陰極54を含んでも良い。ひとつの実施例において、中空陰極54は陽極24とプラテン14との間の空間を包囲する導体中空シリンダから成る。中空陰極は非常に低いイオンエネルギーが要求される応用において使用される。特に、中空陰極パルス源56はチャンバ12内にプラズマを形成するのに十分なパルス電圧を与え、パルス源30は所望の注入電圧を確立する。中空陰極の使用に関する詳細は、ここに参考文献として組み込む特許文献3(米国特許第6,182,604号明細書)に記載されている。
ウエハ20内に注入されイオンドーズ量を測定するために、ひとつまたはそれ以上のファラデーカップがプラテン14に隣接配置されている。図1の実施例において、ファラデーカップ50、52等はウエハ20の周囲に等間隔に配置されている。各ファラデーカップはプラズマ40に対向する入口60を有する導体エンクロージャから成る。各ファラデーカップは実際のウエハ20付近に配置され、プラズマ40からプラテン14方向へ加速された正イオンのサンプルを捕らえる。他の実施例において、環状のファラデーカップがウエハ20およびプラテン14の周りに配置される。
ファラデーカップはドーズプロセッサ70または他のドーズモニター回路に電気的に接続されている。入口60を通って各ファラデーカップに進入した正イオンは、ファラデーカップに接続された電気回路内にイオン電流を表す電流を生成する。ドーズプロセッサ70はイオンドーズ量を決定するべく該電流を処理する。
プラズマドーピング装置はプラテン14を包囲するガードリング66を含む。ガードリング66はウエハ20のエッジ付近の注入イオン分布の均一性を改善するようバイアスされてもよい。ファラデーカップ50、52はウエハ20およびプラテン14の周辺付近のガードリング66内に配置される。
動作中、ウエハ20はプラテン14上に配置されている。圧力制御装置、質量流量制御装置38およびガス源36はチャンバ10内に所望の圧力およびガス流量を生成する。例として、チャンバ10には10ミリトルの圧力でBF3ガスが導入される。パルス源30はウエハ20に一連の高電圧パルスを印加し、それによりウエハ20と陽極24との間のプラズマ放電領域48にプラズマ40が形成される。周知技術として、プラズマ40はガス源36からのイオン性ガスの正イオンを含む。プラズマ40はウエハ20の表面付近にプラズマシース42を有する。高電圧パルス中に陽極24とプラテン14との間に存在する電場は、プラズマシース42を横切ってプラズマ40からプラテン14方向へ正イオンを加速する。加速されたイオンは不純物材料の領域を形成するべくウエハ20内に注入される。パルス電圧はウエハ20内の所望の深さまで正イオンを注入するよう選択される。パルスの数およびパルス間隔はウエハ20内に所望のドーズ量の不純物材料を与えるよう選択される。パルス毎の電流は、パルス電圧、ガス圧力およびガス種、ならびに電極の可変位置の関数である。たとえば、陽極−陰極間隔は、異なる電圧ごとに調節されてもよい。
本発明の実施例にしたがったプロセスチャンバの高レベルフローチャートが図2に示されている。本方法は、クリーニングプロセス100において、プロセスチャンバ10の内側表面をインサイチュでクリーニングする方法およびコーティングプロセス110において、プロセスチャンバ10の内側表面をインサイチュでコーティングする方法を含む。プロセスチャンバ調整方法の後は、プラズマイオン注入プロセス120において、n個の基板のプラズマ注入が続く。クリーニングおよびコーティングプロセスは繰り返される。クリーニングプロセスは図3に関連して詳説され、コーティングプロセスは図4に関連して詳説される。
プロセスチャンバ調整方法は、連続して実行される主要な二つのプロセスを含み、一方はインサイチュプラズマクリーニングプロセスであり、他方はプラズマイオン注入プロセスのためチャンバを調整するインサイチュコーティング工程である。プロセスは前のプロセスからの古い膜、材料を除去するためにプロセスチャンバの内側表面をクリーニングすること、およびプラズマイオン注入の間に付着したフィルムと同様の組成物の新しいコーティングを付着することを含む。プロセスの適切な組み合わせおよび順番により、一つのプラズマイオン注入システムで、種々のドーパントで基板にプラズマイオン注入を汚染無く行うことができる。クリーニングプロセスはプロセスチャンバから不要な材料を除去するのに対して、コーティングプロセスは基板に繰り返して処理を行うものである。チャンバ調整方法は、同じプラズマイオン注入チャンバで、種々のドーパントの注入のプロセスに関して柔軟性を与える。インサイチュのチャンバ調整方法は実質的に、ひとつのプロセスチャンバで、基板への繰り返し可能な処理を行うのに必要なメンテナンスおよびチャンバ調整のための休止時間を減少させる。さらに、チャンバ調整方法は、周期的にプロセスチャンバをクリーニングし、基板への処理の間、チャンバの一部に過度の形成されたものを除去するために使用することができる。プロセスを繰り返すために、機械のスループットおよび利用時間を最大にするために、最適な時間間隔でクリーニングおよびコーティングされる。
インサイチュクリーニングプロセスは、真空ポンプにより除去することができる揮発性物質を形成するために、ガスによりまたは起動したとき熱的にまたはプラズマにより真空チャンバ内のドーパント付着物と反応するクリーニングガスまたは混合を使用することにより実行される。反応性ガスには、NF3、NH3、O2、O3、N2O、Ar、He、H2、CF4、CHF3等の単独のガス、またはこれらの組み合わせのガスがある。フッ素を含む化学物質(活性種がフッ素ラジカルもしくはイオン、またはフッ素分子)は、フッ素化合物ドーパントを使用してチャンバをより適したものにすることができると共に、水素を含むクリーニングガスは、フッ素が残ることが望ましくない場合に、より適したものとなる。
典型的な実施では、クリーニングプロセスにより除去される膜には、基板のプラズマイオン注入の間、プロセスチャンバで付着が行われる基板(たとえば、Si、Ge、Ga、Asなど)では、ドーパントの材料(たとえば、B、P、Asなど)が主なものである。これらのドーパントの材料は、プロセスが他のドーパントまたは基板に対するものに切り替わるときに、汚染源として作用する。除去すべき膜には、ウエハに使用されるフォトレジストから生ずる、炭素を含むドーパントもある。クリーニングガスは、除去されるべき物質の組成物により決定され、その結果活性クリーニングガスは、望ましくない材料と反応して揮発性種を形成する。たとえば、NF3、O2およびArを含む材料が、BF3ガスを使用したホウ素のドーピングプロセスの後、プロセスチャンバをクリーニングするために使用してもよい。クリーニングガス混合物の組成物は、最適なクリーニング回数およびクリーニングの一様性に関して選択される。
クリーニングガスは、分離したガスポートまたはひとつの共通ガスポートを通してプロセスチャンバに導入され、ガス混合物を活性化し、プラズマを形成するために、RF電力および/またはDCパルスバイアスをプラテンに適用することにより活性クリーニングが形成される。活性種の濃度は、適用されるRF電力またはDCパルスバイアス、およびチャンバ内の動作圧力により決定される。その圧力は、キャパシタンス圧力計を有するフィードバック制御回路をもつ可変伝導性ゲートまたはスロットルバルブを使用して制御され、ガス流量は質量流制御器により固定される。圧力は約1ミリトルから10トルの範囲で、典型的には約100ミリトルから2トルの範囲である。これに代えて、圧力は上流圧制御器を使用して制御されてよく、ガスラインの一つは、他のガスの比例流量を制御することができる流量計をもつ。RF電力は約100ワットから5キロワットの範囲で、典型的には約2キロワットである。プラズマはまた、プラテンまたはチャンバの壁部にパルス化されたDCバイアスを適用することにより開始し、そして維持される。他のアプローチでは、RFおよびDEバイアスがプラズマを開始し、維持するために、同時に使用される。クリーニング動作は、クリーニングされるべき基板に熱エネルギーを与えることにより、またはクリーニングされるべき表面とプラズマとの間の電場を通る衝突種のエネルギーを変化させることができる。このことは基板の表面への大きなDCパルスバイアスにより、容量性結合を介して、RFアンテナへの高い電圧により実施される。
付着物がクリーニングの材料の作用によりチャンバから除去された後、ガスはプロセスチャンバから排出される。プロセスチャンバは、そのプロセスチャンバから不所望な要素の残余の痕跡を除去するために、アルゴンまたはヘリウムのような不活性ガス、または水素のような不動態化ガスを流すことにより脱ガスされてもよい。脱ガス工程は、基板から残余のクリーニングガスを掃気することを高めるため、またさらなるプロセス処理に対してチャンバを調整するためにプラズマを使用することができる。
本発明にしたがったクリーニングプロセス100のフローチャートが図3に示されている。工程200で、クリーニングガスまたはクリーニングガスの混合ガスがプロセスチャンバに導入される。クリーニングガス(混合ガス)は、プロセスチャンバで、前に実施されたプロセス、およびプロセスチャンバの表面に付着したコーティング膜に基づいて選択される。工程202で、プロセスチャンバの圧力は、所望の圧力に、典型的には約1ミリトルから10トルの範囲に制御される。ガス流量もまた制御される。工程204で、ガスクリーニングガスまたはクリーニングガスの混合はプロセスチャンバにおいて活性化される。活性化はRFエネルギー、DCパルスまたは両者を使用して、プロセスチャンバで開始され、維持される。活性化はまたプロセスチャンバを加熱することにより、または加熱とともにプラズマによりなされる。工程206では、プロセスチャンバは、クリーニングプロセスを高めるために、任意であるが加熱されてもよい。加熱はプラズマとともに、またはプラズマなしで実施されてもよい。工程208では、プロセスチャンバの所望のクリーニングは実施される。クリーニングプロセスは選択した時間の間実施されてもよく、または終点検出技術を使用して終了してもよい。工程210では、クリーニングガスまたはクリーニングガスの混合、およびクリーニングプロセスの揮発性生成物は、プロセスチャンバから排出される。工程212では、プロセスチャンバは、アルゴンまたはヘリウムのような不活性ガスにより、または水素のような不動態化ガスにより脱ガスされてもよい。熱および/または化学的効果は不動態化のために使用されてもよい。
コーティングプロセスは、連続的なプロセスの構成工程として、またはプロセスチャンバの調整として、プロセスチャンバの内側表面に付着層をコーティングすることに関する。コーティングはウエハからウエハへの繰り返し性について改良し、プラズマイオン注入の間に生ずる金属または他の膜の汚染を減少させる。さらに、コーティングは、メンテナンスの後、またはインサイチュプラズマクリーニングでプロセスチャンバの再利用を促進する。インサイチュコーティングはシリコンのような、注入されるべき基板の材料、またはドーパントの材料と基板の材料の混合物(この場合は、このドーパントは基板に注入されるドーパントに対応する)を含む。コーティングの特定の例は、ホウ素を含むシリコンで、この場合、コーティングは、ホウ素の前駆体ガスとシリコンの前駆体ガスとの混合物を使用して付着される。他のコーティングは、第一の膜が基板の材料で、第二の膜がドーパントの材料となる積層された膜を含む。積層膜の利点は、下地の層をクリーニングプロセスの終了を決定する層として、および/またはクリーニングプロセスに対する停止膜として利用できることである。
チャンバコーティングプロセスは、基板材料(シリコン、ゲルマニウム、ガリウムヒ素、窒化ガリウム、サファイアなど)のような良性の材料でインサイチュコーティングにより、システムの休止時間を限定し、ウエハの汚染の危険性を制限する。コーティング膜は、プラズマの露出が各プロセスの実行中に同じチャンバ条件でなされることから、プロセスの安定性を改良する。さらに、コーティング膜は実質的に、良性の材料で汚染源を覆い、プラズマの露出に対してハードウエハの要素を保護し、プロセスウエハの汚染を減少させる。コーティング膜はまた、プロセスチャンバ内でガス放出され材料または吸着した成分が、プラズマイオン注入の間に放出されることを防止する。コーティングプロセスは、メンテナンスまたはクリーニングプロセスの後に必要とされる調整時間を減少させる。
シリコンコーティング膜がプロセスチャンバの内側表面に付着する実施例では、シリコンを含む前駆体がチャンバに導入される。プラズマが、プロセスチャンバの露出した表面に、シリコンを含むコーティング膜を付着するために、シリコンを含む前駆体を分化するために利用される。シリコンを含む前駆体は、SiH4、Si2H6、SiF4またはSiCl4のようなガスであってもよく、またはトリメチルシアン(TMS)またはトリエチルシラン(TES)のような有機シリコン前駆体(ヘリウム、ネオン、アルゴンまたはキセノンのような不活性ガスとともに導入される。)であってもよい。シリコン材料の付着物は、シリコンを含むコーティング膜の組成物を制御するために、不活性または反応性ガスを付加することにより、さらに制御され得る。反応性ガスには、水素、酸素、窒素、BF3、B2H6、PH3、AsF5、PF5、PF3またはヒ素がある、シリコンを含む材料のドープされたまたはドープされないコーティング膜が形成される。このアプローチは、適切な基板の材料を含む、異なる前駆体ガスを使用して、他の基板に対しても利用できる。たとえば、GeH4またはGeF4がGeまたはSi-Ge基板を処理するために使用される。
所望のコーティング種を含むガスまたは混合したガスが、プロセスチャンバに挿入され、プラズマの生成が開始する。プラズマは、所望のコーティング膜厚を形成するために十分な時間、生ずる。コーティング膜は、約1−10ミクロンの厚さであるが、この厚さに限定されない。コーティング膜厚は、プロセスチャンバに配置された標準的な薄膜付着モニターを使用してモニターされてもよい。コーティング膜厚は、コーティング膜の連続した浸食をモニターするために、さらにプロセスチャンバの再度のコーティングの必要性のために適所に残しておいてもよい。このことは、クリーニングプロセスの後、または実施されている連続したプロセスの間で必要なコーティングプロセスの後に必要なコーティングの膜厚を決定する際に利点がある。
プロセスチャンバが、プロセスの間の切り替えにより異なるドーパントを基板にプラズマイオン注入するために使用されるとき、プロセスチャンバは不所望なドーパントの痕跡を除去し、クロス汚染の危険性をなくすためにクリーニングを必要とする。チャンバのクリーニングは、装置を中止させるメンテナンス手順となるものである。プロセスチャンバの内側表面に注入されるべき新しいドーパントを含むコーティング膜を付着することにより、チャンバは重大な中止時間を発生させることなく調整することができる。コーティング膜は、プロセス状況で晒されてもよく、ドーパント膜として付着されてもよく、または化学的なエッチングおよび/または物理的なスパッタリングにより他の原子源のように作用するものとなってもよい。原子が処理の間コーティング膜から除去される場合、これら原子は、プロセスの混合ガスから除去されるか、プロセスに対して良性のものであるべきものである。この理由から、コーティング膜は工程に、プロセスの間、基板の表面の組成物と近似した組成物をもつことである。したがって、コーティング膜は、基板の材料およびドーパントの材料を含んでもよい。コーティング膜は一層または異なる膜では異なる組成物をもつ積層されたものでもよい。
典型的な実施において、コーティング層は基板材料としてシリコン、ドーパント材料としてホウ素、リン、またはヒ素を含む。二種類の材料は、コーティング膜の付着をもたらす条件のもとで、前駆体のインサイチュ分解により与えられる。生じたコーティング膜または膜の積層の組成物は、二種類の前駆体の相対的な比を操作することにより制御することができる。典型的なシリコン前駆体は、シラン(SinH2n+2、n=1、2、3、・・・)、TMS、TESなどのような有機シラン、SiF4、SiCl4などのようなハロシラン(halosilane)を含む一方、ドーパント前駆体は、水酸化物(B2H6、PH3、AsH3など)またはハロゲン化物(BF3、BCl3、PF3、AsF5など)である。コーティングプロセスはまた、コーティング膜の組成物を制御するために、不活性ガス(ヘリウム、アロゴン、キセノン)、または反応性ガス(F2、Cl2、H2など)を利用する。
コーティング膜の組成物が選択されると、コーティング前駆体は所定の比で、プロセスチャンバに導入され、チャンバの圧力は設定値へと制御され、プラズマはコーティング前駆体を破壊するために所定の電力で開始する。これに代えて、プロセスチャンバまたはプロセスチャンバの特定の部分(コーティングが必要な部分)は膜の付着を可能にするように加熱される。付着の表面の温度の制御は必要でなく、この点は利点である。コーティング前駆体は一つのポートまたは個々のポートを通してチャンバへと向けられ、その流れはプロセスチャンバで所定のコーティングプロファイルを容易にもつように、特定のターゲット領域へノズルでもって向けられてもよい。コーティングプロセスは、所望のコーティング膜厚が達成されるまで、続けられる。コーティング膜厚は、プロセスチャンバに配置された標準的な薄膜付着モニターを使用してモニターされてもよい。膜の積層は、異なるコーティング前駆体組成物でもって手順を繰り返すことにより形成される。最終の膜(プロセス混合物に晒される)は典型的に、プロセスで使用されるドーパントを主に含む。コーティングプロセスに対して、コーティング前駆体のイオン衝撃エネルギーのさらなる制御(コーティング膜の密度、接着特性を順に制御する)を行うためにプラテンおよび/またはチャンバの部分に対してDCパルスバイアスを使用することには利点がある。
本発明のしたがったコーティングプロセス110のフローチャートが図4に示されている。工程300で、コーティング前駆体ガスまたはガスの混合物がプロセスチャンバに導入される。上述の通り、コーティング前駆体は単独でまたは不活性ガス、反応性ガス、または両者とともに導入される。コーティング前駆体ガスは、プロセスチャンバで実施されるプラズマイオン注入プロセスに基づいて選択される。コーティング前駆体ガスは、基板材料、ドーパント材料、または両者を含む。工程302で、プロセスチャンバ内の圧力およびガス流は所望のレベルで制御される。工程305で、プロセスチャンバの内側表面または選択された内側表面がコーティングプロセスを促進するために任意ではあるが加熱されてもよい。加熱は、加熱素子および/またはプラズマでもって行われる。工程308で、コーティング膜厚はモニターされる。コーティング膜が所望の厚さに達成したとき、コーティングプロセスは終了し、または異なる組成をもつコーティング膜が最初のコーティング膜にわたって付着されてもよい。工程312で、所定のコーティング積層膜が完成していないときにはプロセスは工程300に戻る。このプロセスは異なる組成物をもつ一つ以上の膜を含む所定の積層膜を得るために繰り返される。
プラズマイオン注入プロセスチャンバの略示図が図5に示されている。図1および図5において同様の要素には同じ符号が付されている。図5の実施例では、プラズマがRF源(図示せず)に接続したRFコイル314により開始し、維持される。図示のとおり、プロセスガスはチャンバの上部のポートを通してプロセスチャンバ10に導入される。クリーニングプロセスの間、NF3、O2のようなクリーニングガス、希釈剤がチャンバの上部にあるポートを通して導入される。中空リング310がプラテン14を取り囲み、コーティング前駆体をプロセスチャンバ10に導入するために使用される。中空リング310には、コーティング前駆体ガスを所定の方向に受けることができるように穴のパターンが定められている。図5の実施例では、中空リング310はコーティング前駆体をプロセスチャンバ10の上方部分へと向け、プラテン14から離れるようにする穴を備える。この構成は付着をプラテン14に限定するものである。ダミーウエハ320がプラテン14のコーティングに限定するために利用することができる。中空リング310は例示であり、本発明の範囲を限定するものではない。コーティング前駆体をプロセスチャンバに導入するいかなる構成も利用することができる。同様の構成が、プラズマがプラテンおよび/またはチャンバ要素へのDCバイアスで開始し、維持されるDCパルス化プラズマ注入システムに対しても使用することができる。
明細書および図面に記載された実施例のさまざまな変更、修正が本発明の思想および態様の範囲内で可能である。したがって、上記のすべての事項は例示に過ぎず発明を限定するものではない。発明は特許請求の範囲の記載によってのみ制限される。
Claims (52)
- 基板へのプラズマイオン注入のための方法であって、
プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意する工程と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティング膜をプロセスチャンバの内側表面に付着する工程と、
プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行う工程と、
を含む方法。 - コーティング膜を付着する工程が基板の材料を含むコーティング膜を付着する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程がシリコンを含むコーティング膜を付着する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、Si、Si-Ge、Ge、GaAs、GaNおよびサファイアからなるグループから選択される材料を含むコーティング膜を付着する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程がコーティング前駆体をプロセスチャンバに導入する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程がさらに、コーティング前駆体をプラズマで分解する工程を含む、請求項5に記載の方法。
- コーティング膜を付着する工程がさらに、付着の間コーティング膜厚をモニターする工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、SiH4、Si2H6、SiF4、SiCl4、トリメチルシアンおよびトリエチルシラン(TES)からなるグループから選択されるシリコンを含む前駆体をプロセスチャンバに導入する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、シリコンを含む前駆体とともに、不活性ガスをプロセスチャンバに導入する工程を含む、請求項8に記載の方法。
- コーティング膜を付着する工程が、H2、O2、N2、BF3、B2H6、PH3、AsF5、PF5、PF3またはヒ素からなるグループから選択される反応ガスを、シリコンを含む前駆体とともにプロセスチャンバに導入する工程を含む、請求項8に記載の方法。
- コーティング膜を付着する工程が、シリコンを含むコーティング膜の組成を制御するために選択され反応性ガスを、シリコンを含むコーティング前駆体とともにプロセスチャンバに導入する工程を含む、請求項8に記載の方法。
- コーティング膜を付着する工程が、所定の割合でコーティング前駆体および反応性ガスをプロセスチャンバに導入する工程を更に含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、付着の間、プロセスチャンバの圧力およびガス流の一方または両方を制御することをさらに含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、コーティング材料をプロセスチャンバの少なくとも一カ所の内側表面にDCパルスを使用して加速する工程を含む、請求項1に記載の方法。
- コーティング膜を付着する工程が、プラテンのまわりに配置された中空リングの貫通穴を通して、コーティング材料を注入する工程を含む、請求項1に記載の方法。
- さらにコーティング膜の付着の後に、プロセスチャンバをクリーニングする工程を含む、請求項1に記載の方法。
- 基板へのプラズマイオン注入のための方法であって、
プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意する工程と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティング膜をプロセスチャンバの内側表面に付着する工程と、
プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行う工程と、
を含む方法。 - コーティング膜が、プラズマイオン注入の間、基板の表面の組成物と同様の組成物を含む、請求項17に記載の方法。
- コーティング膜を付着する工程が、B、P、AsおよびSbからなるグループから選択されるドーパントの材料を含むコーティング膜を付着する工程を含む、請求項17に記載の方法。
- コーティング膜を付着する工程がフッ素を含む前駆体のガスおよびシリコンを含む前駆体のガスをプロセスチャンバに導入する工程を含む、請求項17に記載の方法。
- コーティング膜が二層以上である、請求項17に記載の方法。
- コーティング膜を付着する工程が、基板の材料を含む膜を付着する工程と、それに続くドーパントの材料を含む膜を付着する工程を含む、請求項17に記載の方法。
- ドーパントの材料を含むコーティング膜を付着する工程が、水酸化物のドーパント前駆体をプロセスチャンバに導入する工程を含む、請求項17に記載の方法。
- ドーパントの材料を含むコーティング膜を付着する工程が、ハロゲン化物のドーパント前駆体をプロセスチャンバに導入する工程を含む、請求項17に記載の方法。
- ドーパントの材料を含むコーティング膜を付着する工程が、ドーパント前駆体および不活性ガスをプロセスチャンバに導入する工程を含む、請求項17に記載の方法。
- ドーパントの材料を含むコーティング膜を付着する工程が、ドーパント前駆体および反応性ガスをプロセスチャンバに導入する工程を含む、請求項17に記載の方法。
- ドーパント前駆体および反応性ガスを導入する工程がドーパント前駆体および反応性ガスをプロセスチャンバに一つのノズルを通して流すことを含む、請求項26に記載の方法。
- ドーパント前駆体および反応性ガスを導入する工程がドーパント前駆体および反応性ガスをプロセスチャンバに異なるノズルを通して流すことを含む、請求項26に記載の方法。
- ドーパント前駆体および反応性ガスを導入する工程がドーパント前駆体および反応性ガスの流れをプロセスチャンバ内のターゲット領域に向けることを含む、請求項26に記載の方法。
- 基板へのプラズマイオン注入のための方法であって、
プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、プラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意する工程と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティングガスでプロセスチャンバの内側表面をクリーニングする工程と、
プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行う工程と、
を含む方法。 - さらに、クリーニングガスをプラズマで活性化する工程を含む、請求項30に記載の方法。
- さらに、クリーニングガスを熱的に活性化する工程を含む、請求項30に記載の方法。
- クリーニングガスが、NF3、NH3、O2、O3、N2O、Ar、He、H2、CF4、CHF3およびこれらの組み合わせからなるグループから選択される、請求項30に記載の方法。
- クリーニングガスが、プラズマイオン注入プロセスと適合するように選択される、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、プロセスチャンバの内側表面に新しいコーティング膜を付着する前に実施される、請求項30に記載の方法。
- フッ素を含むガスが、フッ素化ドーパントのプラズマイオン注入に続いて使用される、請求項30に記載の方法。
- 水酸化物を含むクリーニングガスが、フッ素の残留が望ましくない場合に使用される、請求項30に記載の方法。
- NF3、O2、およびArの混合物を含むクリーニングガスが、BF3を使用してホウ素のプラズマイオン注入の後に使用される、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、1ミリトルから10トルの範囲で、プロセスチャンバ内の圧力を制御する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、100ミリトルから2トルの範囲で、プロセスチャンバ内の圧力を制御する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、100ワットから5キロワットの範囲のRFエネルギーにより生成されるプラズマでクリーニングガスを活性化する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、DCパルスにより生成されるプラズマでクリーニングガスを活性化する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、プロセスチャンバの一カ所以上の表面を加熱する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、RFエネルギーおよびDCパルスの組み合わせにより生成されるプラズマでクリーニングガスを活性化する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、クリーニングガスのイオンを加速するために、プロセスチャンバ内に電場を形成する工程を含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、不活性ガスでプロセスチャンバの脱ガスを行う工程をさらに含む、請求項30に記載の方法。
- プロセスチャンバの内側表面をクリーニングする工程が、不動態化ガスでプロセスチャンバの脱ガスを行う工程をさらに含む、請求項30に記載の方法。
- 基板へのプラズマイオン注入の方法であって、
プロセスチャンバ、該プロセスチャンバにプラズマを形成する発生源、プロセスチャンバに基板を保持するプラテン、およびプラズマから基板にイオンを加速する電圧源を含むプラズマイオン注入システムを用意する工程と、
基板のプラズマイオン注入から生ずる付着膜の組成物に似た新しいコーティング膜をプロセスチャンバの内側表面に付着する工程と、
新しいコーティング膜の付着前に、一つ以上の活性化されたクリーニング前駆体を使用して古い膜を除去することにより、プロセスチャンバの内側表面をクリーニングする工程と、
プラズマイオン注入プロセスにしたがって基板へのプラズマイオン注入を行う工程と、
プロセスチャンバの内側表面をクリーニングすること、および新しいコーティングに続いて一つ以上の基板に対してプラズマイオン注入を行うことの工程とを繰り返す工程と、
を含む方法。 - プラズマイオン注入システムであって、
プロセスチャンバと、
該プロセスチャンバにプラズマを形成する発生源と、
プロセスチャンバに基板を保持するプラテンと、
プラズマから基板にイオンを加速する注入パルスを発生するパルス源と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合するコーティング膜をプロセスチャンバの内側表面に付着する手段と、
を含むシステム。 - プラズマイオン注入システムであって、
プロセスチャンバと、
該プロセスチャンバにプラズマを形成する発生源と、
プロセスチャンバに基板を保持するプラテンと、
プラズマから基板にイオンを加速する注入パルスを発生するパルス源と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合する、ドーパントの材料を含むコーティング膜をプロセスチャンバの内側表面に付着する手段と、
を含むシステム。 - プラズマイオン注入システムであって、
プロセスチャンバと、
該プロセスチャンバにプラズマを形成する発生源と、
プロセスチャンバに基板を保持するプラテンと、
プラズマから基板にイオンを加速する注入パルスを発生するパルス源と、
プロセスチャンバで実施されるプラズマイオン注入プロセスと適合する、クリーニングガスでプロセスチャンバの内側表面をクリーニングする手段と、
を含むシステム。 - プラズマイオン注入システムであって、
プロセスチャンバと、
該プロセスチャンバにプラズマを形成する発生源と、
プロセスチャンバに基板を保持するプラテンと、
プラズマから基板にイオンを加速する注入パルスを発生するパルス源と、
基板のプラズマイオン注入から生ずる付着膜の組成物と適合する新しいコーティング膜をプロセスチャンバの内側表面に付着する手段と、
一つ以上の活性化されたクリーニング前駆体を使用して古い膜を除去することにより、新しいコーティング膜を付着する前に、プロセスチャンバの内側表面をクリーニングする手段と、
を含むシステム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/850,222 US20050260354A1 (en) | 2004-05-20 | 2004-05-20 | In-situ process chamber preparation methods for plasma ion implantation systems |
PCT/US2005/017699 WO2005114692A2 (en) | 2004-05-20 | 2005-05-19 | In-situ process chamber preparation methods for plasma ion implantation systems |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007538413A true JP2007538413A (ja) | 2007-12-27 |
JP2007538413A5 JP2007538413A5 (ja) | 2008-07-03 |
Family
ID=34970469
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007527467A Withdrawn JP2007538413A (ja) | 2004-05-20 | 2005-05-19 | プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20050260354A1 (ja) |
JP (1) | JP2007538413A (ja) |
KR (1) | KR20070026608A (ja) |
CN (1) | CN1977351A (ja) |
TW (1) | TW200602510A (ja) |
WO (1) | WO2005114692A2 (ja) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010050188A (ja) * | 2008-08-20 | 2010-03-04 | Panasonic Corp | プラズマドーピング装置 |
KR20110000644A (ko) * | 2008-02-25 | 2011-01-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 디척킹을 위한 챔버 시이즈닝 및 시이즈닝 레이어 플라즈마 방전에 의한 플라즈마 잠입 이온 주입(piii) |
JP2011511473A (ja) * | 2008-02-06 | 2011-04-07 | アプライド マテリアルズ インコーポレイテッド | チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法 |
JP2012524410A (ja) * | 2009-04-20 | 2012-10-11 | アプライド マテリアルズ インコーポレイテッド | プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進 |
WO2013123140A1 (en) * | 2012-02-14 | 2013-08-22 | Advanced Technology Materials, Inc. | Alternate materials and mixtures to minimize phosphorus buildup in implant applications |
JP2014053644A (ja) * | 2013-12-11 | 2014-03-20 | Hitachi High-Technologies Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2016066801A (ja) * | 2015-10-20 | 2016-04-28 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
KR20160089490A (ko) * | 2013-11-26 | 2016-07-27 | 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. | 주입 생산성 향상을 위한 방법 |
JP2016529704A (ja) * | 2013-07-18 | 2016-09-23 | ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド | 注入システムのイオンビーム品質を改善する方法 |
KR20170056426A (ko) * | 2015-11-13 | 2017-05-23 | 스미도모쥬기가이 이온 테크놀로지 가부시키가이샤 | 이온생성장치, 및 이온생성장치의 제어방법 |
CN109923641A (zh) * | 2016-11-08 | 2019-06-21 | 瓦里安半导体设备公司 | 使用固体掺杂源的等离子体掺杂 |
Families Citing this family (62)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7819981B2 (en) * | 2004-10-26 | 2010-10-26 | Advanced Technology Materials, Inc. | Methods for cleaning ion implanter components |
US20060205192A1 (en) * | 2005-03-09 | 2006-09-14 | Varian Semiconductor Equipment Associates, Inc. | Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition |
CN101495190B (zh) * | 2005-03-16 | 2013-05-01 | 高级技术材料公司 | 用于从固体源递送试剂的系统 |
US7528386B2 (en) * | 2005-04-21 | 2009-05-05 | Board Of Trustees Of University Of Illinois | Submicron particle removal |
KR101241922B1 (ko) | 2005-06-22 | 2013-03-11 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 통합 가스 배합 장치 및 방법 |
EP2813294A1 (en) | 2005-08-30 | 2014-12-17 | Advanced Technology Materials, Inc. | Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation |
US7595271B2 (en) * | 2005-12-01 | 2009-09-29 | Asm America, Inc. | Polymer coating for vapor deposition tool |
WO2007127865A2 (en) * | 2006-04-26 | 2007-11-08 | Advanced Technology Materials, Inc. | Cleaning of semiconductor processing systems |
WO2008035678A1 (fr) * | 2006-09-19 | 2008-03-27 | Tokyo Electron Limited | Processus de nettoyage de plasma et procédé cvd plasma |
US20080090392A1 (en) * | 2006-09-29 | 2008-04-17 | Varian Semiconductor Equipment Associates, Inc. | Technique for Improved Damage Control in a Plasma Doping (PLAD) Ion Implantation |
US7619229B2 (en) * | 2006-10-16 | 2009-11-17 | Varian Semiconductor Equipment Associates, Inc. | Technique for matching performance of ion implantation devices using an in-situ mask |
DE102007037527B4 (de) * | 2006-11-10 | 2013-05-08 | Schott Ag | Verfahren zum Beschichten von Gegenständen mit Wechselschichten |
JP5252613B2 (ja) * | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US7691755B2 (en) * | 2007-05-15 | 2010-04-06 | Applied Materials, Inc. | Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor |
US9123509B2 (en) * | 2007-06-29 | 2015-09-01 | Varian Semiconductor Equipment Associates, Inc. | Techniques for plasma processing a substrate |
KR100855540B1 (ko) * | 2007-07-10 | 2008-09-01 | 주식회사 코미코 | 이온 주입 장치, 이온 주입 장치의 내부 구조물 및 상기이온 주입 장치의 코팅층 형성 방법 |
US7875125B2 (en) | 2007-09-21 | 2011-01-25 | Semequip, Inc. | Method for extending equipment uptime in ion implantation |
SG188150A1 (en) | 2008-02-11 | 2013-03-28 | Advanced Tech Materials | Ion source cleaning in semiconductor processing systems |
US20090297409A1 (en) * | 2008-05-30 | 2009-12-03 | Buchanan Walter R | Discharge plasma reactor |
JP5178342B2 (ja) * | 2008-06-23 | 2013-04-10 | キヤノン株式会社 | 堆積物除去方法及び堆積膜形成方法 |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US20110021011A1 (en) | 2009-07-23 | 2011-01-27 | Advanced Technology Materials, Inc. | Carbon materials for carbon implantation |
US20110039034A1 (en) * | 2009-08-11 | 2011-02-17 | Helen Maynard | Pulsed deposition and recrystallization and tandem solar cell design utilizing crystallized/amorphous material |
US9627180B2 (en) * | 2009-10-01 | 2017-04-18 | Praxair Technology, Inc. | Method for ion source component cleaning |
US8598025B2 (en) | 2010-11-15 | 2013-12-03 | Varian Semiconductor Equipment Associates, Inc. | Doping of planar or three-dimensional structures at elevated temperatures |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
CN103774121B (zh) * | 2012-10-19 | 2016-09-21 | 陕西拓日新能源科技有限公司 | 一种用于非晶硅沉积的控制系统 |
JP2014137901A (ja) * | 2013-01-16 | 2014-07-28 | Nissin Ion Equipment Co Ltd | イオン注入装置およびイオン注入装置の運転方法 |
US9209032B2 (en) * | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US9123506B2 (en) | 2013-06-10 | 2015-09-01 | Fei Company | Electron beam-induced etching |
SG11201601015RA (en) * | 2013-08-16 | 2016-03-30 | Entegris Inc | Silicon implantation in substrates and provision of silicon precursor compositions therefor |
US11015244B2 (en) * | 2013-12-30 | 2021-05-25 | Advanced Material Solutions, Llc | Radiation shielding for a CVD reactor |
US10094018B2 (en) * | 2014-10-16 | 2018-10-09 | Lam Research Corporation | Dynamic precursor dosing for atomic layer deposition |
WO2016036512A1 (en) * | 2014-09-01 | 2016-03-10 | Entegris, Inc. | Phosphorus or arsenic ion implantation utilizing enhanced source techniques |
CN104465292B (zh) * | 2014-11-28 | 2017-05-03 | 上海华力微电子有限公司 | 一种离子注入机的预处理方法 |
US10553411B2 (en) | 2015-09-10 | 2020-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Ion collector for use in plasma systems |
US10882021B2 (en) | 2015-10-01 | 2021-01-05 | Ion Inject Technology Llc | Plasma reactor for liquid and gas and method of use |
EP4226999A3 (en) | 2015-10-01 | 2023-09-06 | Milton Roy, LLC | Plasma reactor for liquid and gas and related methods |
US11452982B2 (en) | 2015-10-01 | 2022-09-27 | Milton Roy, Llc | Reactor for liquid and gas and method of use |
US10187968B2 (en) | 2015-10-08 | 2019-01-22 | Ion Inject Technology Llc | Quasi-resonant plasma voltage generator |
US10046300B2 (en) | 2015-12-09 | 2018-08-14 | Ion Inject Technology Llc | Membrane plasma reactor |
US20180247800A1 (en) * | 2017-02-28 | 2018-08-30 | International Business Machines Corporation | Gallium implantation cleaning method |
US10161034B2 (en) | 2017-04-21 | 2018-12-25 | Lam Research Corporation | Rapid chamber clean using concurrent in-situ and remote plasma sources |
TWI635539B (zh) * | 2017-09-15 | 2018-09-11 | 金巨達國際股份有限公司 | 高介電常數介電層、其製造方法及執行該方法之多功能設備 |
TW202329762A (zh) | 2017-11-17 | 2023-07-16 | 新加坡商Aes 全球公司 | 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體 |
US11437221B2 (en) | 2017-11-17 | 2022-09-06 | Advanced Energy Industries, Inc. | Spatial monitoring and control of plasma processing environments |
PL3711080T3 (pl) | 2017-11-17 | 2023-12-11 | Aes Global Holdings, Pte. Ltd. | Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża |
EP3711082A4 (en) | 2017-11-17 | 2021-09-29 | AES Global Holdings, Pte. Ltd. | IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM |
US10410845B2 (en) * | 2017-11-22 | 2019-09-10 | Applied Materials, Inc. | Using bias RF pulsing to effectively clean electrostatic chuck (ESC) |
US10704141B2 (en) * | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
CN110828272B (zh) * | 2018-08-09 | 2022-09-16 | 北京北方华创微电子装备有限公司 | 腔室内衬、下电极装置和半导体处理设备 |
JP7241627B2 (ja) * | 2019-07-05 | 2023-03-17 | 東京エレクトロン株式会社 | クリーニング方法及びプラズマ処理装置 |
JP2022541004A (ja) | 2019-07-12 | 2022-09-21 | エーイーエス グローバル ホールディングス, プライベート リミテッド | 単一制御型スイッチを伴うバイアス供給装置 |
CN112289669B (zh) * | 2019-07-25 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 一种在无晶圆的真空反应腔内镀膜的方法及晶圆处理方法 |
JP7236954B2 (ja) * | 2019-08-06 | 2023-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US12125674B2 (en) * | 2020-05-11 | 2024-10-22 | Advanced Energy Industries, Inc. | Surface charge and power feedback and control using a switch mode bias system |
US11670487B1 (en) | 2022-01-26 | 2023-06-06 | Advanced Energy Industries, Inc. | Bias supply control and data processing |
US12046448B2 (en) | 2022-01-26 | 2024-07-23 | Advanced Energy Industries, Inc. | Active switch on time control for bias supply |
US11942309B2 (en) | 2022-01-26 | 2024-03-26 | Advanced Energy Industries, Inc. | Bias supply with resonant switching |
US20230282451A1 (en) * | 2022-03-05 | 2023-09-07 | Applied Materials, Inc. | Cover ring to mitigate carbon contamination in plasma doping chamber |
US11978613B2 (en) | 2022-09-01 | 2024-05-07 | Advanced Energy Industries, Inc. | Transition control in a bias supply |
US20240266149A1 (en) * | 2023-02-03 | 2024-08-08 | Tokyo Electron Limited | Methods for Semiconductor Process Chamber |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4376688A (en) * | 1981-04-03 | 1983-03-15 | Xerox Corporation | Method for producing semiconductor films |
US4512812A (en) * | 1983-09-22 | 1985-04-23 | Varian Associates, Inc. | Method for reducing phosphorous contamination in a vacuum processing chamber |
GB8512455D0 (en) * | 1985-05-16 | 1985-06-19 | Atomic Energy Authority Uk | Coating apparatus |
EP0207768A3 (en) * | 1985-07-01 | 1987-08-05 | United Kingdom Atomic Energy Authority | Coating improvements |
US4764394A (en) * | 1987-01-20 | 1988-08-16 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma source ion implantation |
JPH01306565A (ja) * | 1988-06-02 | 1989-12-11 | Canon Inc | 堆積膜形成方法 |
JP2708533B2 (ja) * | 1989-03-14 | 1998-02-04 | 富士通株式会社 | Cvd装置の残留ガス除去方法 |
GB9101462D0 (en) * | 1991-01-23 | 1991-03-06 | Unilever Plc | Edible spread |
US5366764A (en) * | 1992-06-15 | 1994-11-22 | Sunthankar Mandar B | Environmentally safe methods and apparatus for depositing and/or reclaiming a metal or semi-conductor material using sublimation |
US5354381A (en) * | 1993-05-07 | 1994-10-11 | Varian Associates, Inc. | Plasma immersion ion implantation (PI3) apparatus |
US5572038A (en) * | 1993-05-07 | 1996-11-05 | Varian Associates, Inc. | Charge monitor for high potential pulse current dose measurement apparatus and method |
US5711812A (en) * | 1995-06-06 | 1998-01-27 | Varian Associates, Inc. | Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes |
US5672541A (en) * | 1995-06-14 | 1997-09-30 | Wisconsin Alumni Research Foundation | Ultra-shallow junction semiconductor device fabrication |
US5911832A (en) * | 1996-10-10 | 1999-06-15 | Eaton Corporation | Plasma immersion implantation with pulsed anode |
US5654043A (en) * | 1996-10-10 | 1997-08-05 | Eaton Corporation | Pulsed plate plasma implantation system and method |
JP3341619B2 (ja) * | 1997-03-04 | 2002-11-05 | 東京エレクトロン株式会社 | 成膜装置 |
US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
TW460943B (en) * | 1997-06-11 | 2001-10-21 | Applied Materials Inc | Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions |
US6274058B1 (en) * | 1997-07-11 | 2001-08-14 | Applied Materials, Inc. | Remote plasma cleaning method for processing chambers |
US6136211A (en) * | 1997-11-12 | 2000-10-24 | Applied Materials, Inc. | Self-cleaning etch process |
US5976900A (en) * | 1997-12-08 | 1999-11-02 | Cypress Semiconductor Corp. | Method of reducing impurity contamination in semiconductor process chambers |
US6071573A (en) * | 1997-12-30 | 2000-06-06 | Lam Research Corporation | Process for precoating plasma CVD reactors |
US6217724B1 (en) * | 1998-02-11 | 2001-04-17 | Silicon General Corporation | Coated platen design for plasma immersion ion implantation |
US6020592A (en) * | 1998-08-03 | 2000-02-01 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6300643B1 (en) * | 1998-08-03 | 2001-10-09 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6050218A (en) * | 1998-09-28 | 2000-04-18 | Eaton Corporation | Dosimetry cup charge collection in plasma immersion ion implantation |
US6335536B1 (en) * | 1999-10-27 | 2002-01-01 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for low voltage plasma doping using dual pulses |
US6182604B1 (en) * | 1999-10-27 | 2001-02-06 | Varian Semiconductor Equipment Associates, Inc. | Hollow cathode for plasma doping system |
US6426015B1 (en) * | 1999-12-14 | 2002-07-30 | Applied Materials, Inc. | Method of reducing undesired etching of insulation due to elevated boron concentrations |
US6350697B1 (en) * | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6479098B1 (en) * | 2000-12-26 | 2002-11-12 | Taiwan Semiconductor Manufacturing Company | Method to solve particle performance of FSG layer by using UFU season film for FSG process |
US6533910B2 (en) * | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US6589868B2 (en) * | 2001-02-08 | 2003-07-08 | Applied Materials, Inc. | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput |
US7588036B2 (en) * | 2002-07-01 | 2009-09-15 | Applied Materials, Inc. | Chamber clean method using remote and in situ plasma cleaning systems |
JP4013674B2 (ja) * | 2002-07-11 | 2007-11-28 | 松下電器産業株式会社 | プラズマドーピング方法及び装置 |
-
2004
- 2004-05-20 US US10/850,222 patent/US20050260354A1/en not_active Abandoned
-
2005
- 2005-05-19 TW TW094116323A patent/TW200602510A/zh unknown
- 2005-05-19 KR KR1020067026723A patent/KR20070026608A/ko not_active Application Discontinuation
- 2005-05-19 CN CNA2005800203293A patent/CN1977351A/zh active Pending
- 2005-05-19 JP JP2007527467A patent/JP2007538413A/ja not_active Withdrawn
- 2005-05-19 WO PCT/US2005/017699 patent/WO2005114692A2/en active Application Filing
Cited By (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011511473A (ja) * | 2008-02-06 | 2011-04-07 | アプライド マテリアルズ インコーポレイテッド | チャンバ内面上に純粋またはほぼ純粋なシリコンのシーズニング層を用いるプラズマ浸漬イオン注入方法 |
US8168519B2 (en) | 2008-02-06 | 2012-05-01 | Applied Materials, Inc. | Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces |
KR101589023B1 (ko) * | 2008-02-25 | 2016-01-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 반응기 내 일련의 반도체 웨이퍼들의 이온 주입하는 방법 및 플라즈마 반응기 내 일련의 반도체 웨이퍼들의 플라즈마 잠입 이온 주입 방법 |
JP2011517060A (ja) * | 2008-02-25 | 2011-05-26 | アプライド マテリアルズ インコーポレイテッド | チャンバシーズニングによるプラズマ浸漬イオン注入プロセスおよびウェーハをデチャックするためのシーズニング層のプラズマ放電 |
KR20110000644A (ko) * | 2008-02-25 | 2011-01-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 디척킹을 위한 챔버 시이즈닝 및 시이즈닝 레이어 플라즈마 방전에 의한 플라즈마 잠입 이온 주입(piii) |
JP2010050188A (ja) * | 2008-08-20 | 2010-03-04 | Panasonic Corp | プラズマドーピング装置 |
JP2012524410A (ja) * | 2009-04-20 | 2012-10-11 | アプライド マテリアルズ インコーポレイテッド | プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進 |
KR101519036B1 (ko) * | 2009-04-20 | 2015-05-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 프로세스 챔버에 코팅하기 위한 장치 및 방법 |
WO2013123140A1 (en) * | 2012-02-14 | 2013-08-22 | Advanced Technology Materials, Inc. | Alternate materials and mixtures to minimize phosphorus buildup in implant applications |
US9812291B2 (en) | 2012-02-14 | 2017-11-07 | Entegris, Inc. | Alternate materials and mixtures to minimize phosphorus buildup in implant applications |
JP2016529704A (ja) * | 2013-07-18 | 2016-09-23 | ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド | 注入システムのイオンビーム品質を改善する方法 |
KR20160089490A (ko) * | 2013-11-26 | 2016-07-27 | 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. | 주입 생산성 향상을 위한 방법 |
JP2017502503A (ja) * | 2013-11-26 | 2017-01-19 | ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド | イオン注入生産性向上方法 |
KR102272833B1 (ko) | 2013-11-26 | 2021-07-06 | 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. | 작업물을 프로세싱하는 방법 |
JP2014053644A (ja) * | 2013-12-11 | 2014-03-20 | Hitachi High-Technologies Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2016066801A (ja) * | 2015-10-20 | 2016-04-28 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2017091906A (ja) * | 2015-11-13 | 2017-05-25 | 住友重機械イオンテクノロジー株式会社 | イオン生成装置、およびイオン生成装置の制御方法 |
US10283326B2 (en) | 2015-11-13 | 2019-05-07 | Sumitomo Heavy Industries Ion Technology Co., Ltd. | Ion generator and method of controlling ion generator |
KR20170056426A (ko) * | 2015-11-13 | 2017-05-23 | 스미도모쥬기가이 이온 테크놀로지 가부시키가이샤 | 이온생성장치, 및 이온생성장치의 제어방법 |
KR102523960B1 (ko) * | 2015-11-13 | 2023-04-21 | 스미도모쥬기가이 이온 테크놀로지 가부시키가이샤 | 이온생성장치, 및 이온생성장치의 제어방법 |
CN109923641A (zh) * | 2016-11-08 | 2019-06-21 | 瓦里安半导体设备公司 | 使用固体掺杂源的等离子体掺杂 |
CN109923641B (zh) * | 2016-11-08 | 2022-11-29 | 瓦里安半导体设备公司 | 在工件沉积或植入掺杂物质的方法及处理工件的方法 |
Also Published As
Publication number | Publication date |
---|---|
CN1977351A (zh) | 2007-06-06 |
WO2005114692A9 (en) | 2006-01-19 |
WO2005114692A3 (en) | 2006-03-02 |
TW200602510A (en) | 2006-01-16 |
WO2005114692A2 (en) | 2005-12-01 |
US20050260354A1 (en) | 2005-11-24 |
KR20070026608A (ko) | 2007-03-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2007538413A (ja) | プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法 | |
US11257685B2 (en) | Apparatus and process for electron beam mediated plasma etch and deposition processes | |
EP3007205B1 (en) | Workpiece processing method | |
KR101164829B1 (ko) | 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치 | |
EP3007208B1 (en) | Method of processing target object | |
KR20200053623A (ko) | 고 에너지 ale (atomic layer etching) | |
US20060099830A1 (en) | Plasma implantation using halogenated dopant species to limit deposition of surface layers | |
KR101160006B1 (ko) | 챔버 내부 표면 상의 순수한 또는 거의 순수한 실리콘 시즈닝 층을 이용하는 플라즈마 침지형 이온 주입 방법 | |
US20060105577A1 (en) | Aspect ratio controlled etch selectivity using time modulated DC bias voltage | |
US7939388B2 (en) | Plasma doping method and plasma doping apparatus | |
KR20070104589A (ko) | 포토레지스트 및 에칭 찌거기의 저압 제거 | |
JP2008504687A (ja) | プラズマ注入のためのエッチングおよび付着制御 | |
KR20000077197A (ko) | 이온주입기의 실리콘-코팅된 표면을 세척하는 시스템과 방법 | |
US10991594B2 (en) | Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces | |
JP2000068227A (ja) | 表面処理方法および装置 | |
US5926689A (en) | Process for reducing circuit damage during PECVD in single wafer PECVD system | |
CN113474483A (zh) | 能时间和/或空间上调制一或更多等离子体的衬底处理 | |
WO2004013371A2 (en) | Method and apparatus for plasma implantation without deposition of a layer of byproduct | |
US20230282451A1 (en) | Cover ring to mitigate carbon contamination in plasma doping chamber | |
JP5727853B2 (ja) | プラズマ生成方法 | |
EP4202979A1 (en) | Method of reducing surface roughness | |
JPH0547713A (ja) | プラズマ処理装置 | |
KR20000027441A (ko) | 다중 유전율의 접지전극을 갖는 플라즈마 발생 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080516 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080516 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20080529 |
|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20110617 |