US5955037A
(en)
*
|
1996-12-31 |
1999-09-21 |
Atmi Ecosys Corporation |
Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
|
US6121159A
(en)
|
1997-06-19 |
2000-09-19 |
Lsi Logic Corporation |
Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
|
GB9801359D0
(en)
*
|
1998-01-23 |
1998-03-18 |
Poulton Limited |
Methods and apparatus for treating a substrate
|
TW437017B
(en)
|
1998-02-05 |
2001-05-28 |
Asm Japan Kk |
Silicone polymer insulation film on semiconductor substrate and method for formation thereof
|
US6514880B2
(en)
|
1998-02-05 |
2003-02-04 |
Asm Japan K.K. |
Siloxan polymer film on semiconductor substrate and method for forming same
|
US6383955B1
(en)
*
|
1998-02-05 |
2002-05-07 |
Asm Japan K.K. |
Silicone polymer insulation film on semiconductor substrate and method for forming the film
|
US6852650B2
(en)
*
|
1998-02-05 |
2005-02-08 |
Asm Japan K.K. |
Insulation film on semiconductor substrate and method for forming same
|
US6432846B1
(en)
*
|
1999-02-02 |
2002-08-13 |
Asm Japan K.K. |
Silicone polymer insulation film on semiconductor substrate and method for forming the film
|
US6881683B2
(en)
*
|
1998-02-05 |
2005-04-19 |
Asm Japan K.K. |
Insulation film on semiconductor substrate and method for forming same
|
US6627532B1
(en)
*
|
1998-02-11 |
2003-09-30 |
Applied Materials, Inc. |
Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
|
US6054379A
(en)
*
|
1998-02-11 |
2000-04-25 |
Applied Materials, Inc. |
Method of depositing a low k dielectric with organo silane
|
US6340435B1
(en)
*
|
1998-02-11 |
2002-01-22 |
Applied Materials, Inc. |
Integrated low K dielectrics and etch stops
|
US6660656B2
(en)
|
1998-02-11 |
2003-12-09 |
Applied Materials Inc. |
Plasma processes for depositing low dielectric constant films
|
US6287990B1
(en)
*
|
1998-02-11 |
2001-09-11 |
Applied Materials, Inc. |
CVD plasma assisted low dielectric constant films
|
US6593247B1
(en)
*
|
1998-02-11 |
2003-07-15 |
Applied Materials, Inc. |
Method of depositing low k films using an oxidizing plasma
|
US6413583B1
(en)
*
|
1998-02-11 |
2002-07-02 |
Applied Materials, Inc. |
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
|
US6303523B2
(en)
|
1998-02-11 |
2001-10-16 |
Applied Materials, Inc. |
Plasma processes for depositing low dielectric constant films
|
US7804115B2
(en)
*
|
1998-02-25 |
2010-09-28 |
Micron Technology, Inc. |
Semiconductor constructions having antireflective portions
|
US6274292B1
(en)
*
|
1998-02-25 |
2001-08-14 |
Micron Technology, Inc. |
Semiconductor processing methods
|
JP3305251B2
(ja)
*
|
1998-02-26 |
2002-07-22 |
松下電器産業株式会社 |
配線構造体の形成方法
|
US6068884A
(en)
*
|
1998-04-28 |
2000-05-30 |
Silcon Valley Group Thermal Systems, Llc |
Method of making low κ dielectric inorganic/organic hybrid films
|
US6218268B1
(en)
*
|
1998-05-05 |
2001-04-17 |
Applied Materials, Inc. |
Two-step borophosphosilicate glass deposition process and related devices and apparatus
|
US7923383B2
(en)
*
|
1998-05-21 |
2011-04-12 |
Tokyo Electron Limited |
Method and apparatus for treating a semi-conductor substrate
|
US6627539B1
(en)
*
|
1998-05-29 |
2003-09-30 |
Newport Fab, Llc |
Method of forming dual-damascene interconnect structures employing low-k dielectric materials
|
US6159871A
(en)
|
1998-05-29 |
2000-12-12 |
Dow Corning Corporation |
Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
|
US6667553B2
(en)
|
1998-05-29 |
2003-12-23 |
Dow Corning Corporation |
H:SiOC coated substrates
|
US6127263A
(en)
|
1998-07-10 |
2000-10-03 |
Applied Materials, Inc. |
Misalignment tolerant techniques for dual damascene fabrication
|
US6383951B1
(en)
*
|
1998-09-03 |
2002-05-07 |
Micron Technology, Inc. |
Low dielectric constant material for integrated circuit fabrication
|
US6268282B1
(en)
*
|
1998-09-03 |
2001-07-31 |
Micron Technology, Inc. |
Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
|
US6281100B1
(en)
|
1998-09-03 |
2001-08-28 |
Micron Technology, Inc. |
Semiconductor processing methods
|
US6800571B2
(en)
*
|
1998-09-29 |
2004-10-05 |
Applied Materials Inc. |
CVD plasma assisted low dielectric constant films
|
US6974766B1
(en)
*
|
1998-10-01 |
2005-12-13 |
Applied Materials, Inc. |
In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
|
US6635583B2
(en)
*
|
1998-10-01 |
2003-10-21 |
Applied Materials, Inc. |
Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
|
JP3657788B2
(ja)
*
|
1998-10-14 |
2005-06-08 |
富士通株式会社 |
半導体装置及びその製造方法
|
US6251802B1
(en)
*
|
1998-10-19 |
2001-06-26 |
Micron Technology, Inc. |
Methods of forming carbon-containing layers
|
US6245690B1
(en)
*
|
1998-11-04 |
2001-06-12 |
Applied Materials, Inc. |
Method of improving moisture resistance of low dielectric constant films
|
US6168726B1
(en)
*
|
1998-11-25 |
2001-01-02 |
Applied Materials, Inc. |
Etching an oxidized organo-silane film
|
JP2000174123A
(ja)
*
|
1998-12-09 |
2000-06-23 |
Nec Corp |
半導体装置及びその製造方法
|
US6828683B2
(en)
|
1998-12-23 |
2004-12-07 |
Micron Technology, Inc. |
Semiconductor devices, and semiconductor processing methods
|
US7235499B1
(en)
*
|
1999-01-20 |
2007-06-26 |
Micron Technology, Inc. |
Semiconductor processing methods
|
JP4270632B2
(ja)
*
|
1999-03-12 |
2009-06-03 |
株式会社東芝 |
ドライエッチングを用いた半導体装置の製造方法
|
US6849923B2
(en)
*
|
1999-03-12 |
2005-02-01 |
Kabushiki Kaisha Toshiba |
Semiconductor device and manufacturing method of the same
|
US20060017162A1
(en)
*
|
1999-03-12 |
2006-01-26 |
Shoji Seta |
Semiconductor device and manufacturing method of the same
|
US6556949B1
(en)
|
1999-05-18 |
2003-04-29 |
Applied Materials, Inc. |
Semiconductor processing techniques
|
US6408220B1
(en)
|
1999-06-01 |
2002-06-18 |
Applied Materials, Inc. |
Semiconductor processing techniques
|
US6456894B1
(en)
|
1999-06-01 |
2002-09-24 |
Applied Materials, Inc. |
Semiconductor processing techniques
|
US6303395B1
(en)
|
1999-06-01 |
2001-10-16 |
Applied Materials, Inc. |
Semiconductor processing techniques
|
US6709715B1
(en)
*
|
1999-06-17 |
2004-03-23 |
Applied Materials Inc. |
Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
|
US6821571B2
(en)
*
|
1999-06-18 |
2004-11-23 |
Applied Materials Inc. |
Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
|
US6251770B1
(en)
*
|
1999-06-30 |
2001-06-26 |
Lam Research Corp. |
Dual-damascene dielectric structures and methods for making the same
|
US6602806B1
(en)
|
1999-08-17 |
2003-08-05 |
Applied Materials, Inc. |
Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
|
EP1077479A1
(de)
*
|
1999-08-17 |
2001-02-21 |
Applied Materials, Inc. |
Behandlung nach der Abscheidung um die Eigenschaften eines niedrig-k Si-O-C Films zu verbessern
|
US7067414B1
(en)
|
1999-09-01 |
2006-06-27 |
Micron Technology, Inc. |
Low k interlevel dielectric layer fabrication methods
|
US6346476B1
(en)
*
|
1999-09-27 |
2002-02-12 |
Taiwan Semiconductor Manufacturing Company |
Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
|
US6153512A
(en)
*
|
1999-10-12 |
2000-11-28 |
Taiwan Semiconductor Manufacturing Company |
Process to improve adhesion of HSQ to underlying materials
|
US6156743A
(en)
*
|
1999-10-18 |
2000-12-05 |
Whitcomb; John E. |
Method of decreasing fatigue
|
US6423284B1
(en)
*
|
1999-10-18 |
2002-07-23 |
Advanced Technology Materials, Inc. |
Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
|
US6376361B1
(en)
*
|
1999-10-18 |
2002-04-23 |
Chartered Semiconductor Manufacturing Ltd. |
Method to remove excess metal in the formation of damascene and dual interconnects
|
US6391795B1
(en)
*
|
1999-10-22 |
2002-05-21 |
Lsi Logic Corporation |
Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
|
US6417106B1
(en)
*
|
1999-11-01 |
2002-07-09 |
Taiwan Semiconductor Manufacturing Company |
Underlayer liner for copper damascene in low k dielectric
|
US6147012A
(en)
*
|
1999-11-12 |
2000-11-14 |
Lsi Logic Corporation |
Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant
|
JP3400770B2
(ja)
*
|
1999-11-16 |
2003-04-28 |
松下電器産業株式会社 |
エッチング方法、半導体装置及びその製造方法
|
US6432826B1
(en)
|
1999-11-29 |
2002-08-13 |
Applied Materials, Inc. |
Planarized Cu cleaning for reduced defects
|
US6541369B2
(en)
*
|
1999-12-07 |
2003-04-01 |
Applied Materials, Inc. |
Method and apparatus for reducing fixed charges in a semiconductor device
|
US6638143B2
(en)
|
1999-12-22 |
2003-10-28 |
Applied Materials, Inc. |
Ion exchange materials for chemical mechanical polishing
|
US6640151B1
(en)
|
1999-12-22 |
2003-10-28 |
Applied Materials, Inc. |
Multi-tool control system, method and medium
|
US6541367B1
(en)
*
|
2000-01-18 |
2003-04-01 |
Applied Materials, Inc. |
Very low dielectric constant plasma-enhanced CVD films
|
JP3615979B2
(ja)
*
|
2000-01-18 |
2005-02-02 |
株式会社ルネサステクノロジ |
半導体装置及びその製造方法
|
US6440860B1
(en)
*
|
2000-01-18 |
2002-08-27 |
Micron Technology, Inc. |
Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
|
EP1123991A3
(de)
*
|
2000-02-08 |
2002-11-13 |
Asm Japan K.K. |
Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
|
US6410770B2
(en)
|
2000-02-08 |
2002-06-25 |
Gelest, Inc. |
Chloride-free process for the production of alkylsilanes suitable for microelectronic applications
|
US6815329B2
(en)
*
|
2000-02-08 |
2004-11-09 |
International Business Machines Corporation |
Multilayer interconnect structure containing air gaps and method for making
|
JP2001267310A
(ja)
*
|
2000-03-17 |
2001-09-28 |
Tokyo Electron Ltd |
プラズマ成膜方法及びその装置
|
US6417092B1
(en)
|
2000-04-05 |
2002-07-09 |
Novellus Systems, Inc. |
Low dielectric constant etch stop films
|
US6451697B1
(en)
|
2000-04-06 |
2002-09-17 |
Applied Materials, Inc. |
Method for abrasive-free metal CMP in passivation domain
|
US6952656B1
(en)
|
2000-04-28 |
2005-10-04 |
Applied Materials, Inc. |
Wafer fabrication data acquisition and management systems
|
JP4368498B2
(ja)
*
|
2000-05-16 |
2009-11-18 |
Necエレクトロニクス株式会社 |
半導体装置、半導体ウェーハおよびこれらの製造方法
|
US6468927B1
(en)
*
|
2000-05-19 |
2002-10-22 |
Applied Materials, Inc. |
Method of depositing a nitrogen-doped FSG layer
|
US6284644B1
(en)
*
|
2000-10-10 |
2001-09-04 |
Chartered Semiconductor Manufacturing Ltd. |
IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
|
US6492731B1
(en)
|
2000-06-27 |
2002-12-10 |
Lsi Logic Corporation |
Composite low dielectric constant film for integrated circuit structure
|
US6653242B1
(en)
|
2000-06-30 |
2003-11-25 |
Applied Materials, Inc. |
Solution to metal re-deposition during substrate planarization
|
US6410437B1
(en)
*
|
2000-06-30 |
2002-06-25 |
Lam Research Corporation |
Method for etching dual damascene structures in organosilicate glass
|
US6372661B1
(en)
*
|
2000-07-14 |
2002-04-16 |
Taiwan Semiconductor Manufacturing Company |
Method to improve the crack resistance of CVD low-k dielectric constant material
|
US6524944B1
(en)
*
|
2000-07-17 |
2003-02-25 |
Advanced Micro Devices, Inc. |
Low k ILD process by removable ILD
|
US6764958B1
(en)
*
|
2000-07-28 |
2004-07-20 |
Applied Materials Inc. |
Method of depositing dielectric films
|
US6708074B1
(en)
|
2000-08-11 |
2004-03-16 |
Applied Materials, Inc. |
Generic interface builder
|
US6573196B1
(en)
|
2000-08-12 |
2003-06-03 |
Applied Materials Inc. |
Method of depositing organosilicate layers
|
US6362094B1
(en)
*
|
2000-08-16 |
2002-03-26 |
Agere Systems Guardian Corp. |
Hydrogenated silicon carbide as a liner for self-aligning contact vias
|
US6303525B1
(en)
*
|
2000-08-18 |
2001-10-16 |
Philips Electronics No. America Corp. |
Method and structure for adhering MSQ material to liner oxide
|
US7220322B1
(en)
|
2000-08-24 |
2007-05-22 |
Applied Materials, Inc. |
Cu CMP polishing pad cleaning
|
US6489242B1
(en)
|
2000-09-13 |
2002-12-03 |
Lsi Logic Corporation |
Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
|
US6521302B1
(en)
|
2000-09-26 |
2003-02-18 |
Applied Materials, Inc. |
Method of reducing plasma-induced damage
|
US6448186B1
(en)
|
2000-10-06 |
2002-09-10 |
Novellus Systems, Inc. |
Method and apparatus for use of hydrogen and silanes in plasma
|
US6569349B1
(en)
|
2000-10-23 |
2003-05-27 |
Applied Materials Inc. |
Additives to CMP slurry to polish dielectric films
|
US6524167B1
(en)
|
2000-10-27 |
2003-02-25 |
Applied Materials, Inc. |
Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
|
US6284653B1
(en)
*
|
2000-10-30 |
2001-09-04 |
Vanguard International Semiconductor Corp. |
Method of selectively forming a barrier layer from a directionally deposited metal layer
|
US6531398B1
(en)
*
|
2000-10-30 |
2003-03-11 |
Applied Materials, Inc. |
Method of depositing organosillicate layers
|
US6423630B1
(en)
|
2000-10-31 |
2002-07-23 |
Lsi Logic Corporation |
Process for forming low K dielectric material between metal lines
|
US6537923B1
(en)
|
2000-10-31 |
2003-03-25 |
Lsi Logic Corporation |
Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
|
US6753258B1
(en)
*
|
2000-11-03 |
2004-06-22 |
Applied Materials Inc. |
Integration scheme for dual damascene structure
|
US6607967B1
(en)
|
2000-11-15 |
2003-08-19 |
Lsi Logic Corporation |
Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate
|
US6905981B1
(en)
|
2000-11-24 |
2005-06-14 |
Asm Japan K.K. |
Low-k dielectric materials and processes
|
US6500773B1
(en)
*
|
2000-11-27 |
2002-12-31 |
Applied Materials, Inc. |
Method of depositing organosilicate layers
|
US7188142B2
(en)
*
|
2000-11-30 |
2007-03-06 |
Applied Materials, Inc. |
Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
|
US6432814B1
(en)
*
|
2000-11-30 |
2002-08-13 |
Agere Systems Guardian Corp. |
Method of manufacturing an interconnect structure having a passivation layer for preventing subsequent processing reactions
|
US20020068454A1
(en)
*
|
2000-12-01 |
2002-06-06 |
Applied Materials, Inc. |
Method and composition for the removal of residual materials during substrate planarization
|
US6657284B1
(en)
*
|
2000-12-01 |
2003-12-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Graded dielectric layer and method for fabrication thereof
|
JP3545364B2
(ja)
*
|
2000-12-19 |
2004-07-21 |
キヤノン販売株式会社 |
半導体装置及びその製造方法
|
KR20020051456A
(ko)
*
|
2000-12-22 |
2002-06-29 |
황 철 주 |
저온환경의 화학기상증착 방법
|
US6407013B1
(en)
|
2001-01-16 |
2002-06-18 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
|
US6583048B2
(en)
|
2001-01-17 |
2003-06-24 |
Air Products And Chemicals, Inc. |
Organosilicon precursors for interlayer dielectric films with low dielectric constants
|
US6537733B2
(en)
|
2001-02-23 |
2003-03-25 |
Applied Materials, Inc. |
Method of depositing low dielectric constant silicon carbide layers
|
US6572925B2
(en)
|
2001-02-23 |
2003-06-03 |
Lsi Logic Corporation |
Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
|
US6858195B2
(en)
|
2001-02-23 |
2005-02-22 |
Lsi Logic Corporation |
Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
|
US6649219B2
(en)
|
2001-02-23 |
2003-11-18 |
Lsi Logic Corporation |
Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
|
US6603204B2
(en)
*
|
2001-02-28 |
2003-08-05 |
International Business Machines Corporation |
Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
|
US6465343B1
(en)
*
|
2001-02-28 |
2002-10-15 |
Advanced Micro Devices, Inc. |
Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
|
US20020128735A1
(en)
*
|
2001-03-08 |
2002-09-12 |
Hawkins Parris C.M. |
Dynamic and extensible task guide
|
US6348407B1
(en)
|
2001-03-15 |
2002-02-19 |
Chartered Semiconductor Manufacturing Inc. |
Method to improve adhesion of organic dielectrics in dual damascene interconnects
|
US20020138321A1
(en)
*
|
2001-03-20 |
2002-09-26 |
Applied Materials, Inc. |
Fault tolerant and automated computer software workflow
|
US6472333B2
(en)
|
2001-03-28 |
2002-10-29 |
Applied Materials, Inc. |
Silicon carbide cap layers for low dielectric constant silicon oxide layers
|
US6709721B2
(en)
|
2001-03-28 |
2004-03-23 |
Applied Materials Inc. |
Purge heater design and process development for the improvement of low k film properties
|
US7311852B2
(en)
*
|
2001-03-30 |
2007-12-25 |
Lam Research Corporation |
Method of plasma etching low-k dielectric materials
|
US6777171B2
(en)
|
2001-04-20 |
2004-08-17 |
Applied Materials, Inc. |
Fluorine-containing layers for damascene structures
|
US6624091B2
(en)
|
2001-05-07 |
2003-09-23 |
Applied Materials, Inc. |
Methods of forming gap fill and layers formed thereby
|
US7074489B2
(en)
*
|
2001-05-23 |
2006-07-11 |
Air Products And Chemicals, Inc. |
Low dielectric constant material and method of processing by CVD
|
US6716770B2
(en)
|
2001-05-23 |
2004-04-06 |
Air Products And Chemicals, Inc. |
Low dielectric constant material and method of processing by CVD
|
US6559048B1
(en)
|
2001-05-30 |
2003-05-06 |
Lsi Logic Corporation |
Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
|
US6583026B1
(en)
|
2001-05-31 |
2003-06-24 |
Lsi Logic Corporation |
Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
|
US6562700B1
(en)
|
2001-05-31 |
2003-05-13 |
Lsi Logic Corporation |
Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
|
US6566171B1
(en)
|
2001-06-12 |
2003-05-20 |
Lsi Logic Corporation |
Fuse construction for integrated circuit structure having low dielectric constant dielectric material
|
KR100422348B1
(ko)
*
|
2001-06-15 |
2004-03-12 |
주식회사 하이닉스반도체 |
반도체소자의 제조방법
|
US6486082B1
(en)
*
|
2001-06-18 |
2002-11-26 |
Applied Materials, Inc. |
CVD plasma assisted lower dielectric constant sicoh film
|
US6610354B2
(en)
*
|
2001-06-18 |
2003-08-26 |
Applied Materials, Inc. |
Plasma display panel with a low k dielectric layer
|
US7082345B2
(en)
*
|
2001-06-19 |
2006-07-25 |
Applied Materials, Inc. |
Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
|
US7101799B2
(en)
*
|
2001-06-19 |
2006-09-05 |
Applied Materials, Inc. |
Feedforward and feedback control for conditioning of chemical mechanical polishing pad
|
US6913938B2
(en)
*
|
2001-06-19 |
2005-07-05 |
Applied Materials, Inc. |
Feedback control of plasma-enhanced chemical vapor deposition processes
|
US6930056B1
(en)
*
|
2001-06-19 |
2005-08-16 |
Lsi Logic Corporation |
Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
|
US7160739B2
(en)
*
|
2001-06-19 |
2007-01-09 |
Applied Materials, Inc. |
Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
|
US6910947B2
(en)
*
|
2001-06-19 |
2005-06-28 |
Applied Materials, Inc. |
Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
|
US7201936B2
(en)
*
|
2001-06-19 |
2007-04-10 |
Applied Materials, Inc. |
Method of feedback control of sub-atmospheric chemical vapor deposition processes
|
US7698012B2
(en)
*
|
2001-06-19 |
2010-04-13 |
Applied Materials, Inc. |
Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
|
KR100422916B1
(ko)
*
|
2001-06-26 |
2004-03-12 |
주식회사 엘지화학 |
유기실리케이트 중합체 및 이를 함유하는 저유전 절연막
|
US6559033B1
(en)
|
2001-06-27 |
2003-05-06 |
Lsi Logic Corporation |
Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
|
US6455417B1
(en)
|
2001-07-05 |
2002-09-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
|
US6498112B1
(en)
*
|
2001-07-13 |
2002-12-24 |
Advanced Micro Devices, Inc. |
Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
|
US7337019B2
(en)
*
|
2001-07-16 |
2008-02-26 |
Applied Materials, Inc. |
Integration of fault detection with run-to-run control
|
US6811470B2
(en)
|
2001-07-16 |
2004-11-02 |
Applied Materials Inc. |
Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
|
US20030017359A1
(en)
*
|
2001-07-17 |
2003-01-23 |
American Air Liquide, Inc. |
Increased stability low concentration gases, products comprising same, and methods of making same
|
US7832550B2
(en)
*
|
2001-07-17 |
2010-11-16 |
American Air Liquide, Inc. |
Reactive gases with concentrations of increased stability and processes for manufacturing same
|
WO2003008664A2
(en)
*
|
2001-07-17 |
2003-01-30 |
L'air Liquide-Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude |
Method of making a passivated surface
|
US6458650B1
(en)
|
2001-07-20 |
2002-10-01 |
Taiwan Semiconductor Manufacturing Company |
CU second electrode process with in situ ashing and oxidation process
|
US6570256B2
(en)
|
2001-07-20 |
2003-05-27 |
International Business Machines Corporation |
Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
|
US7183201B2
(en)
*
|
2001-07-23 |
2007-02-27 |
Applied Materials, Inc. |
Selective etching of organosilicate films over silicon oxide stop etch layers
|
US7085616B2
(en)
*
|
2001-07-27 |
2006-08-01 |
Applied Materials, Inc. |
Atomic layer deposition apparatus
|
GB0118417D0
(en)
*
|
2001-07-28 |
2001-09-19 |
Trikon Holdings Ltd |
A method of depositing a dielectric film
|
US6632735B2
(en)
*
|
2001-08-07 |
2003-10-14 |
Applied Materials, Inc. |
Method of depositing low dielectric constant carbon doped silicon oxide
|
US6762127B2
(en)
*
|
2001-08-23 |
2004-07-13 |
Yves Pierre Boiteux |
Etch process for dielectric materials comprising oxidized organo silane materials
|
US6677239B2
(en)
|
2001-08-24 |
2004-01-13 |
Applied Materials Inc. |
Methods and compositions for chemical mechanical polishing
|
US6881664B2
(en)
*
|
2001-08-28 |
2005-04-19 |
Lsi Logic Corporation |
Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
|
US6521520B1
(en)
|
2001-08-30 |
2003-02-18 |
Lsi Logic Corporation |
Semiconductor wafer arrangement and method of processing a semiconductor wafer
|
US6605549B2
(en)
|
2001-09-29 |
2003-08-12 |
Intel Corporation |
Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
|
US6759327B2
(en)
*
|
2001-10-09 |
2004-07-06 |
Applied Materials Inc. |
Method of depositing low k barrier layers
|
US6656837B2
(en)
*
|
2001-10-11 |
2003-12-02 |
Applied Materials, Inc. |
Method of eliminating photoresist poisoning in damascene applications
|
US6670717B2
(en)
*
|
2001-10-15 |
2003-12-30 |
International Business Machines Corporation |
Structure and method for charge sensitive electrical devices
|
US6528423B1
(en)
|
2001-10-26 |
2003-03-04 |
Lsi Logic Corporation |
Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
|
US6613665B1
(en)
|
2001-10-26 |
2003-09-02 |
Lsi Logic Corporation |
Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
|
US7001823B1
(en)
|
2001-11-14 |
2006-02-21 |
Lsi Logic Corporation |
Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
|
US6537896B1
(en)
|
2001-12-04 |
2003-03-25 |
Lsi Logic Corporation |
Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
|
US6562735B1
(en)
|
2001-12-11 |
2003-05-13 |
Lsi Logic Corporation |
Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
|
US6905968B2
(en)
*
|
2001-12-12 |
2005-06-14 |
Applied Materials, Inc. |
Process for selectively etching dielectric layers
|
US6890850B2
(en)
*
|
2001-12-14 |
2005-05-10 |
Applied Materials, Inc. |
Method of depositing dielectric materials in damascene applications
|
US6838393B2
(en)
*
|
2001-12-14 |
2005-01-04 |
Applied Materials, Inc. |
Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
|
US6699784B2
(en)
|
2001-12-14 |
2004-03-02 |
Applied Materials Inc. |
Method for depositing a low k dielectric film (K>3.5) for hard mask application
|
US7091137B2
(en)
*
|
2001-12-14 |
2006-08-15 |
Applied Materials |
Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
|
US7226853B2
(en)
*
|
2001-12-26 |
2007-06-05 |
Applied Materials, Inc. |
Method of forming a dual damascene structure utilizing a three layer hard mask structure
|
US7199056B2
(en)
*
|
2002-02-08 |
2007-04-03 |
Applied Materials, Inc. |
Low cost and low dishing slurry for polysilicon CMP
|
US6777349B2
(en)
*
|
2002-03-13 |
2004-08-17 |
Novellus Systems, Inc. |
Hermetic silicon carbide
|
US6806203B2
(en)
|
2002-03-18 |
2004-10-19 |
Applied Materials Inc. |
Method of forming a dual damascene structure using an amorphous silicon hard mask
|
US7225047B2
(en)
*
|
2002-03-19 |
2007-05-29 |
Applied Materials, Inc. |
Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
|
US20030199112A1
(en)
*
|
2002-03-22 |
2003-10-23 |
Applied Materials, Inc. |
Copper wiring module control
|
US7390755B1
(en)
|
2002-03-26 |
2008-06-24 |
Novellus Systems, Inc. |
Methods for post etch cleans
|
US6541397B1
(en)
*
|
2002-03-29 |
2003-04-01 |
Applied Materials, Inc. |
Removable amorphous carbon CMP stop
|
US6936309B2
(en)
|
2002-04-02 |
2005-08-30 |
Applied Materials, Inc. |
Hardness improvement of silicon carboxy films
|
US20030194495A1
(en)
*
|
2002-04-11 |
2003-10-16 |
Applied Materials, Inc. |
Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
|
US20030211244A1
(en)
*
|
2002-04-11 |
2003-11-13 |
Applied Materials, Inc. |
Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
|
US20030194496A1
(en)
*
|
2002-04-11 |
2003-10-16 |
Applied Materials, Inc. |
Methods for depositing dielectric material
|
US6815373B2
(en)
*
|
2002-04-16 |
2004-11-09 |
Applied Materials Inc. |
Use of cyclic siloxanes for hardness improvement of low k dielectric films
|
US6812043B2
(en)
*
|
2002-04-25 |
2004-11-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming a carbon doped oxide low-k insulating layer
|
US6949389B2
(en)
*
|
2002-05-02 |
2005-09-27 |
Osram Opto Semiconductors Gmbh |
Encapsulation for organic light emitting diodes devices
|
US7008484B2
(en)
*
|
2002-05-06 |
2006-03-07 |
Applied Materials Inc. |
Method and apparatus for deposition of low dielectric constant materials
|
US20030206337A1
(en)
*
|
2002-05-06 |
2003-11-06 |
Eastman Kodak Company |
Exposure apparatus for irradiating a sensitized substrate
|
US6936551B2
(en)
*
|
2002-05-08 |
2005-08-30 |
Applied Materials Inc. |
Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
|
US7060330B2
(en)
*
|
2002-05-08 |
2006-06-13 |
Applied Materials, Inc. |
Method for forming ultra low k films using electron beam
|
US7056560B2
(en)
*
|
2002-05-08 |
2006-06-06 |
Applies Materials Inc. |
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
|
US6602779B1
(en)
|
2002-05-13 |
2003-08-05 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
|
US20040033371A1
(en)
*
|
2002-05-16 |
2004-02-19 |
Hacker Nigel P. |
Deposition of organosilsesquioxane films
|
KR100923941B1
(ko)
*
|
2002-05-29 |
2009-10-29 |
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 |
산 기체 및 매트릭스 기체를 포함하는 수분 감소된 조성물, 상기 조성물을 포함하는 제품 및 이의 제조 방법
|
TW200416131A
(en)
*
|
2002-06-03 |
2004-09-01 |
Honeywell Int Inc |
Layered components, materials, methods of production and uses thereof
|
US6927178B2
(en)
*
|
2002-07-11 |
2005-08-09 |
Applied Materials, Inc. |
Nitrogen-free dielectric anti-reflective coating and hardmask
|
US7105460B2
(en)
*
|
2002-07-11 |
2006-09-12 |
Applied Materials |
Nitrogen-free dielectric anti-reflective coating and hardmask
|
JP2005536042A
(ja)
*
|
2002-08-08 |
2005-11-24 |
トリコン テクノロジーズ リミティド |
シャワーヘッドの改良
|
US20040033703A1
(en)
*
|
2002-08-19 |
2004-02-19 |
Shyh-Dar Lee |
Method for forming amino-free low k material
|
US6903023B2
(en)
*
|
2002-09-16 |
2005-06-07 |
International Business Machines Corporation |
In-situ plasma etch for TERA hard mask materials
|
US20040063224A1
(en)
*
|
2002-09-18 |
2004-04-01 |
Applied Materials, Inc. |
Feedback control of a chemical mechanical polishing process for multi-layered films
|
US6806185B2
(en)
|
2002-09-19 |
2004-10-19 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
|
US7001833B2
(en)
*
|
2002-09-27 |
2006-02-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming openings in low-k dielectric layers
|
US6756321B2
(en)
*
|
2002-10-05 |
2004-06-29 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
|
US7749563B2
(en)
*
|
2002-10-07 |
2010-07-06 |
Applied Materials, Inc. |
Two-layer film for next generation damascene barrier application with good oxidation resistance
|
US6797643B2
(en)
*
|
2002-10-23 |
2004-09-28 |
Applied Materials Inc. |
Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
|
US7063597B2
(en)
|
2002-10-25 |
2006-06-20 |
Applied Materials |
Polishing processes for shallow trench isolation substrates
|
US7404990B2
(en)
|
2002-11-14 |
2008-07-29 |
Air Products And Chemicals, Inc. |
Non-thermal process for forming porous low dielectric constant films
|
CN1720490B
(zh)
*
|
2002-11-15 |
2010-12-08 |
应用材料有限公司 |
用于控制具有多变量输入参数的制造工艺的方法和系统
|
US6932092B2
(en)
*
|
2002-11-22 |
2005-08-23 |
Applied Materials, Inc. |
Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
|
US6720255B1
(en)
*
|
2002-12-12 |
2004-04-13 |
Texas Instruments Incorporated |
Semiconductor device with silicon-carbon-oxygen dielectric having improved metal barrier adhesion and method of forming the device
|
US6855645B2
(en)
*
|
2002-12-30 |
2005-02-15 |
Novellus Systems, Inc. |
Silicon carbide having low dielectric constant
|
US7270713B2
(en)
*
|
2003-01-07 |
2007-09-18 |
Applied Materials, Inc. |
Tunable gas distribution plate assembly
|
US6790788B2
(en)
*
|
2003-01-13 |
2004-09-14 |
Applied Materials Inc. |
Method of improving stability in low k barrier layers
|
US7333871B2
(en)
*
|
2003-01-21 |
2008-02-19 |
Applied Materials, Inc. |
Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
|
JP2004253791A
(ja)
|
2003-01-29 |
2004-09-09 |
Nec Electronics Corp |
絶縁膜およびそれを用いた半導体装置
|
US6897163B2
(en)
*
|
2003-01-31 |
2005-05-24 |
Applied Materials, Inc. |
Method for depositing a low dielectric constant film
|
US7011890B2
(en)
*
|
2003-03-03 |
2006-03-14 |
Applied Materials Inc. |
Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
|
TWI240959B
(en)
|
2003-03-04 |
2005-10-01 |
Air Prod & Chem |
Mechanical enhancement of dense and porous organosilicate materials by UV exposure
|
US6913992B2
(en)
*
|
2003-03-07 |
2005-07-05 |
Applied Materials, Inc. |
Method of modifying interlayer adhesion
|
US6774053B1
(en)
|
2003-03-07 |
2004-08-10 |
Freescale Semiconductor, Inc. |
Method and structure for low-k dielectric constant applications
|
US6740602B1
(en)
|
2003-03-17 |
2004-05-25 |
Asm Japan K.K. |
Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
|
US7208389B1
(en)
*
|
2003-03-31 |
2007-04-24 |
Novellus Systems, Inc. |
Method of porogen removal from porous low-k films using UV radiation
|
US6942753B2
(en)
|
2003-04-16 |
2005-09-13 |
Applied Materials, Inc. |
Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
|
US8137764B2
(en)
*
|
2003-05-29 |
2012-03-20 |
Air Products And Chemicals, Inc. |
Mechanical enhancer additives for low dielectric films
|
US7205228B2
(en)
*
|
2003-06-03 |
2007-04-17 |
Applied Materials, Inc. |
Selective metal encapsulation schemes
|
US20050238889A1
(en)
*
|
2003-07-10 |
2005-10-27 |
Nancy Iwamoto |
Layered components, materials, methods of production and uses thereof
|
US20050014299A1
(en)
*
|
2003-07-15 |
2005-01-20 |
Applied Materials, Inc. |
Control of metal resistance in semiconductor products via integrated metrology
|
US7122481B2
(en)
*
|
2003-07-25 |
2006-10-17 |
Intel Corporation |
Sealing porous dielectrics with silane coupling reagents
|
US7354332B2
(en)
*
|
2003-08-04 |
2008-04-08 |
Applied Materials, Inc. |
Technique for process-qualifying a semiconductor manufacturing tool using metrology data
|
US7067437B2
(en)
|
2003-09-12 |
2006-06-27 |
International Business Machines Corporation |
Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
|
US7256499B1
(en)
|
2003-10-02 |
2007-08-14 |
Advanced Micro Devices, Inc. |
Ultra low dielectric constant integrated circuit system
|
US7067441B2
(en)
*
|
2003-11-06 |
2006-06-27 |
Texas Instruments Incorporated |
Damage-free resist removal process for ultra-low-k processing
|
KR100511890B1
(ko)
*
|
2003-11-10 |
2005-09-05 |
매그나칩 반도체 유한회사 |
반도체소자 제조방법
|
US6909934B1
(en)
*
|
2004-01-05 |
2005-06-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Efficient method of dynamic formulation of chamber selections for multiple chamber tools
|
US20050150452A1
(en)
*
|
2004-01-14 |
2005-07-14 |
Soovo Sen |
Process kit design for deposition chamber
|
US7356377B2
(en)
*
|
2004-01-29 |
2008-04-08 |
Applied Materials, Inc. |
System, method, and medium for monitoring performance of an advanced process control system
|
JP4917249B2
(ja)
*
|
2004-02-03 |
2012-04-18 |
ルネサスエレクトロニクス株式会社 |
半導体装置及び半導体装置の製造方法
|
US7732326B2
(en)
*
|
2004-02-25 |
2010-06-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
|
US20060051966A1
(en)
*
|
2004-02-26 |
2006-03-09 |
Applied Materials, Inc. |
In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
|
US20050230350A1
(en)
|
2004-02-26 |
2005-10-20 |
Applied Materials, Inc. |
In-situ dry clean chamber for front end of line fabrication
|
US7638440B2
(en)
*
|
2004-03-12 |
2009-12-29 |
Applied Materials, Inc. |
Method of depositing an amorphous carbon film for etch hardmask application
|
US7407893B2
(en)
*
|
2004-03-05 |
2008-08-05 |
Applied Materials, Inc. |
Liquid precursors for the CVD deposition of amorphous carbon films
|
US7030041B2
(en)
*
|
2004-03-15 |
2006-04-18 |
Applied Materials Inc. |
Adhesion improvement for low k dielectrics
|
US7582555B1
(en)
*
|
2005-12-29 |
2009-09-01 |
Novellus Systems, Inc. |
CVD flowable gap fill
|
US7524735B1
(en)
|
2004-03-25 |
2009-04-28 |
Novellus Systems, Inc |
Flowable film dielectric gap fill process
|
US9257302B1
(en)
|
2004-03-25 |
2016-02-09 |
Novellus Systems, Inc. |
CVD flowable gap fill
|
US20050214457A1
(en)
*
|
2004-03-29 |
2005-09-29 |
Applied Materials, Inc. |
Deposition of low dielectric constant films by N2O addition
|
US7229911B2
(en)
*
|
2004-04-19 |
2007-06-12 |
Applied Materials, Inc. |
Adhesion improvement for low k dielectrics to conductive materials
|
US20050233555A1
(en)
*
|
2004-04-19 |
2005-10-20 |
Nagarajan Rajagopalan |
Adhesion improvement for low k dielectrics to conductive materials
|
US20050250346A1
(en)
|
2004-05-06 |
2005-11-10 |
Applied Materials, Inc. |
Process and apparatus for post deposition treatment of low k dielectric materials
|
US20050252547A1
(en)
*
|
2004-05-11 |
2005-11-17 |
Applied Materials, Inc. |
Methods and apparatus for liquid chemical delivery
|
JP4279195B2
(ja)
*
|
2004-05-18 |
2009-06-17 |
ソニー株式会社 |
半導体装置
|
US20050277302A1
(en)
*
|
2004-05-28 |
2005-12-15 |
Nguyen Son V |
Advanced low dielectric constant barrier layers
|
US7096085B2
(en)
*
|
2004-05-28 |
2006-08-22 |
Applied Materials |
Process control by distinguishing a white noise component of a process variance
|
US6961626B1
(en)
*
|
2004-05-28 |
2005-11-01 |
Applied Materials, Inc |
Dynamic offset and feedback threshold
|
US7229041B2
(en)
*
|
2004-06-30 |
2007-06-12 |
Ohio Central Steel Company |
Lifting lid crusher
|
US7288205B2
(en)
*
|
2004-07-09 |
2007-10-30 |
Applied Materials, Inc. |
Hermetic low dielectric constant layer for barrier applications
|
US7288484B1
(en)
|
2004-07-13 |
2007-10-30 |
Novellus Systems, Inc. |
Photoresist strip method for low-k dielectrics
|
US20060021703A1
(en)
*
|
2004-07-29 |
2006-02-02 |
Applied Materials, Inc. |
Dual gas faceplate for a showerhead in a semiconductor wafer processing system
|
US7210988B2
(en)
*
|
2004-08-24 |
2007-05-01 |
Applied Materials, Inc. |
Method and apparatus for reduced wear polishing pad conditioning
|
US7312146B2
(en)
*
|
2004-09-21 |
2007-12-25 |
Applied Materials, Inc. |
Semiconductor device interconnect fabricating techniques
|
US20060088976A1
(en)
*
|
2004-10-22 |
2006-04-27 |
Applied Materials, Inc. |
Methods and compositions for chemical mechanical polishing substrates
|
US7736599B2
(en)
|
2004-11-12 |
2010-06-15 |
Applied Materials, Inc. |
Reactor design to reduce particle deposition during process abatement
|
US20060115980A1
(en)
*
|
2004-11-30 |
2006-06-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for decreasing a dielectric constant of a low-k film
|
US8193096B2
(en)
|
2004-12-13 |
2012-06-05 |
Novellus Systems, Inc. |
High dose implantation strip (HDIS) in H2 base chemistry
|
US7202176B1
(en)
*
|
2004-12-13 |
2007-04-10 |
Novellus Systems, Inc. |
Enhanced stripping of low-k films using downstream gas mixing
|
US7262127B2
(en)
*
|
2005-01-21 |
2007-08-28 |
Sony Corporation |
Method for Cu metallization of highly reliable dual damascene structures
|
US20060166491A1
(en)
*
|
2005-01-21 |
2006-07-27 |
Kensaku Ida |
Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
|
US20060163731A1
(en)
*
|
2005-01-21 |
2006-07-27 |
Keishi Inoue |
Dual damascene interconnections employing a copper alloy at the copper/barrier interface
|
US7166531B1
(en)
|
2005-01-31 |
2007-01-23 |
Novellus Systems, Inc. |
VLSI fabrication processes for introducing pores into dielectric materials
|
US7867779B2
(en)
|
2005-02-03 |
2011-01-11 |
Air Products And Chemicals, Inc. |
System and method comprising same for measurement and/or analysis of particles in gas stream
|
US20060183055A1
(en)
*
|
2005-02-15 |
2006-08-17 |
O'neill Mark L |
Method for defining a feature on a substrate
|
US7446047B2
(en)
*
|
2005-02-18 |
2008-11-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal structure with sidewall passivation and method
|
US20060251827A1
(en)
*
|
2005-05-09 |
2006-11-09 |
Applied Materials, Inc. |
Tandem uv chamber for curing dielectric materials
|
US8129281B1
(en)
|
2005-05-12 |
2012-03-06 |
Novellus Systems, Inc. |
Plasma based photoresist removal system for cleaning post ash residue
|
KR100675895B1
(ko)
*
|
2005-06-29 |
2007-02-02 |
주식회사 하이닉스반도체 |
반도체소자의 금속배선구조 및 그 제조방법
|
JP4197694B2
(ja)
*
|
2005-08-10 |
2008-12-17 |
株式会社東芝 |
半導体装置およびその製造方法
|
US7867845B2
(en)
*
|
2005-09-01 |
2011-01-11 |
Micron Technology, Inc. |
Transistor gate forming methods and transistor structures
|
US20070082477A1
(en)
*
|
2005-10-06 |
2007-04-12 |
Applied Materials, Inc. |
Integrated circuit fabricating techniques employing sacrificial liners
|
US20070080461A1
(en)
*
|
2005-10-11 |
2007-04-12 |
Taiwan Semiconductor Manufacturing Comapny, Ltd. |
Ultra low-k dielectric in damascene structures
|
US20070080455A1
(en)
*
|
2005-10-11 |
2007-04-12 |
International Business Machines Corporation |
Semiconductors and methods of making
|
EP1954926A2
(de)
|
2005-10-31 |
2008-08-13 |
Applied Materials, Inc. |
Prozessunterdrückungsreaktor
|
WO2007094869A2
(en)
*
|
2005-10-31 |
2007-08-23 |
Applied Materials, Inc. |
Electrochemical method for ecmp polishing pad conditioning
|
US20070134435A1
(en)
*
|
2005-12-13 |
2007-06-14 |
Ahn Sang H |
Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
|
US7829159B2
(en)
*
|
2005-12-16 |
2010-11-09 |
Asm Japan K.K. |
Method of forming organosilicon oxide film and multilayer resist structure
|
US20070158207A1
(en)
*
|
2006-01-06 |
2007-07-12 |
Applied Materials, Inc. |
Methods for electrochemical processing with pre-biased cells
|
US7863183B2
(en)
*
|
2006-01-18 |
2011-01-04 |
International Business Machines Corporation |
Method for fabricating last level copper-to-C4 connection with interfacial cap structure
|
US20070173070A1
(en)
*
|
2006-01-26 |
2007-07-26 |
Mei-Ling Chen |
Porous low-k dielectric film and fabrication method thereof
|
US20070202640A1
(en)
*
|
2006-02-28 |
2007-08-30 |
Applied Materials, Inc. |
Low-k spacer integration into CMOS transistors
|
US20070227902A1
(en)
*
|
2006-03-29 |
2007-10-04 |
Applied Materials, Inc. |
Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
|
US7300868B2
(en)
|
2006-03-30 |
2007-11-27 |
Sony Corporation |
Damascene interconnection having porous low k layer with a hard mask reduced in thickness
|
US20070232062A1
(en)
*
|
2006-03-31 |
2007-10-04 |
Takeshi Nogami |
Damascene interconnection having porous low k layer followed by a nonporous low k layer
|
US8399349B2
(en)
*
|
2006-04-18 |
2013-03-19 |
Air Products And Chemicals, Inc. |
Materials and methods of forming controlled void
|
US7851384B2
(en)
*
|
2006-06-01 |
2010-12-14 |
Applied Materials, Inc. |
Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
|
US20070286954A1
(en)
*
|
2006-06-13 |
2007-12-13 |
Applied Materials, Inc. |
Methods for low temperature deposition of an amorphous carbon layer
|
US20070287849A1
(en)
|
2006-06-13 |
2007-12-13 |
Air Products And Chemicals, Inc. |
Low-Impurity Organosilicon Product As Precursor For CVD
|
US8232176B2
(en)
|
2006-06-22 |
2012-07-31 |
Applied Materials, Inc. |
Dielectric deposition and etch back processes for bottom up gapfill
|
US20070299239A1
(en)
*
|
2006-06-27 |
2007-12-27 |
Air Products And Chemicals, Inc. |
Curing Dielectric Films Under A Reducing Atmosphere
|
US7297376B1
(en)
|
2006-07-07 |
2007-11-20 |
Applied Materials, Inc. |
Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
|
JPWO2008020592A1
(ja)
*
|
2006-08-15 |
2010-01-07 |
Jsr株式会社 |
膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
|
EP2074660A1
(de)
*
|
2006-09-04 |
2009-07-01 |
Nxp B.V. |
Steuerung des wachstums von kohlenstoffnanostrukturen in einer verbindungsstruktur
|
US7459388B2
(en)
*
|
2006-09-06 |
2008-12-02 |
Samsung Electronics Co., Ltd. |
Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses
|
US7598183B2
(en)
*
|
2006-09-20 |
2009-10-06 |
Applied Materials, Inc. |
Bi-layer capping of low-K dielectric films
|
US7740768B1
(en)
|
2006-10-12 |
2010-06-22 |
Novellus Systems, Inc. |
Simultaneous front side ash and backside clean
|
US9245739B2
(en)
|
2006-11-01 |
2016-01-26 |
Lam Research Corporation |
Low-K oxide deposition by hydrolysis and condensation
|
TWI323266B
(en)
*
|
2006-11-14 |
2010-04-11 |
Nat Univ Tsing Hua |
Method for synthesizing conducting polymer by plasma polymerization
|
US7718548B2
(en)
|
2006-12-06 |
2010-05-18 |
Applied Materials, Inc. |
Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
|
US20080182403A1
(en)
*
|
2007-01-26 |
2008-07-31 |
Atif Noori |
Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
|
KR20090108721A
(ko)
*
|
2007-01-29 |
2009-10-16 |
어플라이드 머티어리얼스, 인코포레이티드 |
신규한 공기 갭 통합 방법
|
US20080188679A1
(en)
*
|
2007-02-05 |
2008-08-07 |
Air Products And Chemicals, Inc. |
Method Of Purifying Organosilicon Compositions Used As Precursors In Chemical Vapor Desposition
|
WO2008099811A1
(ja)
*
|
2007-02-14 |
2008-08-21 |
Jsr Corporation |
ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
|
US7500397B2
(en)
|
2007-02-15 |
2009-03-10 |
Air Products And Chemicals, Inc. |
Activated chemical process for enhancing material properties of dielectric films
|
US8435895B2
(en)
|
2007-04-04 |
2013-05-07 |
Novellus Systems, Inc. |
Methods for stripping photoresist and/or cleaning metal regions
|
WO2008157536A2
(en)
*
|
2007-06-21 |
2008-12-24 |
Z-Medica Corporation |
Hemostatic sponge and method of making the same
|
WO2009008424A1
(ja)
*
|
2007-07-10 |
2009-01-15 |
Jsr Corporation |
ケイ素化合物の製造方法
|
US8084862B2
(en)
*
|
2007-09-20 |
2011-12-27 |
International Business Machines Corporation |
Interconnect structures with patternable low-k dielectrics and method of fabricating same
|
US7709370B2
(en)
|
2007-09-20 |
2010-05-04 |
International Business Machines Corporation |
Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
|
US8618663B2
(en)
*
|
2007-09-20 |
2013-12-31 |
International Business Machines Corporation |
Patternable dielectric film structure with improved lithography and method of fabricating same
|
JP2009088267A
(ja)
*
|
2007-09-28 |
2009-04-23 |
Tokyo Electron Ltd |
成膜方法、成膜装置、記憶媒体及び半導体装置
|
US8084356B2
(en)
*
|
2007-09-29 |
2011-12-27 |
Lam Research Corporation |
Methods of low-K dielectric and metal process integration
|
US20090093128A1
(en)
*
|
2007-10-08 |
2009-04-09 |
Martin Jay Seamons |
Methods for high temperature deposition of an amorphous carbon layer
|
US7879683B2
(en)
*
|
2007-10-09 |
2011-02-01 |
Applied Materials, Inc. |
Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
|
US8987039B2
(en)
|
2007-10-12 |
2015-03-24 |
Air Products And Chemicals, Inc. |
Antireflective coatings for photovoltaic applications
|
US20090096106A1
(en)
|
2007-10-12 |
2009-04-16 |
Air Products And Chemicals, Inc. |
Antireflective coatings
|
US7867923B2
(en)
*
|
2007-10-22 |
2011-01-11 |
Applied Materials, Inc. |
High quality silicon oxide films by remote plasma CVD from disilane precursors
|
US8764993B2
(en)
*
|
2008-04-03 |
2014-07-01 |
General Electric Company |
SiOC membranes and methods of making the same
|
US20090269923A1
(en)
*
|
2008-04-25 |
2009-10-29 |
Lee Sang M |
Adhesion and electromigration improvement between dielectric and conductive layers
|
US8357435B2
(en)
|
2008-05-09 |
2013-01-22 |
Applied Materials, Inc. |
Flowable dielectric equipment and processes
|
US7951695B2
(en)
*
|
2008-05-22 |
2011-05-31 |
Freescale Semiconductor, Inc. |
Method for reducing plasma discharge damage during processing
|
JP2010003894A
(ja)
*
|
2008-06-20 |
2010-01-07 |
Nec Electronics Corp |
半導体装置の製造方法及び半導体装置
|
US8283260B2
(en)
*
|
2008-08-18 |
2012-10-09 |
Air Products And Chemicals, Inc. |
Process for restoring dielectric properties
|
JP2010067810A
(ja)
*
|
2008-09-11 |
2010-03-25 |
Shin-Etsu Chemical Co Ltd |
Si含有膜の成膜方法、絶縁膜、並びに半導体デバイス
|
US8591661B2
(en)
|
2009-12-11 |
2013-11-26 |
Novellus Systems, Inc. |
Low damage photoresist strip method for low-K dielectrics
|
JP5105105B2
(ja)
*
|
2008-12-02 |
2012-12-19 |
信越化学工業株式会社 |
プラズマCVD法によるSi含有膜形成用有機シラン化合物及びSi含有膜の成膜方法
|
US20100151206A1
(en)
|
2008-12-11 |
2010-06-17 |
Air Products And Chemicals, Inc. |
Method for Removal of Carbon From An Organosilicate Material
|
KR101039142B1
(ko)
*
|
2008-12-23 |
2011-06-03 |
주식회사 하이닉스반도체 |
리세스 채널을 갖는 반도체 소자의 제조방법
|
US8980382B2
(en)
|
2009-12-02 |
2015-03-17 |
Applied Materials, Inc. |
Oxygen-doping for non-carbon radical-component CVD films
|
US8741788B2
(en)
|
2009-08-06 |
2014-06-03 |
Applied Materials, Inc. |
Formation of silicon oxide using non-carbon flowable CVD processes
|
US8278224B1
(en)
|
2009-09-24 |
2012-10-02 |
Novellus Systems, Inc. |
Flowable oxide deposition using rapid delivery of process gases
|
US8449942B2
(en)
|
2009-11-12 |
2013-05-28 |
Applied Materials, Inc. |
Methods of curing non-carbon flowable CVD films
|
US8836127B2
(en)
*
|
2009-11-19 |
2014-09-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Interconnect with flexible dielectric layer
|
KR101770008B1
(ko)
*
|
2009-12-11 |
2017-08-21 |
노벨러스 시스템즈, 인코포레이티드 |
고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
|
US20110143548A1
(en)
|
2009-12-11 |
2011-06-16 |
David Cheung |
Ultra low silicon loss high dose implant strip
|
US8629067B2
(en)
*
|
2009-12-30 |
2014-01-14 |
Applied Materials, Inc. |
Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
|
US8329262B2
(en)
|
2010-01-05 |
2012-12-11 |
Applied Materials, Inc. |
Dielectric film formation using inert gas excitation
|
KR101528832B1
(ko)
*
|
2010-01-06 |
2015-06-15 |
어플라이드 머티어리얼스, 인코포레이티드 |
유동성 유전체 층의 형성 방법
|
WO2011084752A2
(en)
|
2010-01-07 |
2011-07-14 |
Applied Materials, Inc. |
In-situ ozone cure for radical-component cvd
|
CN102844848A
(zh)
|
2010-03-05 |
2012-12-26 |
应用材料公司 |
通过自由基成分化学气相沉积的共形层
|
US8236708B2
(en)
*
|
2010-03-09 |
2012-08-07 |
Applied Materials, Inc. |
Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
|
US9324576B2
(en)
|
2010-05-27 |
2016-04-26 |
Applied Materials, Inc. |
Selective etch for silicon films
|
JP2011249678A
(ja)
*
|
2010-05-28 |
2011-12-08 |
Elpida Memory Inc |
半導体装置及びその製造方法
|
US9285168B2
(en)
|
2010-10-05 |
2016-03-15 |
Applied Materials, Inc. |
Module for ozone cure and post-cure moisture treatment
|
US8664127B2
(en)
|
2010-10-15 |
2014-03-04 |
Applied Materials, Inc. |
Two silicon-containing precursors for gapfill enhancing dielectric liner
|
US9719169B2
(en)
|
2010-12-20 |
2017-08-01 |
Novellus Systems, Inc. |
System and apparatus for flowable deposition in semiconductor fabrication
|
US10283321B2
(en)
|
2011-01-18 |
2019-05-07 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US8450191B2
(en)
|
2011-01-24 |
2013-05-28 |
Applied Materials, Inc. |
Polysilicon films by HDP-CVD
|
US8771539B2
(en)
|
2011-02-22 |
2014-07-08 |
Applied Materials, Inc. |
Remotely-excited fluorine and water vapor etch
|
US8716154B2
(en)
|
2011-03-04 |
2014-05-06 |
Applied Materials, Inc. |
Reduced pattern loading using silicon oxide multi-layers
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
US8999856B2
(en)
|
2011-03-14 |
2015-04-07 |
Applied Materials, Inc. |
Methods for etch of sin films
|
US8445078B2
(en)
|
2011-04-20 |
2013-05-21 |
Applied Materials, Inc. |
Low temperature silicon oxide conversion
|
US9653327B2
(en)
|
2011-05-12 |
2017-05-16 |
Applied Materials, Inc. |
Methods of removing a material layer from a substrate using water vapor treatment
|
US8466073B2
(en)
|
2011-06-03 |
2013-06-18 |
Applied Materials, Inc. |
Capping layer for reduced outgassing
|
US9404178B2
(en)
|
2011-07-15 |
2016-08-02 |
Applied Materials, Inc. |
Surface treatment and deposition for reduced outgassing
|
US8771536B2
(en)
|
2011-08-01 |
2014-07-08 |
Applied Materials, Inc. |
Dry-etch for silicon-and-carbon-containing films
|
US8679982B2
(en)
|
2011-08-26 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and oxygen
|
US9613825B2
(en)
|
2011-08-26 |
2017-04-04 |
Novellus Systems, Inc. |
Photoresist strip processes for improved device integrity
|
US8679983B2
(en)
|
2011-09-01 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
|
US8617989B2
(en)
|
2011-09-26 |
2013-12-31 |
Applied Materials, Inc. |
Liner property improvement
|
US8927390B2
(en)
|
2011-09-26 |
2015-01-06 |
Applied Materials, Inc. |
Intrench profile
|
US8551891B2
(en)
|
2011-10-04 |
2013-10-08 |
Applied Materials, Inc. |
Remote plasma burn-in
|
US8808563B2
(en)
|
2011-10-07 |
2014-08-19 |
Applied Materials, Inc. |
Selective etch of silicon by way of metastable hydrogen termination
|
WO2013070436A1
(en)
|
2011-11-08 |
2013-05-16 |
Applied Materials, Inc. |
Methods of reducing substrate dislocation during gapfill processing
|
US8883638B2
(en)
*
|
2012-01-18 |
2014-11-11 |
United Microelectronics Corp. |
Method for manufacturing damascene structure involving dummy via holes
|
US8846536B2
(en)
|
2012-03-05 |
2014-09-30 |
Novellus Systems, Inc. |
Flowable oxide film with tunable wet etch rate
|
US8853831B2
(en)
|
2012-03-29 |
2014-10-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structure and method for forming the same
|
US9337018B2
(en)
*
|
2012-06-01 |
2016-05-10 |
Air Products And Chemicals, Inc. |
Methods for depositing films with organoaminodisilane precursors
|
US9267739B2
(en)
|
2012-07-18 |
2016-02-23 |
Applied Materials, Inc. |
Pedestal with multi-zone temperature control and multiple purge capabilities
|
US9243324B2
(en)
*
|
2012-07-30 |
2016-01-26 |
Air Products And Chemicals, Inc. |
Methods of forming non-oxygen containing silicon-based films
|
US9373517B2
(en)
|
2012-08-02 |
2016-06-21 |
Applied Materials, Inc. |
Semiconductor processing with DC assisted RF power for improved control
|
US8889566B2
(en)
|
2012-09-11 |
2014-11-18 |
Applied Materials, Inc. |
Low cost flowable dielectric films
|
US9034770B2
(en)
|
2012-09-17 |
2015-05-19 |
Applied Materials, Inc. |
Differential silicon oxide etch
|
US9023734B2
(en)
|
2012-09-18 |
2015-05-05 |
Applied Materials, Inc. |
Radical-component oxide etch
|
US9390937B2
(en)
|
2012-09-20 |
2016-07-12 |
Applied Materials, Inc. |
Silicon-carbon-nitride selective etch
|
US9132436B2
(en)
|
2012-09-21 |
2015-09-15 |
Applied Materials, Inc. |
Chemical control features in wafer process equipment
|
US8765574B2
(en)
|
2012-11-09 |
2014-07-01 |
Applied Materials, Inc. |
Dry etch process
|
US8969212B2
(en)
|
2012-11-20 |
2015-03-03 |
Applied Materials, Inc. |
Dry-etch selectivity
|
US9064816B2
(en)
|
2012-11-30 |
2015-06-23 |
Applied Materials, Inc. |
Dry-etch for selective oxidation removal
|
US8980763B2
(en)
|
2012-11-30 |
2015-03-17 |
Applied Materials, Inc. |
Dry-etch for selective tungsten removal
|
CN103871961B
(zh)
|
2012-12-17 |
2017-08-25 |
中芯国际集成电路制造(上海)有限公司 |
互连结构及其制造方法
|
US9111877B2
(en)
|
2012-12-18 |
2015-08-18 |
Applied Materials, Inc. |
Non-local plasma oxide etch
|
US8921234B2
(en)
|
2012-12-21 |
2014-12-30 |
Applied Materials, Inc. |
Selective titanium nitride etching
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
US9362130B2
(en)
|
2013-03-01 |
2016-06-07 |
Applied Materials, Inc. |
Enhanced etching processes using remote plasma sources
|
US9040422B2
(en)
|
2013-03-05 |
2015-05-26 |
Applied Materials, Inc. |
Selective titanium nitride removal
|
US8801952B1
(en)
|
2013-03-07 |
2014-08-12 |
Applied Materials, Inc. |
Conformal oxide dry etch
|
US10170282B2
(en)
|
2013-03-08 |
2019-01-01 |
Applied Materials, Inc. |
Insulated semiconductor faceplate designs
|
US9276011B2
(en)
|
2013-03-15 |
2016-03-01 |
Micron Technology, Inc. |
Cell pillar structures and integrated flows
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
US9064970B2
(en)
|
2013-03-15 |
2015-06-23 |
Micron Technology, Inc. |
Memory including blocking dielectric in etch stop tier
|
US8895449B1
(en)
|
2013-05-16 |
2014-11-25 |
Applied Materials, Inc. |
Delicate dry clean
|
US9114438B2
(en)
|
2013-05-21 |
2015-08-25 |
Applied Materials, Inc. |
Copper residue chamber clean
|
US9493879B2
(en)
|
2013-07-12 |
2016-11-15 |
Applied Materials, Inc. |
Selective sputtering for pattern transfer
|
US9773648B2
(en)
|
2013-08-30 |
2017-09-26 |
Applied Materials, Inc. |
Dual discharge modes operation for remote plasma
|
US8956980B1
(en)
|
2013-09-16 |
2015-02-17 |
Applied Materials, Inc. |
Selective etch of silicon nitride
|
US9847222B2
(en)
|
2013-10-25 |
2017-12-19 |
Lam Research Corporation |
Treatment for flowable dielectric deposition on substrate surfaces
|
US8951429B1
(en)
|
2013-10-29 |
2015-02-10 |
Applied Materials, Inc. |
Tungsten oxide processing
|
US9437604B2
(en)
|
2013-11-01 |
2016-09-06 |
Micron Technology, Inc. |
Methods and apparatuses having strings of memory cells including a metal source
|
US9576809B2
(en)
|
2013-11-04 |
2017-02-21 |
Applied Materials, Inc. |
Etch suppression with germanium
|
US9236265B2
(en)
|
2013-11-04 |
2016-01-12 |
Applied Materials, Inc. |
Silicon germanium processing
|
US9520303B2
(en)
|
2013-11-12 |
2016-12-13 |
Applied Materials, Inc. |
Aluminum selective etch
|
US9245762B2
(en)
|
2013-12-02 |
2016-01-26 |
Applied Materials, Inc. |
Procedure for etch rate consistency
|
US9117855B2
(en)
|
2013-12-04 |
2015-08-25 |
Applied Materials, Inc. |
Polarity control for remote plasma
|
US9263278B2
(en)
|
2013-12-17 |
2016-02-16 |
Applied Materials, Inc. |
Dopant etch selectivity control
|
US9287095B2
(en)
|
2013-12-17 |
2016-03-15 |
Applied Materials, Inc. |
Semiconductor system assemblies and methods of operation
|
US9190293B2
(en)
|
2013-12-18 |
2015-11-17 |
Applied Materials, Inc. |
Even tungsten etch for high aspect ratio trenches
|
US9287134B2
(en)
|
2014-01-17 |
2016-03-15 |
Applied Materials, Inc. |
Titanium oxide etch
|
US9293568B2
(en)
|
2014-01-27 |
2016-03-22 |
Applied Materials, Inc. |
Method of fin patterning
|
US9396989B2
(en)
|
2014-01-27 |
2016-07-19 |
Applied Materials, Inc. |
Air gaps between copper lines
|
US9385028B2
(en)
|
2014-02-03 |
2016-07-05 |
Applied Materials, Inc. |
Air gap process
|
US9499898B2
(en)
|
2014-03-03 |
2016-11-22 |
Applied Materials, Inc. |
Layered thin film heater and method of fabrication
|
US9299575B2
(en)
|
2014-03-17 |
2016-03-29 |
Applied Materials, Inc. |
Gas-phase tungsten etch
|
US9299538B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9299537B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9136273B1
(en)
|
2014-03-21 |
2015-09-15 |
Applied Materials, Inc. |
Flash gate air gap
|
US9903020B2
(en)
|
2014-03-31 |
2018-02-27 |
Applied Materials, Inc. |
Generation of compact alumina passivation layers on aluminum plasma equipment components
|
US9269590B2
(en)
|
2014-04-07 |
2016-02-23 |
Applied Materials, Inc. |
Spacer formation
|
US9309598B2
(en)
|
2014-05-28 |
2016-04-12 |
Applied Materials, Inc. |
Oxide and metal removal
|
US9847289B2
(en)
|
2014-05-30 |
2017-12-19 |
Applied Materials, Inc. |
Protective via cap for improved interconnect performance
|
US9514954B2
(en)
|
2014-06-10 |
2016-12-06 |
Lam Research Corporation |
Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
|
US9378969B2
(en)
|
2014-06-19 |
2016-06-28 |
Applied Materials, Inc. |
Low temperature gas-phase carbon removal
|
US9406523B2
(en)
|
2014-06-19 |
2016-08-02 |
Applied Materials, Inc. |
Highly selective doped oxide removal method
|
US9412581B2
(en)
|
2014-07-16 |
2016-08-09 |
Applied Materials, Inc. |
Low-K dielectric gapfill by flowable deposition
|
US9425058B2
(en)
|
2014-07-24 |
2016-08-23 |
Applied Materials, Inc. |
Simplified litho-etch-litho-etch process
|
US9159606B1
(en)
|
2014-07-31 |
2015-10-13 |
Applied Materials, Inc. |
Metal air gap
|
US9496167B2
(en)
|
2014-07-31 |
2016-11-15 |
Applied Materials, Inc. |
Integrated bit-line airgap formation and gate stack post clean
|
US9378978B2
(en)
|
2014-07-31 |
2016-06-28 |
Applied Materials, Inc. |
Integrated oxide recess and floating gate fin trimming
|
US9165786B1
(en)
|
2014-08-05 |
2015-10-20 |
Applied Materials, Inc. |
Integrated oxide and nitride recess for better channel contact in 3D architectures
|
US9659753B2
(en)
|
2014-08-07 |
2017-05-23 |
Applied Materials, Inc. |
Grooved insulator to reduce leakage current
|
US9553102B2
(en)
|
2014-08-19 |
2017-01-24 |
Applied Materials, Inc. |
Tungsten separation
|
US10049921B2
(en)
|
2014-08-20 |
2018-08-14 |
Lam Research Corporation |
Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
|
US9355856B2
(en)
|
2014-09-12 |
2016-05-31 |
Applied Materials, Inc. |
V trench dry etch
|
US9355862B2
(en)
|
2014-09-24 |
2016-05-31 |
Applied Materials, Inc. |
Fluorine-based hardmask removal
|
US9368364B2
(en)
|
2014-09-24 |
2016-06-14 |
Applied Materials, Inc. |
Silicon etch process with tunable selectivity to SiO2 and other materials
|
US9613822B2
(en)
|
2014-09-25 |
2017-04-04 |
Applied Materials, Inc. |
Oxide etch selectivity enhancement
|
US9966240B2
(en)
|
2014-10-14 |
2018-05-08 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning assessment in plasma processing equipment
|
US9355922B2
(en)
|
2014-10-14 |
2016-05-31 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning in plasma processing equipment
|
US9437484B2
(en)
*
|
2014-10-17 |
2016-09-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Etch stop layer in integrated circuits
|
US9879340B2
(en)
|
2014-11-03 |
2018-01-30 |
Versum Materials Us, Llc |
Silicon-based films and methods of forming the same
|
US11637002B2
(en)
|
2014-11-26 |
2023-04-25 |
Applied Materials, Inc. |
Methods and systems to enhance process uniformity
|
US9299583B1
(en)
|
2014-12-05 |
2016-03-29 |
Applied Materials, Inc. |
Aluminum oxide selective etch
|
US10573496B2
(en)
|
2014-12-09 |
2020-02-25 |
Applied Materials, Inc. |
Direct outlet toroidal plasma source
|
US10224210B2
(en)
|
2014-12-09 |
2019-03-05 |
Applied Materials, Inc. |
Plasma processing system with direct outlet toroidal plasma source
|
US9502258B2
(en)
|
2014-12-23 |
2016-11-22 |
Applied Materials, Inc. |
Anisotropic gap etch
|
US9343272B1
(en)
|
2015-01-08 |
2016-05-17 |
Applied Materials, Inc. |
Self-aligned process
|
US11257693B2
(en)
|
2015-01-09 |
2022-02-22 |
Applied Materials, Inc. |
Methods and systems to improve pedestal temperature control
|
US9373522B1
(en)
|
2015-01-22 |
2016-06-21 |
Applied Mateials, Inc. |
Titanium nitride removal
|
US9455136B2
(en)
*
|
2015-01-23 |
2016-09-27 |
Infineon Technologies Austria Ag |
Controlling the reflow behaviour of BPSG films and devices made thereof
|
US9449846B2
(en)
|
2015-01-28 |
2016-09-20 |
Applied Materials, Inc. |
Vertical gate separation
|
US9728437B2
(en)
|
2015-02-03 |
2017-08-08 |
Applied Materials, Inc. |
High temperature chuck for plasma processing systems
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US9881805B2
(en)
|
2015-03-02 |
2018-01-30 |
Applied Materials, Inc. |
Silicon selective removal
|
US9608000B2
(en)
*
|
2015-05-27 |
2017-03-28 |
Micron Technology, Inc. |
Devices and methods including an etch stop protection material
|
US9741593B2
(en)
|
2015-08-06 |
2017-08-22 |
Applied Materials, Inc. |
Thermal management systems and methods for wafer processing systems
|
US9691645B2
(en)
|
2015-08-06 |
2017-06-27 |
Applied Materials, Inc. |
Bolted wafer chuck thermal management systems and methods for wafer processing systems
|
US9349605B1
(en)
|
2015-08-07 |
2016-05-24 |
Applied Materials, Inc. |
Oxide etch selectivity systems and methods
|
JP2018525840A
(ja)
*
|
2015-08-21 |
2018-09-06 |
コーニング インコーポレイテッド |
低誘電特性を有するガラス基板アセンブリ
|
US10504700B2
(en)
|
2015-08-27 |
2019-12-10 |
Applied Materials, Inc. |
Plasma etching systems and methods with secondary plasma injection
|
US10388546B2
(en)
|
2015-11-16 |
2019-08-20 |
Lam Research Corporation |
Apparatus for UV flowable dielectric
|
US9916977B2
(en)
|
2015-11-16 |
2018-03-13 |
Lam Research Corporation |
Low k dielectric deposition via UV driven photopolymerization
|
US10504754B2
(en)
|
2016-05-19 |
2019-12-10 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US10522371B2
(en)
|
2016-05-19 |
2019-12-31 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
WO2017218561A1
(en)
|
2016-06-13 |
2017-12-21 |
Gvd Coproraton |
Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
|
US11679412B2
(en)
|
2016-06-13 |
2023-06-20 |
Gvd Corporation |
Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
|
US9865484B1
(en)
|
2016-06-29 |
2018-01-09 |
Applied Materials, Inc. |
Selective etch using material modification and RF pulsing
|
US10062575B2
(en)
|
2016-09-09 |
2018-08-28 |
Applied Materials, Inc. |
Poly directional etch by oxidation
|
US10629473B2
(en)
|
2016-09-09 |
2020-04-21 |
Applied Materials, Inc. |
Footing removal for nitride spacer
|
US9721789B1
(en)
|
2016-10-04 |
2017-08-01 |
Applied Materials, Inc. |
Saving ion-damaged spacers
|
US10062585B2
(en)
|
2016-10-04 |
2018-08-28 |
Applied Materials, Inc. |
Oxygen compatible plasma source
|
US9934942B1
(en)
|
2016-10-04 |
2018-04-03 |
Applied Materials, Inc. |
Chamber with flow-through source
|
US10546729B2
(en)
|
2016-10-04 |
2020-01-28 |
Applied Materials, Inc. |
Dual-channel showerhead with improved profile
|
US10062579B2
(en)
|
2016-10-07 |
2018-08-28 |
Applied Materials, Inc. |
Selective SiN lateral recess
|
US9947549B1
(en)
|
2016-10-10 |
2018-04-17 |
Applied Materials, Inc. |
Cobalt-containing material removal
|
US10163696B2
(en)
|
2016-11-11 |
2018-12-25 |
Applied Materials, Inc. |
Selective cobalt removal for bottom up gapfill
|
US9768034B1
(en)
|
2016-11-11 |
2017-09-19 |
Applied Materials, Inc. |
Removal methods for high aspect ratio structures
|
US10242908B2
(en)
|
2016-11-14 |
2019-03-26 |
Applied Materials, Inc. |
Airgap formation with damage-free copper
|
US10026621B2
(en)
|
2016-11-14 |
2018-07-17 |
Applied Materials, Inc. |
SiN spacer profile patterning
|
US10566206B2
(en)
|
2016-12-27 |
2020-02-18 |
Applied Materials, Inc. |
Systems and methods for anisotropic material breakthrough
|
US10431429B2
(en)
|
2017-02-03 |
2019-10-01 |
Applied Materials, Inc. |
Systems and methods for radial and azimuthal control of plasma uniformity
|
US10403507B2
(en)
|
2017-02-03 |
2019-09-03 |
Applied Materials, Inc. |
Shaped etch profile with oxidation
|
US10043684B1
(en)
|
2017-02-06 |
2018-08-07 |
Applied Materials, Inc. |
Self-limiting atomic thermal etching systems and methods
|
US10319739B2
(en)
|
2017-02-08 |
2019-06-11 |
Applied Materials, Inc. |
Accommodating imperfectly aligned memory holes
|
US10943834B2
(en)
|
2017-03-13 |
2021-03-09 |
Applied Materials, Inc. |
Replacement contact process
|
US10319649B2
(en)
|
2017-04-11 |
2019-06-11 |
Applied Materials, Inc. |
Optical emission spectroscopy (OES) for remote plasma monitoring
|
US11276590B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Multi-zone semiconductor substrate supports
|
US11276559B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Semiconductor processing chamber for multiple precursor flow
|
US10049891B1
(en)
|
2017-05-31 |
2018-08-14 |
Applied Materials, Inc. |
Selective in situ cobalt residue removal
|
US10497579B2
(en)
|
2017-05-31 |
2019-12-03 |
Applied Materials, Inc. |
Water-free etching methods
|
US10920320B2
(en)
|
2017-06-16 |
2021-02-16 |
Applied Materials, Inc. |
Plasma health determination in semiconductor substrate processing reactors
|
US10541246B2
(en)
|
2017-06-26 |
2020-01-21 |
Applied Materials, Inc. |
3D flash memory cells which discourage cross-cell electrical tunneling
|
US10727080B2
(en)
|
2017-07-07 |
2020-07-28 |
Applied Materials, Inc. |
Tantalum-containing material removal
|
US10541184B2
(en)
|
2017-07-11 |
2020-01-21 |
Applied Materials, Inc. |
Optical emission spectroscopic techniques for monitoring etching
|
US10354889B2
(en)
|
2017-07-17 |
2019-07-16 |
Applied Materials, Inc. |
Non-halogen etching of silicon-containing materials
|
US10170336B1
(en)
|
2017-08-04 |
2019-01-01 |
Applied Materials, Inc. |
Methods for anisotropic control of selective silicon removal
|
US10043674B1
(en)
|
2017-08-04 |
2018-08-07 |
Applied Materials, Inc. |
Germanium etching systems and methods
|
US10297458B2
(en)
|
2017-08-07 |
2019-05-21 |
Applied Materials, Inc. |
Process window widening using coated parts in plasma etch processes
|
US10283324B1
(en)
|
2017-10-24 |
2019-05-07 |
Applied Materials, Inc. |
Oxygen treatment for nitride etching
|
US10128086B1
(en)
|
2017-10-24 |
2018-11-13 |
Applied Materials, Inc. |
Silicon pretreatment for nitride removal
|
US10256112B1
(en)
|
2017-12-08 |
2019-04-09 |
Applied Materials, Inc. |
Selective tungsten removal
|
US10903054B2
(en)
|
2017-12-19 |
2021-01-26 |
Applied Materials, Inc. |
Multi-zone gas distribution systems and methods
|
US11328909B2
(en)
|
2017-12-22 |
2022-05-10 |
Applied Materials, Inc. |
Chamber conditioning and removal processes
|
US10854426B2
(en)
|
2018-01-08 |
2020-12-01 |
Applied Materials, Inc. |
Metal recess for semiconductor structures
|
US10964512B2
(en)
|
2018-02-15 |
2021-03-30 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus and methods
|
US10679870B2
(en)
|
2018-02-15 |
2020-06-09 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus
|
TWI766433B
(zh)
|
2018-02-28 |
2022-06-01 |
美商應用材料股份有限公司 |
形成氣隙的系統及方法
|
US10593560B2
(en)
|
2018-03-01 |
2020-03-17 |
Applied Materials, Inc. |
Magnetic induction plasma source for semiconductor processes and equipment
|
US10319600B1
(en)
|
2018-03-12 |
2019-06-11 |
Applied Materials, Inc. |
Thermal silicon etch
|
US10497573B2
(en)
|
2018-03-13 |
2019-12-03 |
Applied Materials, Inc. |
Selective atomic layer etching of semiconductor materials
|
US10714331B2
(en)
|
2018-04-04 |
2020-07-14 |
Applied Materials, Inc. |
Method to fabricate thermally stable low K-FinFET spacer
|
US10573527B2
(en)
|
2018-04-06 |
2020-02-25 |
Applied Materials, Inc. |
Gas-phase selective etching systems and methods
|
US10490406B2
(en)
|
2018-04-10 |
2019-11-26 |
Appled Materials, Inc. |
Systems and methods for material breakthrough
|
US10699879B2
(en)
|
2018-04-17 |
2020-06-30 |
Applied Materials, Inc. |
Two piece electrode assembly with gap for plasma control
|
US10886137B2
(en)
|
2018-04-30 |
2021-01-05 |
Applied Materials, Inc. |
Selective nitride removal
|
US10872778B2
(en)
|
2018-07-06 |
2020-12-22 |
Applied Materials, Inc. |
Systems and methods utilizing solid-phase etchants
|
US10755941B2
(en)
|
2018-07-06 |
2020-08-25 |
Applied Materials, Inc. |
Self-limiting selective etching systems and methods
|
US10672642B2
(en)
|
2018-07-24 |
2020-06-02 |
Applied Materials, Inc. |
Systems and methods for pedestal configuration
|
US10892198B2
(en)
|
2018-09-14 |
2021-01-12 |
Applied Materials, Inc. |
Systems and methods for improved performance in semiconductor processing
|
US11049755B2
(en)
|
2018-09-14 |
2021-06-29 |
Applied Materials, Inc. |
Semiconductor substrate supports with embedded RF shield
|
US11062887B2
(en)
|
2018-09-17 |
2021-07-13 |
Applied Materials, Inc. |
High temperature RF heater pedestals
|
US11417534B2
(en)
|
2018-09-21 |
2022-08-16 |
Applied Materials, Inc. |
Selective material removal
|
US11682560B2
(en)
|
2018-10-11 |
2023-06-20 |
Applied Materials, Inc. |
Systems and methods for hafnium-containing film removal
|
US11121002B2
(en)
|
2018-10-24 |
2021-09-14 |
Applied Materials, Inc. |
Systems and methods for etching metals and metal derivatives
|
US11437242B2
(en)
|
2018-11-27 |
2022-09-06 |
Applied Materials, Inc. |
Selective removal of silicon-containing materials
|
US11721527B2
(en)
|
2019-01-07 |
2023-08-08 |
Applied Materials, Inc. |
Processing chamber mixing systems
|
US10920319B2
(en)
|
2019-01-11 |
2021-02-16 |
Applied Materials, Inc. |
Ceramic showerheads with conductive electrodes
|
CN113728415A
(zh)
|
2019-04-19 |
2021-11-30 |
朗姆研究公司 |
原子层沉积期间的快速冲洗清扫
|
US11164776B2
(en)
*
|
2019-09-30 |
2021-11-02 |
International Business Machines Corporation |
Metallic interconnect structure
|
CN113012724A
(zh)
*
|
2021-02-09 |
2021-06-22 |
山东英信计算机技术有限公司 |
一种硬盘拷贝机的治具及硬盘拷贝机
|
CN114391325B
(zh)
*
|
2021-12-16 |
2023-12-12 |
安徽科技学院 |
一种秸秆炭化还田土壤改良方法
|