CN101877304A - 等离子处理装置和等离子处理方法 - Google Patents
等离子处理装置和等离子处理方法 Download PDFInfo
- Publication number
- CN101877304A CN101877304A CN2010101608660A CN201010160866A CN101877304A CN 101877304 A CN101877304 A CN 101877304A CN 2010101608660 A CN2010101608660 A CN 2010101608660A CN 201010160866 A CN201010160866 A CN 201010160866A CN 101877304 A CN101877304 A CN 101877304A
- Authority
- CN
- China
- Prior art keywords
- mentioned
- gas
- plasma treatment
- temperature
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 27
- 230000008569 process Effects 0.000 title claims abstract description 23
- 238000001816 cooling Methods 0.000 claims abstract description 36
- 238000009832 plasma treatment Methods 0.000 claims description 149
- 238000000605 extraction Methods 0.000 claims description 29
- 238000009529 body temperature measurement Methods 0.000 claims description 17
- 238000005259 measurement Methods 0.000 claims description 17
- 230000037361 pathway Effects 0.000 claims description 17
- 238000003672 processing method Methods 0.000 claims description 12
- 238000004891 communication Methods 0.000 claims description 10
- 238000007599 discharging Methods 0.000 claims description 8
- 229910052751 metal Inorganic materials 0.000 claims description 8
- 239000002184 metal Substances 0.000 claims description 8
- 230000033228 biological regulation Effects 0.000 claims description 7
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 claims description 6
- 230000000903 blocking effect Effects 0.000 claims description 4
- 239000007789 gas Substances 0.000 abstract description 238
- 238000012545 processing Methods 0.000 abstract description 29
- 230000003213 activating effect Effects 0.000 abstract 1
- 239000000112 cooling gas Substances 0.000 abstract 1
- 210000002381 plasma Anatomy 0.000 description 84
- 230000014509 gene expression Effects 0.000 description 54
- 235000012431 wafers Nutrition 0.000 description 45
- 238000010923 batch production Methods 0.000 description 16
- 239000002245 particle Substances 0.000 description 16
- 238000005192 partition Methods 0.000 description 16
- 238000009825 accumulation Methods 0.000 description 12
- 230000009471 action Effects 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 11
- 230000003647 oxidation Effects 0.000 description 11
- 238000007254 oxidation reaction Methods 0.000 description 11
- 239000004065 semiconductor Substances 0.000 description 11
- 238000005516 engineering process Methods 0.000 description 10
- 238000004140 cleaning Methods 0.000 description 9
- 239000013078 crystal Substances 0.000 description 9
- 239000000758 substrate Substances 0.000 description 8
- 238000002474 experimental method Methods 0.000 description 6
- 238000012423 maintenance Methods 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 5
- 238000004080 punching Methods 0.000 description 5
- 239000010453 quartz Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 239000007921 spray Substances 0.000 description 5
- 229910001220 stainless steel Inorganic materials 0.000 description 5
- 230000008859 change Effects 0.000 description 4
- 238000011156 evaluation Methods 0.000 description 4
- 230000008676 import Effects 0.000 description 4
- 239000010935 stainless steel Substances 0.000 description 4
- 101000735417 Homo sapiens Protein PAPPAS Proteins 0.000 description 3
- 102100034919 Protein PAPPAS Human genes 0.000 description 3
- 239000010410 layer Substances 0.000 description 3
- 230000000630 rising effect Effects 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 239000003708 ampul Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011553 magnetic fluid Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- SKYWAOSWRWWISV-UHFFFAOYSA-N N-propan-2-yl-N-(2-silylethyl)propan-2-amine Chemical compound C(C)(C)N(C(C)C)CC[SiH3] SKYWAOSWRWWISV-UHFFFAOYSA-N 0.000 description 1
- OEBVLXKBWPWADF-UHFFFAOYSA-N [O].N[SiH3] Chemical compound [O].N[SiH3] OEBVLXKBWPWADF-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- -1 aluminium Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000003028 elevating effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000000803 paradoxical effect Effects 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 238000004321 preservation Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000007115 recruitment Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910000679 solder Inorganic materials 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F17—STORING OR DISTRIBUTING GASES OR LIQUIDS
- F17D—PIPE-LINE SYSTEMS; PIPE-LINES
- F17D3/00—Arrangements for supervising or controlling working operations
- F17D3/01—Arrangements for supervising or controlling working operations for controlling, signalling, or supervising the conveyance of a product
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/0318—Processes
- Y10T137/0324—With control of flow by a condition or characteristic of a fluid
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Inorganic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- General Engineering & Computer Science (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Plasma Technology (AREA)
Abstract
本发明提供一种等离子处理装置和等离子处理方法,该等离子处理装置包括:筒体状的处理容器,其能够被抽真空;保持部件,其用于保持多个被处理体并插入到上述处理容器内或从上述处理容器内取出;气体供给部件,其用于向上述处理容器内供给气体;活化部件,其沿着上述处理容器的长度方向设置,利用由高频电力产生的等离子体对上述气体进行活化,该等离子处理装置用于对上述被处理体实施等离子处理,其中,该等离子处理装置包括:筒体状的屏蔽壳体,其为了遮断高频而以包围上述处理容器的周围的方式设置且接地;冷却机构,其在上述等离子处理过程中用于使冷却气体沿着上述屏蔽壳体与上述处理容器之间的空间部流动。
Description
技术领域
本发明涉及使用等离子体在室温程度的温度范围内用于在半导体晶圆等被处理体上实施成膜处理的等离子处理装置和等离子处理方法。
背景技术
一般而言,为了制造半导体集成电路,对由硅基板等构成的半导体晶圆进行成膜处理、蚀刻处理、氧化处理、扩散处理、重整处理、自然氧化膜的去除处理等各种处理。而且,最近,考虑到成膜材料的耐热性等,要求工艺处理时的低温化,与此相对应地提出了一种等离子处理装置(专利文献1~4),该等离子处理装置使用了即使在处理时晶圆温度较低也能够促进反应的等离子体。
说明上述等离子处理装置的一个例子,图14是表示上述以往的立式等离子处理装置的一个例子的概略示意图。在图14中,在内部气氛气体能够被抽真空的石英制的圆筒体状的处理容器2内,半导体晶圆W被支承在能够旋转的多层的晶圆舟皿4上。该晶圆舟皿4能够自处理容器2的下方上升而插入到该处理容器2内,或自处理容器2的下方下降而从该处理容器2内取出。此外,该处理容器2的下端被盖部6气密地封闭。在该处理容器2的侧壁上设有沿着该处理容器2的高度方向而截面呈长方形状的等离子体形成箱8。而且,在该等离子体形成箱8内设有用于流出被等离子体活化的气体的气体喷嘴10。
而且,在该等离子体形成箱8的分隔壁的外侧两侧,沿着等离子体形成箱8的高度方向以彼此相对的方式设置一对分别独立的等离子体电极12,对该两等离子体电极12之间的区域施加来自等离子体产生用的高频电源14的、例如13.56MHz的高频电力。此外,在该处理容器2的外侧也包括顶部的外侧地设有绝热材料16。而且,在该绝热材料16的内侧的侧面设有用于对上述半导体晶圆W进行加热的加热器18。而且,在该绝热材料16的外侧面包括顶部地设有屏蔽壳体20,并且,该屏蔽壳体20接地,防止高频向外部泄漏。
在这样的构成中,对上述等离子体电极12之间的区域施加高频电力时,产生等离子体。供给到等离子体形成箱8内的气体被该等离子体活化而产生活性种。由此,即使晶圆W的加热温度较低,也能够利用上述产生的活性种来促进反应等。
专利文献1:日本特开2006-049809号公报
专利文献2:日本特开2006-270016号公报
专利文献3:日本特开2007-42823号公报
专利文献4:国际公开2006/093136号公报
不过,最近,作为新技术,提出了一种利用由MEMS(MicroElectro Mechanical Systems)的微细加工技术进行组装的技术。在该MEMS技术中,存在利用微细加工技术将压力传感器、扩音器(microphone)等1个三维装置组装到小的芯片内的技术。其中,也存在组装具有转子的微细电动机那样的MEMS技术的例子。例如,在形成上述微细电动机的情况下,需要形成能够旋转的微细转子。因此,预先用氧化膜包围相当于该转子的微细的零件的整个周围地形成,并且用薄膜在该氧化膜的周边部形成用于收容上述转子的壳体。之后,通过蚀刻去除整个上述氧化膜,使壳体内空洞化。这样,完成使上述微细的转子在壳体内能自转这样的构造。
这样,将最终不残留于装置本身、在制造工序的过程中因必要而形成、之后被去除那样的薄膜称为牺牲层,在该牺牲层是氧化膜的情况下被称为牺牲氧化膜。这样的牺牲层或牺牲氧化膜在之后被去除,所以膜质特性等没有特别的问题。因此,在形成通常的栅极氧化膜、层间绝缘膜等要求高膜质特性的氧化膜等时,为了得到高的膜质特性,需要在温度比较高的高温下进行成膜处理。另一方面,为了形成这样的牺牲氧化膜,一直在开发一种在室温等低温范围内能够成膜的技术。
为了形成上述牺牲氧化膜,例如作为成膜装置,使用如在图14中说明那样的等离子处理装置,作为原料气体,使用DIPAS(二异丙基氨基硅烷)等的氨基硅烷系气体和由等离子体产生的臭氧等活性种,从而在室温程度的较低的温度下形成上述牺牲氧化膜。
可是,为了形成上述牺牲氧化膜,若使用如图14说明那样的等离子处理装置,则在每次进行批量处理时,由等离子体产生的热被蓄积在处理容器2和绝热材料16之间的空间部分,从而该空间部分充满热,结果,若连续地进行批量处理,则每次批量处理时,处理温度逐渐上升,从而产生成膜的再现性降低这样的问题。
发明内容
因此,本发明的实施例是鉴于上述情况,为了解决上述问题而形成的,提供一种新的且有用的等离子处理装置和等离子处理方法。更加详细地说,本发明的实施例提供一种等离子处理装置,在处理温度为室温程度的较低的温度范围内进行等离子处理时,能够较低地维持该处理温度而提高等离子成膜处理等等离子处理的再现性。
此外,本发明的实施例提供能够降低清洁频率而提高生产率(throughput)的等离子处理装置和等离子处理方法。
本发明的一技术方案是一种等离子处理装置,该等离子处理装置包括:筒体状的处理容器,其能够被抽真空;保持部件,其用于保持多个被处理体并插入到上述处理容器内或从上述处理容器内取出;气体供给部件,其用于向上述处理容器内供给气体;活化部件,其沿着上述处理容器的长度方向设置,利用由高频电力产生的等离子体对上述气体进行活化,该等离子处理装置用于对上述被处理体实施等离子处理,其特征在于,该等离子处理装置包括:筒体状的屏蔽壳体,其为了遮断高频而以围着上述处理容器的周围的方式设置且接地;冷却机构,其在上述等离子处理过程中用于使冷却气体沿着上述屏蔽壳体与上述处理容器之间的空间部流动。
这样,一种等离子处理装置,其用于将被保持部件保持的多个被处理体收容在筒体状的处理容器内并将所需的气体导入到筒体状的处理容器内,利用由活化部件产生的等离子体在这些被处理体上形成气体的活性种,利用该活性种对被处理体实施等离子处理,其包括:筒体状的屏蔽壳体,其为了遮断高频而以围着处理容器的周围的方式设置且接地;冷却机构,其在上述等离子处理过程中用于使冷却气体沿着屏蔽壳体与处理容器之间的空间部流动,在等离子处理过程中利用冷却机构使冷却气体沿着处理容器的外侧流动来进行冷却,因此从等离子体产生的热不会充满屏蔽壳体与处理容器之间的空间部,结果,在处理温度为室温程度的低温度范围内进行等离子处理时,能低较地维持该处理温度而提高等离子成膜处理等等离子处理的再现性。
本发明的另一技术方案的等离子处理装置,其特征在于,该等离子处理装置包括:温度测量部件,其用于对上述空间部内的气氛气体的温度进行测量;排气路径,其设于排气集管部与上述排气源之间;阀机构,其设于上述排气路径的中途,在将上述等离子处理装置维持在待命状态的状态下,以预先设定的排气流量排出上述空间部的气氛气体时的上述温度测量部件的测量温度低于预先设定的阈值温度的情况下,该阀机构在上述等离子处理时处于关闭状态。
这样,等离子处理装置还包括:温度测量部件,其用于对空间部内的气氛气体的温度进行测量;排气路径,其设于排气集管部与排气源之间;阀机构,其设于排气路径的中途,在将等离子处理装置维持在待命状态下,以预先设定的排气流量排出空间部的气氛气体时的温度测量部件的测量温度低于预先设定的阈值温度的情况下,该阀机构在等离子处理时处于关闭状态,从而能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。结果,能降低清洁频率,谋求生产率的提高。
本发明的再一技术方案是一种等离子处理方法,其是排出等离子处理装置的内部的气氛气体、通过供给和排出气体、能够冷却该内部地对处理对象物进行等离子处理的等离子处理方法,其包括以下步骤:在未生成等离子体的状态下,对上述等离子处理装置的上述内部的上述气氛气体的温度进行测量的步骤;在以预先设定的排气流量排出上述气氛气体时的上述测量的温度低于预先设定的第1阈值温度时,在上述等离子处理过程中,不向上述等离子处理装置的上述内部供给上述气体的步骤。
由此,能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。结果,能降低清洁频率,谋求生产率的提高。
而且,本发明的目的和优点的一部分记载于说明书中,一部分是从说明书的内容显而易见的。本发明的目的和优点是通过在附加的权利要求中特别指出的要素和说明书的组合来实现并达成的。上述的一般记载和下述的详细说明作为例示而进行说明,并不限定所要保护的本发明。
附图说明
图1是表示本发明的等离子处理装置的一个例子的纵剖构成图。
图2是表示等离子处理装置的横剖视图。
图3是表示屏蔽壳体和冷却机构的局部概略立体图。
图4A和图4B是表示吸气集管部的状态的分解立体图。
图5是表示排气集管部的横剖视图。
图6A和图6B是表示连续进行批量处理时的处理中的空间部的温度变化的曲线图。
图7A、7B、7C、7D是表示从图6所示的曲线图的结果求出的空间部的温度差的图。
图8是表示本发明的变形实施例1的等离子处理装置的一个例子的概略构成图。
图9是表示本发明的变形实施例1的屏蔽壳体内气氛气体与大气之间的压力差同屏蔽壳体的内部的温度之间的关系的曲线图。
图10是表示压力差是0Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图。
图11是表示压力差是60Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图。
图12是表示压力差是130Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图。
图13是表示等离子处理(运行)结束后的屏蔽壳体内的温度变化的曲线图。
图14是表示以往的立式等离子处理装置的一个例子的概略示意图。
具体实施方式
以下,使用图1~图14来说明本发明的实施例。
另外,在以下的实施例中,下述附图标记典型地表示以下构成要素。22表示等离子处理装置,24表示处理容器,28表示晶圆舟皿(保持部件),46表示第1气体供给部件,48表示第2气体供给部件,58表示活化部件,62表示等离子体形成箱,64表示等离子体电极,66表示高频电源,72表示屏蔽壳体,74表示冷却机构,76表示吸气集管部,78表示排气集管部,80表示排气源,82表示空间部,83表示工厂管道,86表示气体流通管道,88表示气体流通孔,90表示气体导入口,92表示冷却气体引导管道,98表示端板,100表示气体流通孔,102表示排气箱,104表示气体排出口,106表示排气路径,103表示阀机构,132表示流量控制阀(压力调整阀),134表示第1开闭阀,136表示供气路径,138表示第2开闭阀,140表示温度测量部件,140A~140D表示热电偶,W表示半导体晶圆(被处理体)。
图1表示本发明的等离子处理装置的一个例子的纵剖构成图,图2表示等离子处理装置的横剖视图,图3表示屏蔽壳体和冷却机构的局部概略立体图,图4表示吸气集管部的状态的分解立体图,图5是表示排气集管部的横剖视图。
如图1和图2所示,本发明的等离子处理装置22具有沿铅垂方向设置、下端开口且具有顶部的纵长圆筒体状的处理容器24。该处理容器24整体例如由石英形成,在该处理容器24内的顶部设有石英制的顶板26,从而该处理容器24内的顶部被封闭。此外,为了提高排气特性,该处理容器24的下端部的内径设定的稍大,其下端开口。也可以使用该下端部连结有例如不锈钢制的圆筒体状的岐管的构成。
能够自上述处理容器24的下端开口部的下方使石英制的晶圆舟皿28上升而将该晶圆舟皿28插入上述处理容器24的下端开口部,或自上述处理容器24的下端开口部的下方使该晶圆舟皿28下降而取出晶圆舟皿28,该晶圆舟皿28是将多张作为被处理体的半导体晶圆W呈多层地载置的保持部件。在本实施例的情况下,在该晶圆舟皿28的支柱28A上能够以大致相等的间距多层地支承有例如50~150张左右的直径是300mm的晶圆W。
该晶圆舟皿28隔着石英制的保温筒30而载置在工作台32上,该工作台32被支承在旋转轴36上,该旋转轴36贯穿对处理容器24的下端开口进行开闭的例如不锈钢制的盖部34。而且,在该旋转轴36的贯穿盖部34的贯穿部设有例如磁性流体密封构件38,该磁性流体密封构件38能够气密地密封该旋转轴36且能够旋转地支承该旋转轴36。此外,例如由O型密封圈等构成的密封构件40介于盖部34的周边部和处理容器24的下端部之间,用于保持处理容器24内的密封性。
上述旋转轴36被安装在例如支承于舟皿升降机等升降机构(未图示)上的臂42的顶端,将晶圆舟皿28和盖部34等一体上升而插入到处理容器24内,或将晶圆舟皿28和盖部34等一体下降而从处理容器24内取出。另外,也可以将上述工作台32固定地设置在上述盖部34侧,使晶圆舟皿28不旋转地进行晶圆W的处理。而且,该处理容器24的下端部安装在例如由不锈钢形成的基板44上而被支承。
在该处理容器24的下部设有:将被等离子化的第1气体向处理容器24内供给的第1气体供给部件46;供给第2气体的第2气体供给部件48。具体而言,上述第1气体供给部件46具有向内侧贯穿上述处理容器24的下部的侧壁且向上方弯曲而延伸的、由石英管构成的第1气体喷嘴50。在该第1气体喷嘴50上,沿着其长度方向隔开规定的间隔地形成有多个(许多)气体喷射孔50A,从而形成分散形的气体喷嘴,能够从各气体喷射孔50A朝向水平方向大致均匀地喷射第1气体。
此外,同样,上述第2气体供给部件48也具有向内侧贯穿上述处理容器24的下部的侧壁且向上方弯曲而延伸的、由石英管构成的第2气体喷嘴52。在上述第2气体喷嘴52上,沿着其长度方向隔开规定的间隔地形成有多个(许多)气体喷射孔52A,从而形成分散形的气体喷嘴,能够从各气体喷射孔52A朝向水平方向大致均匀地喷射第2气体。此外,在与上述第1和第2气体喷嘴50、52连接的气体通路46A、48A的中途,分别设有控制气体流量的质量流量控制器那样的流量控制器46B、48B和开闭阀46C、48C。
另外,在此,只表示了供给第1气体和第2气体的第1气体供给部件46和第2气体供给部件48,但是在使用更多种气体的情况下,当然与之相对应地设有更多的另外的气体供给部件,例如还设有用于供给N2等吹扫气体的气体供给部件。此外,虽未图示,但是还设有供给用于去除不需要的膜的清洁气体、例如HF系气体的清洁气体供给系统。
而且,在该处理容器24的下部的侧壁形成有排气口54。而且,在该排气口54上连接有设置了压力调整阀56A、真空泵56B等的真空排气系统56,对处理容器24内的气氛气体进行抽真空,从而能维持成规定的压力。
而且,在上述处理容器24上形成有活化部件58,该活化部件58沿着该处理容器24的长度方向设置,利用由高频电力产生的等离子体使上述第1气体活化。该活化部件58主要包括:等离子体形成箱62,其是由也如图2所示那样沿着处理容器24的长度方向设置的等离子体分隔壁60划分形成的;等离子体电极64,沿着该等离子体分隔壁60的长度方向设置在该等离子体分隔壁60上;高频电源66,其与等离子体电极64相连接。
具体而言,沿着上下方向以规定的宽度切开上述处理容器24的侧壁,从而在上下方向上形成细长的开口68,从该开口68的外侧覆盖该开口68地配置截面呈コ字状的上下细长的例如石英制的上述等离子体分隔壁60,将上述等离子体分隔壁60与上述处理容器24的外壁气密地焊接接合而形成上述等离子体形成箱62。
由此,一体形成等离子体形成箱62,该等离子体形成箱62突出到该处理容器24的侧壁的外侧,截面呈コ字状凹陷,一侧朝向处理容器24内开口而与处理容器24内相连通。即,等离子体分隔壁60的内部空间成为等离子体形成区域,上述处理容器24内处于一体连通的状态。上述开口68在上下方向上形成得足够长,从而在上述处理容器24的高度方向上能够覆盖被保持在晶圆舟皿28上的所有晶圆W。而且,在上述等离子体分隔壁60的两侧壁的外侧面以彼此相对的方式设有一对上述等离子体电极64。该等离子体电极64沿着等离子体形成箱62的长度方向形成在整个等离子体形成箱62上。
而且,上述各等离子体电极64分别与供电线70相连接,该供电线70在中途设有用于谋求阻抗匹配的匹配电路71而与上述等离子体产生用的高频电源66相连接,利用该高频电力在等离子体形成箱62内形成等离子体。在此,作为上述高频电源66的频率,例如使用13.56MHz,但是不限于此,能使用4MHz~27.12MHz的范围内的频率。
而且,在上述处理容器24内向上方延伸的第1气体喷嘴50在中途向处理容器24的径向外方弯曲。位于上述等离子体形成箱62内的最内侧且最远离处理容器24的中心的部分。也就是说,沿着该最内侧的部分朝向上方立起地设置。因此,在连通高频电源66时,从上述第1气体喷嘴50的各气体喷射孔50A所喷射的第1气体在该等离子体形成箱62中被等离子体活化,朝向处理容器24的中心一边扩散一边流动。另外,上述第1气体喷嘴50也可以不贯穿处理容器24的侧壁而从等离子体分隔壁的下端部直接贯穿地设置。
此外,在处理容器24的开口68的内侧的一侧,立起地设有上述第2气体喷嘴52(参照图2),能够从设于第2气体喷嘴52的各气体喷射孔52A朝向处理容器24的中心方向喷射第2气体。而且,在这样形成的处理容器24的外侧设有作为本发明的特征的屏蔽壳体72和在等离子处理中使冷却气体流入到屏蔽壳体72内的冷却机构74。具体而言,在上述处理容器24的外侧也包括顶部地包围周围整体地设有例如成形为圆筒状的上述屏蔽壳体72。该屏蔽壳体72由铝、不锈钢等金属构成并接地,遮断从活化部件64向外泄漏的高频而防止高频向外侧泄漏。
该屏蔽壳体72的下端部与上述基板44连接,也防止高频从该下方泄漏。该屏蔽壳体72的屏蔽值(相对导电率×相对磁导率×板厚)越高越好,例如使用SUS304(不锈钢的种类)情况下的板厚可以设定为1.5mm以上。此外,在该尺寸例如用于收容直径为300mm的晶圆W的上述处理容器24的直径为450mm左右的情况下,上述屏蔽壳体72的直径是600mm左右。
而且,安装在该屏蔽壳体72上的上述冷却机构74由吸气集管部76和排气集管部78构成,该吸气集管部76设于作为该屏蔽壳体72的一端的下端部,用于吸入冷却气体;该排气集管部78设于作为屏蔽壳体72的另一端的上端部,用于排出屏蔽壳体72内的气氛气体,如箭头84所示,冷却气体沿着上述屏蔽壳体72和处理容器24之间的空间部82流动。而且,该排气集管部78与排气源80相连接。该排气源80在此由对包括设置在清洁室内的该等离子处理装置22的各装置内进行排气的工厂管道83构成,在该工厂管道83下游侧设有大型的排气叶片(未图示),从而对整个工厂内进行排气。
也如图3和图4所示,上述排气集管部78包括:气体流通管道86,其沿着上述屏蔽壳体72的侧壁的周向设于该屏蔽壳体72的侧壁上;气体流通孔88,其沿着上述屏蔽壳体72的侧壁的周向以规定的间隔均匀地形成在该屏蔽壳体72的侧壁上;气体导入口90,其设于上述气体流通管道86,用于将冷却气体吸入上述屏蔽壳体72中。上述气体流通管道86在此被成形为截面呈大致长方形状,以呈环状围绕屏蔽壳体72的下端部的周围的方式设置。
而且,在该气体流通管道86的顶部86A上,沿上述屏蔽壳体72的径向相对配置地形成有一对(两个)上述气体导入口90。上述气体流通孔88在此沿着屏蔽壳体72的周向被成形为长方形状,作为整体等间隔地配置有4个气体流通孔88。所以,从上述两个气体导入口90吸入到气体流通管道86内的冷却气体一边沿着该气体流通管道86内流动,一边从上述长方形状的气体流通孔88向屏蔽壳体72内流入。
在该情况下,为了使冷却气体均匀流动,优选在相邻的气体流通孔88之间的中央部设置上述气体导入口90。该气体流通孔88的数量不限于4个,既可以是两个,或也可以设置更多,还可以呈冲孔金属(punching metal)状地形成为环状。此外,为了提高高频的屏蔽效果,也可以在上述气体流通孔88上安装冲孔金属件。
而且,在此,以与上述两个气体导入口90连接的方式设有半圆弧形的冷却气体引导管道92。在该冷却气体引导管道92的中央部设有气体入口94,并且,在其两端侧分别形成有与上述各气体导入口90连通的开口96。在此,在清洁室内始终被维持在23~27℃左右的清洁空气用作冷却气体,所以,由从上述气体导入口90导入的清洁空气构成的冷却气体在上述冷却气体引导管道92内流动,经由开口96和气体导入口90,在环状的气体流通管道86内分成两个方向流动,自上述气体流通孔88流入屏蔽壳体72内。实际上,在上述气体入口94上连接有未图示的供气路径,从该供气路径导入与清洁室内相同的温度的清洁空气。
另外,也可以不设置上述冷却气体引导管道92,自两个气体导入口90直接吸入作为冷却气体的清洁室内的清洁空气,该气体导入口90的数量也可以设置得更多。
另一方面,也如图3和图5所示,设在上述屏蔽壳体72的上端部的排气集管部78包括:气体流通孔100,其形成在堵住屏蔽壳体72的端面的端板98上;箱状的排气箱102,其以围绕并覆盖该气体流通孔100的方式设置;气体排气口104,其设于该排气箱102上;排气路径106,其与该气体排气口104连接并与作为上述排气源80的工厂管道83(参照图1)相连接。
上述端板98作为屏蔽壳体72的顶板而发挥作用,该端板98也由对高频具有屏蔽机能的金属板例如不锈钢形成。形成在该端板98的气体流通孔100在此是将多个直径小的冲孔100A排列而形成的,使从下方上升来的冷却气体通过冲孔100A向上方流动,并且提高对高频的屏蔽性。即,在此作为端板98,能使用中央部侧形成有多个孔的冲孔金属件。在该情况下,也可以将该气体流通孔100形成为大孔径的1个孔。也可以在该大孔径的气体流通孔100上安装冲孔金属件。
上述排气箱102在此被成形为大致正四边形状,还在其内侧以围绕上述气体流通孔100的方式设有一边与上述排气箱102的侧壁共用的呈倒“コ”字状的分隔壁108。而且,在该分隔壁108的相对面上形成有一对流通孔110,并且该流通孔110经由形成在上述排气箱102的壁面和上述分隔壁108之间的流路112与上述气体排气口104相连通。
因此,上述的经由上述多个冲孔100A流出的冷却气体经由设于分隔壁108上的一对流通孔110在流路112内流动,进而从气体排气口104朝向工厂管道83侧流动。另外,上述排气箱102的形状不限于长方形状,例如也可以成形为圆形,还可以使分隔壁108的形状成形为圆形。另外,也可以不将气体排气口104设于排气箱102的侧壁,而将该气体排气口104设于排气箱102的顶部,使冷却气体向上方排出。此外,在上述排气路径106上设有流量控制阀113,能控制排气流量。
然后,返回到图1,利用例如由计算机等构成的装置控制部114,进行该等离子处理装置22的动作整体的控制,例如开始供给气体和停止供给气体、设定高频电源66的电力、设定该等离子处理装置22的连通-断开状态、处理压力等。此外,该装置控制部114具有例如软盘、CD(Compact Disc)、硬盘、闪存或DVD等存储介质116,该存储介质116存储有用于控制上述各种气体的供给和停止供给、控制高频的连通-断开和控制装置整体的动作的、能读取到计算机中的程序。
接着,作为使用以上那样构成的等离子处理装置来进行的等离子处理,以在室温附近进行等离子体的成膜方法的情况为例来进行说明。具体而言,在此,作为成膜处理,以将氧用作第1气体、将氨基硅烷系气体用作第2气体而在室温附近形成牺牲氧化膜的情况为例来进行说明。另外,作为氨基硅烷系气体能使用先前说明的DIPAS。
首先,如图1和图2所示,使载置有常温的多张例如50~150张300mm尺寸的晶圆W的状态的晶圆舟皿28自室温例如23~27℃左右的处理容器24的下方上升到该处理容器24内来进行装载,通过用盖部34封闭处理容器24的下端开口部而对容器内进行封闭。
而且,对处理容器24内抽真空而维持在规定的处理压力,分别从第1气体供给部件46和第2气体供给部件48一边对上述第1气体和第2气体进行流量控制一边供给上述第1气体和第2气体。与此同时连通高频电源(RF电源)66,在活化部件58的等离子体形成箱62内产生等离子体。
具体而言,作为第1气体的氧气从第1气体喷嘴50的各气体喷射孔50A向水平方向喷射,此外,作为第2气体的氨基硅烷系气体从第2气体喷嘴52的各气体喷射孔52A向水平方向喷射。而且,氧气被形成在等离子体形成箱62内的等离子体活化而制成臭氧等活性种,该活性种与上述氨基硅烷系气体反应,在晶圆W的表面上形成牺牲氧化膜。
另一方面,在进行这样的等离子成膜处理期间,由上述等离子体产生的热有逐渐充满覆盖在上述处理容器24的外侧的高频遮断用的屏蔽壳体72内的倾向。但是,在本发明中,该屏蔽壳体72内被在冷却机构74的作用下而流动的冷却气体冷却,所以能够抑制处理容器24、晶圆W的温度上升而将处理容器24、晶圆W的温度维持在室温程度。
即,该屏蔽壳体72内的气氛气体与作为排气源80的工厂管道83连通从而被排气,所以始终为减压气氛气体。因此,被维持在设有该等离子处理装置22的清洁室内的23~27℃左右的清洁空气从设于屏蔽壳体72的下部的气体导入口94,如箭头120(参照图1和图3)所示那样作为冷却气体被吸入到冷却气体引导管道92内,并在其中流动,该冷却气体经由设于圆弧形状的冷却气体引导管道92的两端的各开口96和气体导入口90而如箭头122(参照图3)所示那样被吸入到排气集管部78的气体流通管道86内。该冷却气体在环状的气体流通管道86内分成两个方向流动,自所设有的4个上述气体流通孔88流入到屏蔽壳体72内。
如箭头84(参照图1)所示那样,流入到该屏蔽壳体72内的冷却气体在该屏蔽壳体72和处理容器24之间的空间部82内上升地流动,此时,对由于等离子体产生的热而处于升温倾向的处理容器24的侧壁进行冷却,并且,输送充满该空间部82内的由等离子体产生的热并排出。该冷却气体的上升流产生在处理容器24的大致整个圆周。
在该屏蔽壳体72内上升地流动的冷却气体经由排气集管部78的气体流通孔100的各冲孔100A而以汇合的方式流入到排气箱102内,进而如箭头124(参照图5)所示,该冷却气体经由设于分隔壁108的一对各流通孔110而在排气箱102和分隔壁108之间的流路112内流动,进而自气体排气口104经由排气路径106流向工厂管道83侧。
这样,因为屏蔽壳体72内被冷却,所以能够抑制处理容器24、晶圆W的温度上升从而将处理容器24、晶圆W的温度维持在室温程度。此外,在本实施例中,1次批量处理结束而进行下一次批量处理时,屏蔽壳体72内也不会处于充满热的状态,所以能抑制处理容器24、晶圆W的温度上升从而将处理容器24、晶圆W的温度维持在室温程度,能较高地维持等离子处理的再现性。换句话说,即使一次连续对多张晶圆W进行等离子处理的批量处理,也能够像上述那样使流冷却气体流入到屏蔽壳体72内而排出充满空间部82的热,并且,对处理容器24和晶圆W进行冷却从而将处理容器24、晶圆W的温度维持在室温程度,所以能较高地维持等离子处理(成膜处理)的再现性。
这样,根据本发明的本实施例,一种等离子处理装置22用于将由保持部件28保持的多个被处理体例如半导体晶圆W收容在筒体状处理容器24内,导入所需的气体,利用由活化部件58产生的等离子体在上述被处理体上形成气体的活性种,利用该活性种对被处理体实施等离子处理,其中,包括:筒体状的屏蔽壳体72,其为了遮断高频而以包围处理容器24的周围的方式设置且接地;冷却机构74,其在等离子处理过程中用于使冷却气体沿着屏蔽壳体72和处理容器24之间的空间部82流动,在等离子处理过程中利用冷却机构74使冷却气体沿着处理容器24的外侧流动来进行冷却,所以防止从等离子体产生的热充满屏蔽壳体72与处理容器24之间的空间部82,结果,在处理温度为室温程度的低温度范围内进行等离子处理时,能较低地维持该处理温度而提高等离子成膜处理等等离子处理的再现性。
本发明的实施例的冷却机构的评价
接着,对使用设有上述这样的冷却机构74的本发明的本实施例的等离子处理装置来连续地进行多次等离子体的批量处理时的形成在处理容器24和屏蔽壳体72之间的空间部82的温度变化进行测量,所以对其的评价结果进行说明。在此,为了比较,在具有图14所示这样的圆筒体状的带绝热材料的加热器(加热器本身不能驱动)的以往的等离子处理装置中也进行等离子体的批量处理,也对其结果进行说明。
在此的处理,在1次的批量处理中对117张晶圆进行了60分钟的等离子成膜处理,连续地进行7次(从第1次到第7次)该批量处理。图6A和6B表示连续地进行批量处理时的处理中的空间部的温度变化的曲线图,图6A表示以往的等离子处理装置的情况,图6B表示本发明的本实施例的等离子处理装置的情况。在此,在图6B所示的本发明的本实施例的等离子处理装置中,将屏蔽壳体内的排气流量设定为0.55m3/min。
图7A、7B、7C、7D是表示从图6A和6B所示的曲线图的结果求出的空间部的温度差的图。另外,在此,将改变3种排气流量时的实验结果一并进行记载。此外,在此,将多层地支承在晶圆舟皿上的晶圆沿高度方向分成4个区域,与位于最上层的区域相对应的空间部的温度表示为“TOP”(最高),与“TOP”之下的区域相对应的空间部的温度表示为“T-C”(中高),与“T-C”之下的区域相对应的空间部的温度表示为“C-B”(中低),与位于最下层的区域相对应的空间部的温度表示为“BTM”(最低)。上述各温度由配置在空间部82中的热电偶测量。此外,在所有的处理中,开始处理时的空间部82的温度是27℃。
如图6A所示可知,在以往的等离子处理装置的情况下,“TOP”、“T-C”、“C-B”和“BTM”的所有区域的空间部的温度在第1次~第7次每次反复进行批量处理时的空间部的温度从27~28℃逐渐上升,最终上升到40~46℃左右,再现性差。具体而言,如图7A所示,空间部的最大值(Max)和最小值(Min)之间的温度差(Δ)如下所述:“TOP”是18.5℃,“T-C”是16.5℃,“C-B”是13.6℃,“BTM”是10.7℃,在批量处理之间空间部的温度变动较大,所以不好。
相对于此,如图6B所示可知,在本发明的情况下,“TOP”、“T-C”、“C-B”和“BTM”的所有区域的空间部的温度在第1次~第7次大致处在27~30℃的范围内,即使连续地进行批量处理,空间部的温度也不会上升,能稳定地维持该空间部的温度,所以能提高等离子处理的再现性。
图7C是表示该图6B所示的实验的空间部的温度的最大值(Max)与最小值(Min)之间的温度差(Δ)的图,“TOP”、“T-C”、“C-B”和“BTM”分别是3.6℃、1.9℃、1.4℃、1.2℃,所有区域的温度差非常小,与以往的装置例比较,本发明的本实施例能够得到非常良好的结果。此外,使用本发明的本实施例的等离子处理装置,仅将排气流量分别改变为0.35m3/min和0.72m3/min,图7B和图7D分别表示进行了与在图6B中说明的实验同样的实验时的结果。
由此,“TOP”、“T-C”、“C-B”和“BTM”的空间部的各温度在图7B和图7D所示的情况下,也没有自作为设定温度的27℃产生多大程度的变化,充分地显示了良好的结果,此外,温度差(Δ)在图7B的情况下也是5.2℃、3.9℃、2.2℃、1.4℃,此外,温度差(Δ)在图7D的情况是3.0℃、1.7℃、1.5℃、1.2℃,均表示良好的结果,由此可知,能提高等离子处理的再现性。
在该情况下,如图7B所示,将排气流量减小为0.35m3/min的情况下,“TOP”、“T-C”的空间部的各温度上升到32.5℃、31.1℃,因为处在设定温度的27℃的±6℃的范围内,所以得到充分耐实用的结果。
变形实施例1
接着,说明本发明的变形实施例1。在上述实施例中,在成膜处理过程中,必须使用冷却机构74来使冷却气体向屏蔽壳体72内的空间部82流动,但是有时也可以根据设置该等离子处理装置22的环境、例如清洁室内的温度环境等不同,从提高生产率的观点出发,不使冷却气体流动。具体而言,在反复进行成膜处理时,因剥落而成为微粒的原因的不需要的膜累积而堆积在处理容器24的内壁上,一般来说,在该不需要的膜剥落之前,用清洁气体定期或不定期地进行去除上述不需要的膜的清洁操作。
在去除该不需要的膜的清洁操作中,对例如堆积在处理容器24的侧壁上的上述不需要的膜的累积膜厚进行管理,在每次该膜厚达到预定的基准的厚度例如1~20μm左右的范围内的预定的基准值时进行清洁操作。在该情况下,由本发明的发明人确认到,取决于成膜时的处理容器24的温度,堆积在处理容器24的内壁上的不需要的膜的膜质微妙地变化,例如有时在累积膜厚达到基准值之前不需要的膜容易剥落。该基准值由成膜的膜种、处理条件等预先确定。
具体而言,可知,使冷却机构74动作而使处理容器24的侧壁的温度降低过多时,随着温度下降,不需要的膜容易剥落,即使累积膜厚在预定的基准值以下,不需要的膜也开始剥落,形成微粒产生的现象。因此,在该本发明的变形实施例1中,取决于等离子处理装置22的设置环境,决定是否使冷却机构74动作。
图8是表示本发明的变形实施例1的等离子处理装置的一个例子的概略构成图。在图8中,基本的构成除了以下说明点之外和先前参照图1~图5说明的等离子处理装置相同。对与在图1~图5中说明的构成相同的构成部分标注相同的附图标记,省略其说明。
在此,在将上述冷却机构74的排气集管部78的气体排气口104和排气源80连通的排气路径106的中途设有阀机构130。该阀机构130由蝶形阀那样的流量控制阀132和第1开闭阀134串联设置而构成。此外,在设于冷却机构74的吸气集管部76上的冷却气体引导管道92的气体入口94连接有供气路径136,在该供气路径136的中途设有第2开闭阀138。在该供气路径136中,与清洁室内大致相同的温度的清洁空气作为冷却气体像箭头120那样被吸入。另外,也可以不设置该供气路径136,使气体入口94朝向清洁室内开放。
此外,在形成于处理容器24和屏蔽壳体72之间的空间部82中设有用于测量该空间部82内的气氛气体的温度的温度测量部件140。具体而言,该温度测量部件140由从屏蔽壳体72的侧壁朝向内侧稍微延伸的多个例如4个热电偶140A、140B、140C、140D构成。该4个热电偶140A~140D与处理容器24内的晶圆W的收容区域相对应而沿该收容区域的高度方向大致等间隔地配置。
即,热电偶140A被配置在最上层,下一个热电偶140B被配置在热电偶140A的下方,下一个热电偶140C被配置在热电偶140B的下方,最下层的热电偶140D被配置在热电偶140C的下方。所以,这些热电偶140A~140D从其上方朝向下方与“TOP”(最高)、“T-C”(中高)、“C-B”(中低)和“BTM”(最低)相对应。而且,这些各热电偶140A~140D的各输出例如输入装置控制部114。此外,在上述空间部82中设有求出该空间部28内的压力与清洁室内的压力之差的压差计150,压差的输出例如输入上述装置控制部114。
因此,在这样构成的本变形实施例1中,将该等离子处理装置维持在待命状态的状态下以预定的排气流量对上述空间部82的气氛气体进行排气时的上述温度测量部件140的测量温度低于预定的阈值温度的情况下,在等离子处理时以关闭上述阀机构130的状态进行。该阀机构130的动作,既可以由装置控制部114控制,也可以操作者用手动进行操作。
具体而言,如上所述那样取决于成膜的等离子处理时的处理容器24的温度,附着在容器内壁上的不需要的膜的附着强度微妙地变化,所以使冷却机构74动作而使冷却气体流动时,取决于等离子处理装置22的设置环境,有时容易剥落的不需要的膜产生附着。例如在作为冷却气体而使用的清洁室内的气氛气体的温度较高的情况下,被吸入的冷却气体的温度也高,所以即使等离子处理时使冷却机构74动作而使冷却气体流动,处理容器24也不会被过度地冷却,所以,不需要的膜在难以剥落的状态下附着。
相对于此,在作为冷却气体而使用的清洁室内的气氛气体的温度较低的情况下,因为被吸入的冷却气体的温度也较低,所以在等离子处理时,使冷却机构74动作而使冷却气体流动时,处理容器24被过度地冷却,所以,不需要的膜以容易剥落的状态附着。
在该情况下,在处理容器24的内壁上特别容易产生微粒的部分是被等离子体激烈地冲击的等离子体形成箱62内,但是为了检测该部分的温度而配置热电偶时,因为在等离子体形成箱62的附近配置有高频电极,所以成为产生异常放电的原因,所以不现实。
因此,在本变形实施例1中,处理容器24的侧壁被冷却气体冷却,在冷却气体通过该空间部82内时,求出对处理容器24的侧壁进行过度地冷却这样的气氛气体温度,在处理容器24的侧壁处于被过度地冷却这样的状态时,使动作冷却机构74不动作,使冷却气体的流动停止。
而且,为了实现上述操作,在此,在等离子处理装置22处于待命时,使冷却气体流动到空间部82内,以此时的空间部82内的气氛气体温度为基准来决定在用于成膜的等离子处理时是否使冷却气体流动。具体而言,在将等离子处理装置22维持在待命状态的状态下,以预定的排气流量例如与大气的压力差为-100Pa左右的排气流量对空间部82内进行排气时的温度测量部件140即热电偶140A~140D的测量温度低于预定的阈值温度例如低于33℃的情况下,在上述成膜用的等离子处理时,阀机构130的第1开闭阀134处于关闭状态,冷却气体不在空间部82内流动。即,冷却机构74不动作。
相反,在上述测量温度为33℃以上的情况下,上述阀机构130的第1开闭阀134处于打开状态,使冷却气体流动。即,使冷却机构74动作。此外,优选使上述第1开闭阀134的开闭和第2开闭阀138的开闭联动地进行。在此,上述阈值温度为33℃,作为成膜气体的氨基硅烷系气体例如使用DIPAS。
基本上在清洁室内设置等离子处理装置22时实现待命状态而如上所述那样决定这样的第1和第2开闭阀134、138的开闭。之后,上述第1和第2开闭阀134、138基本上被固定在打开状态或关闭状态的状态下,反复进行成膜用的等离子处理、清洁处理。根据清洁时的条件不同,也时也在清洁处理时使冷却气体流动。另外,由于维护等而设定环境改变的情况下,再一次如上所述那样决定冷却机构74的动作。
此外,所谓上述待命状态是指如下状态:接通装置本身的电源,各种布线类的加热器等处于连通状态,但活化部件58处于断开状态而未形成等离子体,处理容器24的温度不变动的稳定的状态,例如是指接通装置电源后经过一日以上的状态、成膜的处理(运行)结束后例如经过大约7小时以上的状态。
验证实验
接着,对上述变形实施例1进行了验证实验,说明其内容。图9是表示本发明的变形实施例1的屏蔽壳体内气氛气体与大气的压力差和屏蔽壳体的内部的温度之间的关系的曲线图,图10是表示压力差为0Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图,图11是表示压力差为60Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图,图12是表示压力差为130Pa时的运行次数与微粒数以及累积膜厚之间的关系的曲线图,图13是表示等离子处理(运行)结束后的屏蔽壳体内的温度变化的曲线图。在此,上述压力差在0~-250Pa变化,在其中的多个部位进行等离子成膜处理并进行微粒的评价。
此时,在压力差为0Pa的情况下,使第1和第2开闭阀134、138均处于关闭状态,使冷却气体在空间部82内不流动,在压力差为0Pa以外,使第1和第2开闭阀134、138均处于打开状态,而且通过适宜调整阀机构130的流量控制阀132的阀开度而使压力差变化。因此,图9的横轴的压力差与排气流量相对应。即,压力差小时冷却气体的排气流量少,随着压力差增大,冷却气体的排气流量逐步变大。
在图9所示的曲线图中,曲线A表示图8中的TOP的热电偶104A的温度,曲线B表示图8中的CTP的热电偶104B的温度,曲线C表示图8中的CBT的热电偶104C的温度,曲线D表示图8中的BTM的热电偶104D的温度。此外,曲线X1表示图8中的处理容器24的点X1的位置的温度,曲线X2表示处理容器24的点X2的位置的温度。此外,此时设置有等离子处理装置22的清洁室内的温度是23~24℃左右。
从图9所示的曲线图明确可知,冷却气体不流动的压力差为0Pa时,在所有的温度测量部位中温度最高。例如以曲线X1、X2所示的各点X1、X2的温度是44~45℃左右,以曲线A~D所示的各热电偶140A~140D的温度是35~39℃左右。而且,随着压力差逐渐变大,即,随着排气流量增加,各温度逐渐降低。
在此,在压力差为0Pa、-2Pa、-50Pa、-60Pa、-100Pa、-130Pa、-250Pa的各点,分别进行多次成膜处理并进行微粒的评价。结果,压力差为0Pa时,即使累积膜厚为基准值以上,微粒数也为上限值50个以下,是合格的,但是除此以外的压力差为-2Pa~-250Pa的所有情况下,累积膜厚小于基准值的值时,微粒数就达到上限值50个,是不合格的。即,即使是压力差仅仅为-2Pa程度那样微小的量的冷却气体在空间部流动,此时产生的微小的温度降低也能够产生不良影响,在累积膜厚达到基准值之前微粒数就成为50个。
结果可知,利用在此的设置环境,使冷却机构74不动作,即,需要不使冷却气体流动来进行成膜的等离子处理。图10~图12的曲线图表示此时的一部分结果,图10表示压力差为0Pa时,图11表示压力差为60Pa时,图12表示压力差为130Pa时。在各图中,左侧纵轴表示微粒数(柱状图),右侧纵轴表示累积膜厚(折线图)。
此外,运行1次表示1次批量处理,在各次运行中,在TOP(最高),CTR(中间)、BTM(最低)的各晶圆位置测量微粒,用上述顺序以柱状图表示微粒的计数。此外,在此,微粒数的上限值设定为50个,累积膜厚的基准值如上所述那样预定为在1~20μm左右范围内的规定的值。结果可知,在图10所示的压力差为0Pa的情况下,运行1~22次,即使如上所述那样累积膜厚达到基准值,微粒数也没达到上限值50个,能够得到最佳的结果。
相对于此,在图11所示的压力差为60Pa的情况下,累积膜厚低于基准值的点P 1处(运行22次),达到微粒数50个的上限值,所以不佳。此外,在图12所示的压力差为130Pa的情况下,在累积膜厚小于基准值且比上述点P1还薄的点P2处(运行22次),达到微粒数50个的上限值,所以不佳。可以理解为,通过这样使上述压力差变小,微粒的增加量逐渐减小。此外,如上所述可知,利用在此的设置环境使冷却机构74不工作,即,需要使冷却气体不流动来进行成膜的等离子处理。
在此,说明上述压力差和排气流量的关系。上述空间部82的容积(屏蔽壳体72的容积-处理容器24的外壳的体积)是250升左右,冷却气体在该空间部82内流动时,通过预先调整流量控制阀132的阀开度而设定为-40~-100Pa的范围内的压力差。此时,在压力差为-40Pa的情况下,排气流量是0.45m3/min左右,在压力差是-100Pa的情况下,排气流量是0.70m3/min左右。该排气流量能够根据设有等离子处理装置的清洁室任意决定。而且,实际上在清洁室中设置等离子处理装置时,使用流量控制阀132,将上述压力差预先设定在-40~100Pa范围内,换句话说,将排气流量预先设定在0.45~0.70m3/min左右的范围内的任意排气流量。
在此,对在上述验证实验中微粒合格的压力差为0Pa时的待命时的条件进行研究。图13是表示进行了1次成膜用的等离子处理(运行)后的待命状态时的各部分的温度曲线图。在图13中,曲线A~D、X1、X2与在图9说明的情况相同,曲线A表示图8中的TOP的热电偶104A的温度,曲线B表示图8中的CTP的热电偶104B的温度,曲线C表示图8中的CBT的热电偶104C的温度,曲线D表示图8中的BTM的热电偶104D的温度。此外,曲线X1表示图8中的处理容器24的点X1的位置的温度,曲线X2表示处理容器24的点X2的位置的温度。在此,室温设定为23~24℃,不进行屏蔽壳体72内的空间部82的排气,压力差为0Pa。
如图13所示,1次运行在2小时24分钟结束时,各部分的温度逐渐降低。而且,运行结束后,经过大约7小时,9小时24分钟以后,各部分的温度大致稳定,处于待命状态。在这种情况下,各热电偶140A~140D的温度稳定在大致33~34℃的范围内。即,若待命时热电偶140A~140D的测量温度是33℃以上,则即使用该等离子处理装置来进行等离子处理(排气流量=0的状态),也能清除(clear)用于清除微粒数的上限值为50个的累积膜厚的基准值的条件。
换句话说,在待命状态时,以用上述流量控制阀132预先设定的排气流量使冷却气体在空间部82内流动的状态下,若上述各热电偶140A~140D的测量温度是33℃以上,则在成膜用的等离子处理时,优选使冷却机构74动作,即,使第1和第2开闭阀134、138均处于打开状态而使冷却气体流动来冷却处理容器24。
在这种情况下,从抑制微粒产生的这种观点出发,也可以不使冷却气体流动,但是不使冷却气体流动时,处理容器的温度对应地上升。而且,若温度过度上升,则有时难以在经常进行的清洁时去除附着在容器内壁上的不需要的膜。所以,优选如上所述那样使冷却气体流动。
这样,根据本发明的变形实施例1,还包括:温度测量部件,其用于测量空间部内的气氛气体的温度;排气路径,其设于排气集管部和排气源之间;阀机构,其设于排气路径的中途,在将等离子处理装置维持在待命状态的状态下以预先设定的排气流量对空间部的气氛气体进行排气时(对空间部的气氛气体进行排气,以使空间部和清洁室内的大气的压力差成为预先设定的设定值时)的温度测量部件的测量温度低于预定的阈值温度的情况下,该阀机构在等离子处理时处于关闭状态,由此,能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。其结果,清洁频率降低,能谋求生产率的提高。
此外,根据本发明的等离子处理方法,能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。结果,清洁频率降低,能谋求生产率的提高。
另外,仅表示上述的累积膜厚的基准值、微粒数的上限值为50个的一个例子,当然不限于此。此外,在上述实施例中,作为温度测量部件140而设有4个热电偶140A~140D,但是不限于此,只要至少设有1个即可,优选设有两个以上。
此外,在上述各实施例中,作为对排气屏蔽壳体72内的气氛气体进行排气的排气源80,使用了始终进行吸引的工厂管道83,但是也可以取而代之,或作为为了更强力地排气而在排气路径106上付加的排气源80,通过设有排气泵而在等离子处理过程中驱动排气泵。
此外,在此,排气集管部78使用了排气箱102等,但是也可以取而代之,作为排气箱102,在屏蔽壳体72的上端部设有与吸气集管部76相同的构造的气体流通管道86、气体流通孔88、气体导入口90(用作气体排气口104)等。
此外,在此,以作为常温(室温)的等离子处理而形成牺牲氧化膜的情况为例来进行了说明,但是当然不限于此,能够将本发明应用于不需要加热器而在室温程度(23~27℃左右)的条件下进行的所有等离子处理。此外,在此,在处理容器24的下端部上设有吸气集管部76、在上端部上设有排气集管部78的屏蔽壳体72内,使冷却气体从下方朝向上方流动,但是不限于此,也可以在处理容器24的上端部上设有吸气集管部76、在下端部上设有排气集管部78而使冷却气体在屏蔽壳体72内从上方朝向下方流动。
此外,在此,作为供气侧的冷却气体,使用了清洁室侧的清洁气体,但是为了提高控制性,也可以在供气路径136的中途设有冷却装置等温度控制器,将被导入到空间部82内的冷却气体的温度维持在恒定的温度。此外,在此,以处理容器24沿铅垂方向立起设置的立式等离子处理装置为例进行了说明,但是不限于此,本发明也能够应用于将处理容器横向设置的卧式等离子处理装置。
此外,在此,作为被处理体以半导体晶圆为例进行了说明,但是该半导体晶圆还包括GaAS、SiC、GaN等的化合物半导体基板、硅基板,而且不限于这些基板,本发明也能够应用于液晶显示装置用的玻璃基板、陶瓷基板等。
根据本发明的等离子处理装置和等离子处理方法,能发挥以下那样优异的作用效果。
一种等离子处理装置,其用于将由保持部件保持的多个被处理体收容在筒体状的处理容器内,导入所需的气体,利用由活化部件产生的等离子体在这些被处理体上形成气体的活性种,利用该活性种对被处理体实施等离子处理,其中包括:筒体状的屏蔽壳体,其为了遮断高频而包围处理容器的周围地设置且接地;冷却机构,其在上述等离子处理中用于使冷却气体沿着屏蔽壳体与处理容器之间的空间部流动,在等离子处理过程中利用冷却机构使冷却气体沿着处理容器的外侧流动来进行冷却,所以防止从等离子体产生的热充满屏蔽壳体和处理容器之间的空间部,结果,在处理温度为室温程度的低温度范围内进行等离子处理时,能低较地维持其处理温度来提高等离子成膜处理等等离子处理的再现性。
等离子处理装置还包括:温度测量部件,其用于测量空间部内的气氛气体的温度;排气路径,其设于排气集管部和排气源之间;阀机构,其设于排气路径的中途,在将等离子处理装置维持在待命状态的状态下以预先设定的排气流量对空间部的气氛气体进行排气时的温度测量部件的测量温度低于预定的阈值温度的情况下,该阀机构在等离子处理时处于关闭状态,由此,能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。结果,清洁频率降低,能谋求生产率的提高。
根据本发明的等离子处理方法,能够设定例如堆积在处理容器的内壁上的不需要的膜难以剥落这样的条件。结果,清洁频率降低,能谋求生产率的提高。
以上,基于各实施方式进行本发明的说明用于详尽地说明来促进对发明的理解,更有助于进一步推进技术。因此,在实施方式中所述的要素不限定本发明。此外,实施方式的例示不意味着本发明的优缺点。在实施方式中详细地记载了发明,但是,在不脱离发明的主旨的范围内可以进行各种的变更、置换、改变。
关联申请的互相参照
本申请以2009年5月1日提交的日本国特愿2009-112319号和2010年2月24日提交的日本国特愿2010-039446号作为主张优先权的基础申请,在此,以上述申请为基础主张优先权,并且,参照其整个内容,从而援引其整个内容。
Claims (20)
1.一种等离子处理装置,其包括:
筒体状的处理容器,其能够被抽真空;
保持部件,其用于保持多个被处理体并插入到上述处理容器内或从上述处理容器内取出;
气体供给部件,其用于向上述处理容器内供给气体;
活化部件,其沿着上述处理容器的长度方向设置,利用由高频电力产生的等离子体对上述气体进行活化,
该等离子处理装置用于对上述被处理体实施等离子处理,其特征在于,其包括:
筒体状的屏蔽壳体,其为了遮断高频而以包围上述处理容器的周围的方式设置且接地;
冷却机构,其在上述等离子处理过程中用于使冷却气体沿着上述屏蔽壳体与上述处理容器之间的空间部流动。
2.根据权利要求1所述的等离子处理装置,其特征在于,
上述冷却机构包括:
吸气集管部,其设于上述屏蔽壳体的一端,用于吸入上述冷却气体;
排气集管部,其设于上述屏蔽壳体的另一端,其与排气源连接,用于排出上述屏蔽壳体内的气氛气体。
3.根据权利要求2所述的等离子处理装置,其特征在于,
上述排气源是用于排出装置内的气氛气体的管道,是设置有上述等离子处理装置的工厂管道。
4.根据权利要求2所述的等离子处理装置,其特征在于,
上述排气源是排气泵。
5.根据权利要求2所述的等离子处理装置,其特征在于,
上述吸气集管部包括:
气体流通管道,其沿着上述屏蔽壳体的侧壁的周向设于该屏蔽壳体的侧壁上;
气体流通孔,其沿着上述屏蔽壳体的侧壁的周向以规定的间隔形成在该屏蔽壳体的侧壁上,用于将上述气体流通管道和上述屏蔽壳体内连通;
气体导入口,其设于上述气体流通管道,用于吸入上述冷却气体。
6.根据权利要求5所述的等离子处理装置,其特征在于,
在上述气体流通孔中安装有形成有多个孔的冲孔金属件。
7.根据权利要求2所述的等离子处理装置,其特征在于,
上述排气集管部包括:
气体流通孔,其形成在堵住上述屏蔽壳体的端面的端板上;
箱状的排气箱,其以围绕并覆盖该气体流通孔的方式设置;
气体排气口,其设于该排气箱上;
排气路径,其与该气体排气口连接而与上述排气源相连通。
8.根据权利要求7所述的等离子处理装置,其特征在于,
在上述气体流通孔中安装有形成有多个孔的冲孔金属件。
9.根据权利要求2所述的等离子处理装置,其特征在于,
上述冷却气体是作为设置有上述等离子处理装置的工厂的清洁室内的气氛气体。
10.根据权利要求2所述的等离子处理装置,其特征在于,
上述处理容器沿铅垂方向呈纵长地设置。
11.根据权利要求10所述的等离子处理装置,其特征在于,
上述吸气集管部设于上述屏蔽壳体的下端部,上述排气集管部设于上述屏蔽壳体的上端部。
12.根据权利要求10所述的等离子处理装置,其特征在于,
上述吸气集管部设于上述屏蔽壳体的上端部,上述排气集管部设于上述屏蔽壳体的下端部。
13.根据权利要求2所述的等离子处理装置,其特征在于,
该等离子处理装置包括:
温度测量部件,其用于对上述空间部内的气氛气体的温度进行测量;
排气路径,其设于上述排气集管部与上述排气源之间;
阀机构,其设在上述排气路径的中途,在将上述等离子处理装置维持在待命状态的状态下,以预先设定的排气流量排出上述空间部的气氛气体时的上述温度测量部件的测量温度低于预先设定的阈值温度的情况下,该阀机构在上述等离子处理时处于关闭状态。
14.根据权利要求13所述的等离子处理装置,其特征在于,
上述阀机构包括压力调整阀和开闭阀。
15.根据权利要求13所述的等离子处理装置,其特征在于,
在上述吸气集管部连接有供气路径,在该供气路径的中途设有开闭阀,在将上述等离子处理装置维持在待命状态的状态下,以预先设定的排气流量排出上述空间部的气氛气体时的上述温度测量部件的测量温度低于预先设定的阈值温度的情况下,该开闭阀在上述等离子处理时处于关闭状态。
16.根据权利要求13所述的等离子处理装置,其特征在于,
上述气体包括作为成膜用的气体的氨基硅烷系气体。
17.根据权利要求13所述的等离子处理装置,其特征在于,
上述阈值温度是33℃。
18.一种等离子处理方法,其排出等离子处理装置的内部的气氛气体,通过一边供给气体一边排出气体而能够冷却该内部地对处理对象物进行等离子处理,
其包括以下步骤:
在未生成等离子体的状态下,对上述等离子处理装置的上述内部的上述气氛气体的温度进行测量的步骤;
在以预先设定的排气流量排出上述气氛气体时的上述测量的温度低于预先设定的第1阈值温度时,在上述等离子处理过程中,不向上述等离子处理装置的上述内部供给上述气体的步骤。
19.根据权利要求18所述的等离子处理方法,其特征在于,
上述空间部的气氛气体的温度在上述阈值温度以上的情况下,在供给了和排出了上述气体的状态下、供给了或排出了上述气体的状态下或既不供给也不排出上述气体的状态下,进行上述等离子处理。
20.根据权利要求18所述的等离子处理方法,其特征在于,
该等离子处理方法还包括:
在未生成等离子体的状态下,对上述等离子处理装置的上述内部中存在等离子体的部分以外的一部分的气氛气体的温度进行测量的步骤;
以上述预先设定的排气流量对上述内部的上述一部分的上述气氛气体进行排气时的上述一部分的上述气氛气体的上述测量的温度低于预先设定的第2阈值温度时,在上述等离子处理过程中,不向上述等离子处理装置的上述内部的上述一部分供给上述气体的步骤。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2009112319 | 2009-05-01 | ||
JP2009-112319 | 2009-05-01 | ||
JP2010-039446 | 2010-02-24 | ||
JP2010039446A JP5136574B2 (ja) | 2009-05-01 | 2010-02-24 | プラズマ処理装置及びプラズマ処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101877304A true CN101877304A (zh) | 2010-11-03 |
CN101877304B CN101877304B (zh) | 2014-06-25 |
Family
ID=43019828
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201010160866.0A Active CN101877304B (zh) | 2009-05-01 | 2010-04-29 | 等离子处理装置和等离子处理方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8683943B2 (zh) |
JP (1) | JP5136574B2 (zh) |
KR (1) | KR101579319B1 (zh) |
CN (1) | CN101877304B (zh) |
TW (1) | TWI446442B (zh) |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102776488A (zh) * | 2011-05-10 | 2012-11-14 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 化学气相沉积反应腔装置及具有其的化学气相沉积设备 |
CN103000552A (zh) * | 2011-09-12 | 2013-03-27 | 东京毅力科创株式会社 | 基板冷却机构、基板冷却方法和热处理装置 |
CN103103500A (zh) * | 2011-11-11 | 2013-05-15 | 中国科学院沈阳科学仪器研制中心有限公司 | 一种用于pecvd多点进气多区可调装置 |
CN104094677A (zh) * | 2012-02-17 | 2014-10-08 | 国立大学法人东北大学 | 等离子处理装置和等离子处理方法 |
CN104302084A (zh) * | 2013-07-17 | 2015-01-21 | 朗姆研究公司 | 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法 |
CN104862666A (zh) * | 2014-02-25 | 2015-08-26 | 上海理想万里晖薄膜设备有限公司 | 一种用于制备amoled的pecvd装置 |
CN104941957A (zh) * | 2014-03-24 | 2015-09-30 | 睿励科学仪器(上海)有限公司 | 晶圆清洁装置及方法 |
CN105870775A (zh) * | 2016-05-24 | 2016-08-17 | 中国人民解放军装备学院 | 一种用于激光锁频的一体化惰性气体频率基准装置 |
CN104715992B (zh) * | 2013-12-13 | 2018-02-09 | 中微半导体设备(上海)有限公司 | 一种等离子体处理腔室及其冷却装置 |
CN107680915A (zh) * | 2016-08-02 | 2018-02-09 | 北京北方华创微电子装备有限公司 | 等离子体源的冷却机构及半导体加工设备 |
CN107680896A (zh) * | 2016-08-01 | 2018-02-09 | 东京毅力科创株式会社 | 基板处理装置以及基板处理方法 |
CN109524289A (zh) * | 2017-09-20 | 2019-03-26 | 株式会社尤金科技 | 批量型等离子体衬底处理设备 |
Families Citing this family (389)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP4523661B1 (ja) * | 2009-03-10 | 2010-08-11 | 三井造船株式会社 | 原子層堆積装置及び薄膜形成方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5645718B2 (ja) * | 2011-03-07 | 2014-12-24 | 東京エレクトロン株式会社 | 熱処理装置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR101879175B1 (ko) * | 2011-10-20 | 2018-08-20 | 삼성전자주식회사 | 화학 기상 증착 장치 |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
JP5921168B2 (ja) * | 2011-11-29 | 2016-05-24 | 株式会社日立国際電気 | 基板処理装置 |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9279722B2 (en) | 2012-04-30 | 2016-03-08 | Agilent Technologies, Inc. | Optical emission system including dichroic beam combiner |
US9029253B2 (en) | 2012-05-02 | 2015-05-12 | Asm Ip Holding B.V. | Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US9840778B2 (en) | 2012-06-01 | 2017-12-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma chamber having an upper electrode having controllable valves and a method of using the same |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
TW201405655A (zh) * | 2012-07-27 | 2014-02-01 | Ingentec Corp | 具有多腔體之氣相蝕刻設備 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20140141619A1 (en) * | 2012-11-19 | 2014-05-22 | Tokyo Electron Limited | Capacitively coupled plasma equipment with uniform plasma density |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
JP6162980B2 (ja) * | 2013-03-01 | 2017-07-12 | 株式会社日立国際電気 | プラズマ処理装置及びプラズマ処理方法 |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9885493B2 (en) | 2013-07-17 | 2018-02-06 | Lam Research Corporation | Air cooled faraday shield and methods for using the same |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
WO2015145663A1 (ja) | 2014-03-27 | 2015-10-01 | 株式会社日立国際電気 | 半導体装置の製造方法および基板処理装置 |
JP6307984B2 (ja) * | 2014-03-31 | 2018-04-11 | 東京エレクトロン株式会社 | 基板処理装置 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6811732B2 (ja) * | 2015-06-17 | 2021-01-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 処理チャンバ中のガス制御 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
KR102678733B1 (ko) * | 2015-12-04 | 2024-06-26 | 어플라이드 머티어리얼스, 인코포레이티드 | Hdp-cvd 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들 |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
WO2017177398A1 (en) * | 2016-04-13 | 2017-10-19 | Applied Materials, Inc. | Apparatus for exhaust cooling |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP6999596B2 (ja) * | 2019-03-25 | 2022-01-18 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
WO2021044504A1 (ja) * | 2019-09-02 | 2021-03-11 | 株式会社Kokusai Electric | 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
WO2021181450A1 (ja) * | 2020-03-09 | 2021-09-16 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
JP7455013B2 (ja) * | 2020-07-10 | 2024-03-25 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
US20220064785A1 (en) * | 2020-09-02 | 2022-03-03 | Applied Materials, Inc. | Apparatus and methods for gas phase particle reduction |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20230048551A (ko) | 2020-09-29 | 2023-04-11 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
CN114836736A (zh) * | 2021-02-01 | 2022-08-02 | 江苏菲沃泰纳米科技股份有限公司 | 等离子体镀膜设备和镀膜方法 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08274067A (ja) * | 1995-03-30 | 1996-10-18 | Hitachi Ltd | プラズマ発生装置 |
US5804923A (en) * | 1995-06-15 | 1998-09-08 | Sumitomo Metal Industries Limited | Plasma processing apparatus having a protected microwave transmission window |
JP2005197523A (ja) * | 2004-01-08 | 2005-07-21 | Hitachi Kokusai Electric Inc | 基板処理装置 |
WO2006093136A1 (ja) * | 2005-03-01 | 2006-09-08 | Hitachi Kokusai Electric Inc. | 基板処理装置および半導体デバイスの製造方法 |
CN101042992A (zh) * | 2006-03-24 | 2007-09-26 | 东京毅力科创株式会社 | 半导体处理用的立式等离子体处理装置 |
CN101051606A (zh) * | 2006-04-05 | 2007-10-10 | 东京毅力科创株式会社 | 立式等离子体处理装置和半导体处理方法 |
Family Cites Families (59)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4858557A (en) * | 1984-07-19 | 1989-08-22 | L.P.E. Spa | Epitaxial reactors |
JPS6167769A (ja) * | 1984-09-07 | 1986-04-07 | Canon Inc | 成膜装置 |
JP2532239B2 (ja) * | 1987-04-06 | 1996-09-11 | セイコー電子工業株式会社 | マイクロ波プラズマcvd装置 |
US5062386A (en) * | 1987-07-27 | 1991-11-05 | Epitaxy Systems, Inc. | Induction heated pancake epitaxial reactor |
KR960012876B1 (ko) * | 1988-06-16 | 1996-09-25 | 도오교오 에레구토론 사가미 가부시끼가이샤 | 열처리 장치 |
US5160545A (en) * | 1989-02-03 | 1992-11-03 | Applied Materials, Inc. | Method and apparatus for epitaxial deposition |
US5128515A (en) * | 1990-05-21 | 1992-07-07 | Tokyo Electron Sagami Limited | Heating apparatus |
WO1992016671A1 (en) * | 1991-03-20 | 1992-10-01 | Canon Kabushiki Kaisha | Method and device for forming film by sputtering process |
KR0155572B1 (ko) * | 1991-05-28 | 1998-12-01 | 이노우에 아키라 | 감압처리 시스템 및 감압처리 방법 |
JPH0590214A (ja) * | 1991-09-30 | 1993-04-09 | Tokyo Ohka Kogyo Co Ltd | 同軸型プラズマ処理装置 |
KR100238626B1 (ko) * | 1992-07-28 | 2000-02-01 | 히가시 데쓰로 | 플라즈마 처리장치 |
JP2755876B2 (ja) * | 1992-07-30 | 1998-05-25 | 株式会社東芝 | 熱処理成膜装置 |
US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
JPH0786174A (ja) * | 1993-09-16 | 1995-03-31 | Tokyo Electron Ltd | 成膜装置 |
JP3247270B2 (ja) * | 1994-08-25 | 2002-01-15 | 東京エレクトロン株式会社 | 処理装置及びドライクリーニング方法 |
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
JP3424867B2 (ja) * | 1994-12-06 | 2003-07-07 | 富士通株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP3257328B2 (ja) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JP2748886B2 (ja) * | 1995-03-31 | 1998-05-13 | 日本電気株式会社 | プラズマ処理装置 |
JP3164200B2 (ja) * | 1995-06-15 | 2001-05-08 | 住友金属工業株式会社 | マイクロ波プラズマ処理装置 |
US6273955B1 (en) * | 1995-08-28 | 2001-08-14 | Canon Kabushiki Kaisha | Film forming apparatus |
JP3341965B2 (ja) * | 1995-10-19 | 2002-11-05 | 東京応化工業株式会社 | 縦型同軸プラズマ処理装置 |
TW506620U (en) * | 1996-03-15 | 2002-10-11 | Asahi Glass Co Ltd | Low pressure CVD apparatus |
JP3591977B2 (ja) * | 1996-03-18 | 2004-11-24 | キヤノン株式会社 | マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置 |
JPH09298162A (ja) * | 1996-04-30 | 1997-11-18 | Shinko Electric Co Ltd | 真空式半導体製造装置におけるヒータの冷却方法 |
US6367410B1 (en) * | 1996-12-16 | 2002-04-09 | Applied Materials, Inc. | Closed-loop dome thermal control apparatus for a semiconductor wafer processing system |
US6015465A (en) * | 1998-04-08 | 2000-01-18 | Applied Materials, Inc. | Temperature control system for semiconductor process chamber |
US6326597B1 (en) * | 1999-04-15 | 2001-12-04 | Applied Materials, Inc. | Temperature control system for process chamber |
JP4236329B2 (ja) * | 1999-04-15 | 2009-03-11 | 日本碍子株式会社 | プラズマ処理装置 |
JP4054159B2 (ja) * | 2000-03-08 | 2008-02-27 | 東京エレクトロン株式会社 | 基板処理方法及びその装置 |
US6598559B1 (en) * | 2000-03-24 | 2003-07-29 | Applied Materials, Inc. | Temperature controlled chamber |
US6863019B2 (en) * | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
US6738683B1 (en) * | 2000-09-05 | 2004-05-18 | Cxe Equipment Services, Llc | Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor |
JP2002168551A (ja) * | 2000-11-30 | 2002-06-14 | Tokyo Electron Ltd | 処理装置の電極用冷却装置 |
US20020160620A1 (en) * | 2001-02-26 | 2002-10-31 | Rudolf Wagner | Method for producing coated workpieces, uses and installation for the method |
KR100446619B1 (ko) * | 2001-12-14 | 2004-09-04 | 삼성전자주식회사 | 유도 결합 플라즈마 장치 |
US20030164143A1 (en) * | 2002-01-10 | 2003-09-04 | Hitachi Kokusai Electric Inc. | Batch-type remote plasma processing apparatus |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
JP2004006536A (ja) * | 2002-05-31 | 2004-01-08 | Ishikawajima Harima Heavy Ind Co Ltd | 薄膜製造方法及び装置 |
JP2004063663A (ja) * | 2002-07-26 | 2004-02-26 | Hitachi Kokusai Electric Inc | 半導体製造装置 |
US6727194B2 (en) * | 2002-08-02 | 2004-04-27 | Wafermasters, Inc. | Wafer batch processing system and method |
JP2004165377A (ja) * | 2002-11-12 | 2004-06-10 | Canon Inc | 表面改質方法 |
KR100771800B1 (ko) * | 2003-01-24 | 2007-10-30 | 도쿄 엘렉트론 가부시키가이샤 | 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법 |
CN100477105C (zh) * | 2003-03-04 | 2009-04-08 | 株式会社日立国际电气 | 衬底处理装置和器件的制造方法 |
US20050145341A1 (en) * | 2003-11-19 | 2005-07-07 | Masaki Suzuki | Plasma processing apparatus |
US20050211264A1 (en) * | 2004-03-25 | 2005-09-29 | Tokyo Electron Limited Of Tbs Broadcast Center | Method and processing system for plasma-enhanced cleaning of system components |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4344886B2 (ja) * | 2004-09-06 | 2009-10-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100876050B1 (ko) * | 2004-12-28 | 2008-12-26 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 |
US20080164144A1 (en) * | 2005-03-07 | 2008-07-10 | Katsushi Kishimoto | Plasma Processing Apparatus And Method Of Producing Semiconductor Thin Film Using The Same |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP2007067119A (ja) * | 2005-08-30 | 2007-03-15 | Elpida Memory Inc | 半導体製造装置 |
US20070240644A1 (en) | 2006-03-24 | 2007-10-18 | Hiroyuki Matsuura | Vertical plasma processing apparatus for semiconductor process |
JP4844261B2 (ja) * | 2006-06-29 | 2011-12-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置並びに記憶媒体 |
JP5157100B2 (ja) * | 2006-08-04 | 2013-03-06 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US7993457B1 (en) * | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
JP2009026779A (ja) * | 2007-07-17 | 2009-02-05 | Hitachi High-Technologies Corp | 真空処理装置 |
JP5401286B2 (ja) * | 2009-12-04 | 2014-01-29 | 株式会社日立ハイテクノロジーズ | 試料台の温度制御機能を備えた真空処理装置及びプラズマ処理装置 |
-
2010
- 2010-02-24 JP JP2010039446A patent/JP5136574B2/ja active Active
- 2010-04-28 US US12/768,799 patent/US8683943B2/en active Active
- 2010-04-29 CN CN201010160866.0A patent/CN101877304B/zh active Active
- 2010-04-30 KR KR1020100040640A patent/KR101579319B1/ko active IP Right Grant
- 2010-04-30 TW TW099113780A patent/TWI446442B/zh active
-
2014
- 2014-02-10 US US14/176,237 patent/US9447926B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08274067A (ja) * | 1995-03-30 | 1996-10-18 | Hitachi Ltd | プラズマ発生装置 |
US5804923A (en) * | 1995-06-15 | 1998-09-08 | Sumitomo Metal Industries Limited | Plasma processing apparatus having a protected microwave transmission window |
JP2005197523A (ja) * | 2004-01-08 | 2005-07-21 | Hitachi Kokusai Electric Inc | 基板処理装置 |
WO2006093136A1 (ja) * | 2005-03-01 | 2006-09-08 | Hitachi Kokusai Electric Inc. | 基板処理装置および半導体デバイスの製造方法 |
CN101042992A (zh) * | 2006-03-24 | 2007-09-26 | 东京毅力科创株式会社 | 半导体处理用的立式等离子体处理装置 |
CN101051606A (zh) * | 2006-04-05 | 2007-10-10 | 东京毅力科创株式会社 | 立式等离子体处理装置和半导体处理方法 |
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102776488B (zh) * | 2011-05-10 | 2014-08-27 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 化学气相沉积反应腔装置及具有其的化学气相沉积设备 |
CN102776488A (zh) * | 2011-05-10 | 2012-11-14 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 化学气相沉积反应腔装置及具有其的化学气相沉积设备 |
CN103000552A (zh) * | 2011-09-12 | 2013-03-27 | 东京毅力科创株式会社 | 基板冷却机构、基板冷却方法和热处理装置 |
CN103103500B (zh) * | 2011-11-11 | 2015-05-13 | 中国科学院沈阳科学仪器研制中心有限公司 | 一种用于pecvd多点进气多区可调装置 |
CN103103500A (zh) * | 2011-11-11 | 2013-05-15 | 中国科学院沈阳科学仪器研制中心有限公司 | 一种用于pecvd多点进气多区可调装置 |
CN104094677A (zh) * | 2012-02-17 | 2014-10-08 | 国立大学法人东北大学 | 等离子处理装置和等离子处理方法 |
CN104302084B (zh) * | 2013-07-17 | 2017-04-12 | 朗姆研究公司 | 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法 |
CN104302084A (zh) * | 2013-07-17 | 2015-01-21 | 朗姆研究公司 | 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法 |
CN104715992B (zh) * | 2013-12-13 | 2018-02-09 | 中微半导体设备(上海)有限公司 | 一种等离子体处理腔室及其冷却装置 |
CN104862666A (zh) * | 2014-02-25 | 2015-08-26 | 上海理想万里晖薄膜设备有限公司 | 一种用于制备amoled的pecvd装置 |
CN104941957A (zh) * | 2014-03-24 | 2015-09-30 | 睿励科学仪器(上海)有限公司 | 晶圆清洁装置及方法 |
CN104941957B (zh) * | 2014-03-24 | 2018-01-12 | 睿励科学仪器(上海)有限公司 | 晶圆清洁装置及方法 |
CN105870775A (zh) * | 2016-05-24 | 2016-08-17 | 中国人民解放军装备学院 | 一种用于激光锁频的一体化惰性气体频率基准装置 |
CN107680896B (zh) * | 2016-08-01 | 2020-01-21 | 东京毅力科创株式会社 | 基板处理装置以及基板处理方法 |
CN107680896A (zh) * | 2016-08-01 | 2018-02-09 | 东京毅力科创株式会社 | 基板处理装置以及基板处理方法 |
CN107680915A (zh) * | 2016-08-02 | 2018-02-09 | 北京北方华创微电子装备有限公司 | 等离子体源的冷却机构及半导体加工设备 |
CN107680915B (zh) * | 2016-08-02 | 2020-11-10 | 北京北方华创微电子装备有限公司 | 等离子体源的冷却机构及半导体加工设备 |
US10998171B2 (en) | 2016-08-02 | 2021-05-04 | Beijing Naura Microelectronics Equipment Co., Ltd. | Plasma source and semiconductor processing apparatus |
CN109524289A (zh) * | 2017-09-20 | 2019-03-26 | 株式会社尤金科技 | 批量型等离子体衬底处理设备 |
CN109524289B (zh) * | 2017-09-20 | 2021-03-23 | 株式会社尤金科技 | 批量型等离子体衬底处理设备 |
Also Published As
Publication number | Publication date |
---|---|
KR101579319B1 (ko) | 2015-12-21 |
US20140150882A1 (en) | 2014-06-05 |
CN101877304B (zh) | 2014-06-25 |
TW201126603A (en) | 2011-08-01 |
KR20100119726A (ko) | 2010-11-10 |
US9447926B2 (en) | 2016-09-20 |
US8683943B2 (en) | 2014-04-01 |
TWI446442B (zh) | 2014-07-21 |
JP5136574B2 (ja) | 2013-02-06 |
JP2010283331A (ja) | 2010-12-16 |
US20100278999A1 (en) | 2010-11-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101877304A (zh) | 等离子处理装置和等离子处理方法 | |
TWI443714B (zh) | 成膜裝置及使用其之方法 | |
JP4929811B2 (ja) | プラズマ処理装置 | |
JP5720406B2 (ja) | ガス供給装置、熱処理装置、ガス供給方法及び熱処理方法 | |
JP4857849B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
TWI433610B (zh) | 電漿處理設備 | |
TWI554640B (zh) | A substrate processing apparatus, a manufacturing method and a program for a semiconductor device | |
JP2015183271A (ja) | 基板処理装置及び半導体装置の製造方法 | |
JP2003197615A (ja) | プラズマ処理装置およびそのクリーニング方法 | |
KR101669752B1 (ko) | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 | |
TWI693631B (zh) | 基板處理裝置 | |
JP6167673B2 (ja) | 成膜装置、成膜方法及び記憶媒体 | |
KR20070096875A (ko) | 반도체 처리용 종형 플라즈마 처리 장치 | |
TW202230471A (zh) | 熱均勻的沉積站 | |
KR102003585B1 (ko) | 기판 보유 지지구 및 기판 처리 장치 | |
WO2005004219A1 (ja) | 減圧処理装置及び減圧処理方法並びに圧力調整バルブ | |
JP4185117B2 (ja) | プラズマ処理装置およびそのクリーニング方法 | |
JP4754609B2 (ja) | 処理装置およびそのクリーニング方法 | |
JP2006253733A (ja) | プラズマ処理装置およびそのクリーニング方法 | |
JP2022075394A (ja) | 基板処理方法および基板処理装置 | |
JP4483040B2 (ja) | 熱処理装置 | |
KR20190112149A (ko) | 프로세싱 챔버들을 위한 가스 분배 장치 | |
TW202336834A (zh) | 由ccp電漿或rps清潔來清潔sin | |
JP4436098B2 (ja) | 半導体製造装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |