TWI587391B - 用於溝塡之保形膜沉積 - Google Patents
用於溝塡之保形膜沉積 Download PDFInfo
- Publication number
- TWI587391B TWI587391B TW102140529A TW102140529A TWI587391B TW I587391 B TWI587391 B TW I587391B TW 102140529 A TW102140529 A TW 102140529A TW 102140529 A TW102140529 A TW 102140529A TW I587391 B TWI587391 B TW I587391B
- Authority
- TW
- Taiwan
- Prior art keywords
- reactant
- oxide layer
- dielectric oxide
- substrate
- plasma
- Prior art date
Links
- 230000008021 deposition Effects 0.000 title claims description 44
- 238000000034 method Methods 0.000 claims description 197
- 239000000376 reactant Substances 0.000 claims description 165
- 239000000758 substrate Substances 0.000 claims description 114
- 238000000151 deposition Methods 0.000 claims description 92
- 238000006243 chemical reaction Methods 0.000 claims description 73
- 238000005530 etching Methods 0.000 claims description 44
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 24
- 229910052731 fluorine Inorganic materials 0.000 claims description 23
- 239000011737 fluorine Substances 0.000 claims description 23
- 238000001020 plasma etching Methods 0.000 claims description 17
- 239000001257 hydrogen Substances 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 14
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 12
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 12
- 238000011049 filling Methods 0.000 claims description 11
- 239000012808 vapor phase Substances 0.000 claims description 11
- 150000002431 hydrogen Chemical class 0.000 claims description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 6
- 230000003213 activating effect Effects 0.000 claims description 3
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 2
- 230000008569 process Effects 0.000 description 165
- 239000010410 layer Substances 0.000 description 72
- 239000007789 gas Substances 0.000 description 67
- 239000012071 phase Substances 0.000 description 46
- 238000012545 processing Methods 0.000 description 38
- 235000012431 wafers Nutrition 0.000 description 25
- 238000001994 activation Methods 0.000 description 22
- 230000004913 activation Effects 0.000 description 22
- 150000003254 radicals Chemical class 0.000 description 20
- 239000007788 liquid Substances 0.000 description 19
- 238000000231 atomic layer deposition Methods 0.000 description 18
- 239000000463 material Substances 0.000 description 14
- 238000010586 diagram Methods 0.000 description 13
- 229910052707 ruthenium Inorganic materials 0.000 description 12
- 230000008016 vaporization Effects 0.000 description 12
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 11
- 238000009834 vaporization Methods 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 10
- 239000011261 inert gas Substances 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 239000002243 precursor Substances 0.000 description 10
- 238000002156 mixing Methods 0.000 description 9
- 238000010926 purge Methods 0.000 description 9
- 230000005855 radiation Effects 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 239000000203 mixture Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000001179 sorption measurement Methods 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 7
- 238000011065 in-situ storage Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000010408 sweeping Methods 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 6
- 239000001307 helium Substances 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- 238000011282 treatment Methods 0.000 description 6
- 206010073306 Exposure to radiation Diseases 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 239000000945 filler Substances 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 238000000678 plasma activation Methods 0.000 description 5
- 239000011148 porous material Substances 0.000 description 5
- 238000006557 surface reaction Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 4
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000011112 process operation Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000010574 gas phase reaction Methods 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- -1 ruthenium nitride Chemical class 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- MSMBMPVUCWOJPY-UHFFFAOYSA-N 1-N,1-N'-ditert-butyldecane-1,1-diamine Chemical compound C(C)(C)(C)NC(NC(C)(C)C)CCCCCCCCC MSMBMPVUCWOJPY-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 239000013529 heat transfer fluid Substances 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 239000003446 ligand Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 238000000992 sputter etching Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- WYDCLGLWAMNKTO-UHFFFAOYSA-N 1-N,1-N,1-N',1-N',1-N",1-N"-hexamethyldecane-1,1,1-triamine Chemical compound CN(C)C(CCCCCCCCC)(N(C)C)N(C)C WYDCLGLWAMNKTO-UHFFFAOYSA-N 0.000 description 1
- BLIQUJLAJXRXSG-UHFFFAOYSA-N 1-benzyl-3-(trifluoromethyl)pyrrolidin-1-ium-3-carboxylate Chemical compound C1C(C(=O)O)(C(F)(F)F)CCN1CC1=CC=CC=C1 BLIQUJLAJXRXSG-UHFFFAOYSA-N 0.000 description 1
- ZTEHOZMYMCEYRM-UHFFFAOYSA-N 1-chlorodecane Chemical compound CCCCCCCCCCCl ZTEHOZMYMCEYRM-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- MHZGKXUYDGKKIU-UHFFFAOYSA-N Decylamine Chemical compound CCCCCCCCCCN MHZGKXUYDGKKIU-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 239000002156 adsorbate Substances 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical class CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000004993 emission spectroscopy Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 239000003574 free electron Substances 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical class FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 230000026676 system process Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical group FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 238000007725 thermal activation Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- High Energy & Nuclear Physics (AREA)
- Toxicology (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Description
本申請案主張申請於西元2012年11月8日的美國專利暫時申請案第61/724,186號的優先權,該美國專利暫時申請案的發明名稱為“CONFORMAL FILM DEPOSITION FOR GAPFILL”,其全部內容藉由參照全體納入作為本案揭示內容的一部分。
本揭露內容大體而言係關於在例如高深寬比間隙的侷限幾何結構中形成保形介電薄膜。更具體而言,此揭露內容係關於用於間隙填充之高度保形介電薄膜的保形膜沉積(CFD)。
介電膜層係用於次微米積體電路(IC)製造中的一些應用。若干應用包含淺溝渠隔離(STI,shallow trench isolation)、前金屬介電質(PMD,pre-metal dielectric)、金屬間介電質(IMD,inter-metal dielectric)、及層間介電質(ILD,interlayer dielectric)。所有這些層需要二氧化矽膜,其填充各種尺寸的特徵部且具有在整個晶圓上均勻的膜厚度。
化學汽相沉積法(CVD,chemical vapor deposition)傳統上作為沉積保形二氧化矽膜所選擇的方法。然而,隨著設計法則持續縮小,特徵部的深寬比(深對寬)增加,而傳統的CVD技術不再能在這些高深寬比特徵部之中提供適當的保形膜。此外,若干用於高深寬比特徵部的間隙填充技術可使用旋塗介電質及/或流動性氧化物以沉積二氧化矽膜,但此等膜可能不具有足夠的品質和密度。
保形沉積氧化物膜可作為CVD的替代,且在高深寬比間隙中沉積高品質的膜,其具有遠高於旋塗介電質及流動性氧化物的密度。保形沉積技術的例子包含原子層沉積(ALD,atomic layer deposition)。雖然
ALD可用於形成保形氧化物膜,習知的ALD製程易於造成在膜中形成低密度區域。ALD製程的保形本質意謂間隙的深寬比隨著連續的循環增加。擴散限制防礙先質材料到達這些高深寬比間隙的底部。因此,間隙的頂部可能較底部更快地被矽氧化物填滿,阻止先質材料進一步擴散進該間隙。結果,低密度的區域形成。這些區域可擴張,使得孔隙和接縫可能形成在高深寬比間隙的中間。孔隙和接縫可能導致高電阻、汙染、填充材料的損失、及其他方式的IC效能降低。孔隙和接縫最終可能造成元件故障。
提供一種方法,在一基板之中的高深寬比間隙之中保形沉積介電氧化物。該方法包含:將具有一個以上間隙的一基板提供進入一反應腔室,其中各間隙具有大於約5:1的深對寬的深寬比;藉由保形膜沉積(CFD)在該一個以上間隙中沉積一第一介電氧化物層;利用電漿蝕刻,蝕刻該第一介電氧化物層的一部分,其中蝕刻該第一介電氧化物層的該部分,在各間隙頂部表面附近的速率較底部表面附近的速率快,使得該第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓;及藉由CFD在該第一介電氧化物層上方於該一個以上間隙之中沉積一第二介電氧化物層。
在若干實施例中,該方法可更包含重複該沉積、蝕刻、及沉積步驟以實質上填滿該一個以上間隙。在若干實施例中,沉積該第二介電氧化物層的步驟可實質上填滿該一個以上間隙。在若干實施例中,該方法可更包含:將一含氟物種流入一遠端電漿以產生一電漿活化蝕刻劑;及將該電漿活化蝕刻劑流入該反應腔室,以與該第一介電氧化物層反應而執行蝕刻。該含氟物種可包含三氟化氮(NF3)。在若干實施例中,該方法可包含當蝕刻該第一介電氧化物層的該部分之時,將氫(H2)流入該反應腔室。氫對該含氟物種的流率比例可介於約1:1和約5:1之間。在若干實施例中,該第一介電氧化物層和該第二介電氧化物層每一者包含二氧化矽(SiO2)。在若干實施例中,藉由CFD在該一個以上間隙中沉積該第一介電氧化物層的步驟可包含:在使一第一反應物能夠吸附至基板表面之上的條
件下,將汽相的該第一反應物導入該反應腔室;當該第一反應物係吸附於該基板表面上之時,將汽相的一第二反應物導入該反應腔室,其中在未將該第一反應物清掃出該反應腔室的情況下將該第二反應物導入;及將該基板表面暴露於電漿,以驅動該基板表面上的該第一反應物和該第二反應物之間的反應,以形成該第一介電氧化物層。
提供一種方法,在一基板中蝕刻保形沉積的介電材料層。該方法包含:將一基板提供進入一反應腔室,其中該基板包含以一保形介電材料層襯裡的一間隙;及將在該反應腔室中的該基板暴露於產生自一製程氣體的電漿,該製程氣體包含一含氟物種和氫,其中該氫對該含氟物種的流率比例係介於約1:1和約5:1之間。
在若干實施例中,將在該反應腔室中的該基板暴露於電漿的步驟包含非保形蝕刻該保形介電材料層。
提供一種設備,用於在一基板中於高深寬比間隙之中保形沉積介電氧化物。該設備包含一反應腔室及連接至該反應腔室的一電漿源。該設備亦包含一控制器,包含執行以下操作的指令:將一基板提供進入該反應腔室,其中該基板包含一個以上間隙,各間隙具有大於約5:1的深對寬的深寬比;藉由保形膜沉積(CFD)在該一個以上間隙中沉積一第一介電氧化物層;利用電漿蝕刻,蝕刻該第一介電氧化物層的一部分,其中蝕刻該第一介電氧化物層的該部分,在各間隙頂部表面附近的速率較底部表面附近的速率快,使得該第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓;及藉由CFD在該第一介電氧化物層上方於該一個以上間隙之中沉積一第二介電氧化物層。
在若干實施例中,該控制器可更包含指令,用以重複該沉積、蝕刻、及沉積步驟,以實質上填滿該一個以上間隙。在若干實施例中,包含沉積該第二介電氧化物層之指令的該控制器,包含實質上填滿該一個以上間隙的指令。在若干實施例中,該控制器可更包含指令,用於在蝕刻該第一介電氧化物層的該部分之時將氫(H2)流入該反應腔室。
100‧‧‧製程
110A、110B‧‧‧沉積循環
120A‧‧‧反應物A暴露階段
120B‧‧‧反應物A暴露階段
130‧‧‧反應物A飽和後暴露時間
140A‧‧‧反應物B暴露階段
140B‧‧‧反應物B暴露階段
150‧‧‧反應物B飽和後暴露時間
160A‧‧‧清掃階段
160B‧‧‧清掃階段
180A‧‧‧活化階段
180B‧‧‧活化階段
190‧‧‧飽和後暴露時間
201‧‧‧結構
202‧‧‧基板
203‧‧‧介電膜
205‧‧‧溝渠寬度
207‧‧‧孔隙
800‧‧‧CFD製程站
801‧‧‧反應物輸送系統
802‧‧‧製程腔體
803‧‧‧汽化點
804‧‧‧混合容器
806‧‧‧噴淋頭
807‧‧‧微容積
808‧‧‧支座
810‧‧‧加熱器
812‧‧‧基板
814‧‧‧RF電源供應器
816‧‧‧匹配網路
818‧‧‧蝶形閥
820‧‧‧混合容器入口閥
901‧‧‧反應器
903‧‧‧腔室
905‧‧‧RF線圈
907‧‧‧RF線圈
909‧‧‧晶圓支座
911‧‧‧基板
913‧‧‧線路
915‧‧‧來源
917‧‧‧入口
921‧‧‧主氣環
922‧‧‧出口
1000‧‧‧處理機台
1002‧‧‧入站負載鎖室
1004‧‧‧出站負載鎖室
1006‧‧‧機器人
1008‧‧‧晶圓盒
1010‧‧‧大氣埠
1012‧‧‧支座
1014‧‧‧處理腔室
1016‧‧‧腔室搬運埠
1018‧‧‧支座
1050‧‧‧系統控制器
1052‧‧‧處理器
1054‧‧‧大量儲存裝置
1056‧‧‧記憶體裝置
1058‧‧‧系統控制軟體
1090‧‧‧晶圓搬運系統
圖1顯示在保形膜沉積(CFD)製程之中例示階段的時間進程。
圖2A-2C係示意剖面圖,描述在藉由習知的保形膜沉積技術沉積保形膜於一間隙中期間的接縫/孔隙形成。
圖3顯示一例示流程圖,描述將高深寬比間隙填充以CFD氧化物的方法。
圖4A-4D係示意剖面圖,描述將高深寬比間隙填充以CFD氧化物的製程的不同階段。
圖5顯示一例示流程圖,描述在一基板中於高深寬比間隙中保形沉積介電氧化物的方法。
圖6A-6D係CFD氧化物的剖面影像,其具有根據不同H2/NF3流率比例的錐形邊緣。
圖7A係沒有在二個沉積步驟之間的蝕刻之情況下CFD氧化物間隙填充的剖面影像。
圖7B係具有二沉積步驟之間非保形蝕刻步驟的情況下CFD氧化物間隙填充的剖面影像。
圖8描述一例示CFD製程站。
圖9描述一例示HDP處理站。
圖10顯示一多站處理機台的示意圖。
在以下的說明中,描述許多特定的細節,以提供所揭露構想的完整理解。所揭露構想可在沒有一些或全部這些特定細節的情況下加以實施。另一方面,眾所周知的製程操作不再詳細描述,以免不必要地混淆所述構想。雖然若干構想將結合具體實施例加以描述,應理解這些實施例係非限定性的。
本揭露內容提供用於間隙填充之保形膜形成方法。該等方法結合保形膜沉積技術與非保形蝕刻技術,以消除孔隙和接縫。
在若干實施例中,使用保形膜沉積(CFD,conformal film deposition)來沉積氧化物膜。使用CFD形成膜的方法,係描述於美國專利申請案第13/084,399號,申請於西元2011年4月11日,其全部內容藉由參照全體納入作為本案揭示內容的一部分。為了上下文,提供CFD的簡短說明。
半導體元件的製造典型上包含在一集成製造過程中於一非平面基板上沉積一層以上薄膜。在集成製程的若干實施態樣中,沉積保形薄膜可能係有益的。保形膜可使用原子層沉積(ALD)加以沉積於一非平面基板之上。與CVD製程對照,在CVD製程中使用熱活化氣相反應以將膜沉積,而ALD製程使用表面介導沉積反應逐層地將膜沉積。在一個例示ALD製程中,將包含表面活性部位群體的基板表面,暴露於第一反應物(A)的氣相分佈。反應物A的若干分子可在基板表面上形成凝相,包含反應物A的物理吸附分子和化學吸附物種。接著將該反應器排空,以移除氣相和物理吸附反應物A,使得僅有化學吸附物種留存。然後將第二膜反應物(B)導入該反應器,俾使若干反應物B的分子吸附至基板表面。提供至基板的熱能將反應物A和B的經吸附分子之間的表面反應活化,形成一膜層。最終,將反應器排空,以移除反應副產物和未反應的反應物B,結束此ALD循環。可包含額外的ALD循環以增進膜厚度。可使用電漿或其他能量方法與加熱結合或作為加熱基板的替代手段,以驅動反應物A和B之間的反應。
取決於反應物用劑步驟的暴露時間和反應物的黏附係數,在一個範例中,各個ALD循環可沉積二分之一到三埃厚的膜層。因此,當沉積超過數奈米厚的膜之時,ALD製程可能是耗時的。此外,若干反應物可能有長暴露時間以沉積保形膜,這亦可降低晶圓生產率。
於是,此處所述各種實施例包含CFD,以沉積用於間隙填充的氧化物膜。一般而言,CFD不需要在形成氧化物間隙填充物的反應之前完全沖洗一個以上反應物。舉例來說,當點燃電漿(或其他活化能量)時,可能有一個以上反應物以汽相存在。相應地,在ALD製程中所述的一個以上製程步驟,在例示CFD製程中可加以縮短或排除。此外,在若干實施例中,沉積反應的電漿活化可造成較熱活化反應為低的沉積溫度,這潛
在地降低集成製程的熱預算。
圖1顯示各種製程參數(例如惰性氣體流、反應物A、反應物B、及電漿點燃時間)在CFD製程100之中例示階段的時間進程。在圖1中,顯示二個沉積循環110A和110B。所屬技術領域具有通常知識者將了解,任何適合數量的沉積循環可包含於一CFD製程以沉積所欲的膜厚度。例示CFD製程參數包含但不僅限於惰性及反應物物種的流率、電漿功率和頻率、基板溫度、及製程站壓力。
CFD「循環」的構想係相關於此處各種實施例的探討。一般而言,一個循環係執行一表面沉積反應一次所需的最小操作集。一個循環的結果,係在一基板表面上產生至少一局部膜層。典型上,一個CFD循環將僅包含將各反應物輸送及吸附至基板表面且接著使那些經吸附的反應物反應以形成局部膜層所需的那些步驟。當然,此循環可包含若干輔助步驟,例如清掃反應物或副產物其中一者和/或處理初沉積(as deposited)的局部膜。一般而言,一個循環僅含有一獨特操作順序。例如,一個循環可包含以下操作:(i)輸送/吸附反應物A;(ii)輸送/吸附反應物B;(iii)將B清掃出反應腔室;及(iv)施加電漿以驅動A和B的表面反應以在表面上形成局部膜層。
參照圖1,在製程100的所有階段期間將惰性氣體流入。在反應物A暴露階段120A,將反應物A以受控的流率供給至一製程站,以飽和基板的暴露表面。反應物A可為任何適合的沉積反應物,例如含氮或含氧反應物。在圖1所顯示的實施例中,在整個沉積循環110A和110B之中反應物A持續流入。不同於典型的ALD製程(其中將膜先質(反應物)暴露加以分隔以防止氣相反應),在CFD製程的若干實施例中可容許反應物A和B以氣相混合。在ALD製程中,反應物A係首先接入、接著加以穩定且暴露至基板、接著關閉、且最終自反應器加以移除,與ALD製程相比,持續供應反應物A至製程站可減少或消除反應物A流率接入和穩定時間。雖然圖1顯示的實施例將反應物A暴露階段120A描述成具有固定的流率,吾人將了解在本揭露內容的範圍之內可使用任何適合的反應物A流量,包含可變的流量。在若干實施例中,反應物A暴露階段120A可具有
超過反應物A的基板表面飽和時間的持續時間。舉例來說,圖1的實施例包含在反應物A暴露階段120A之中的反應物A飽和後暴露時間130。選擇性地,反應物A暴露階段120A可包含受控流率的惰性氣體。例示惰性氣體包含但不僅限於氮、氬、及氦。可提供惰性氣體,以協助製程站的壓力和/或溫度控制、液體反應物的蒸發、更迅速的反應物輸送、及/或作為清掃氣體以自製程站及/或製程站管路系統移除製程氣體。
在圖1所顯示的實施例的反應物B暴露階段140A之中,將反應物B以受控流率供應至製程站以飽和暴露的基板表面。在一例示的二氧化矽膜中,反應物B可為含矽反應物,例如雙(叔丁基氨基)矽烷(BTBAS)。雖然圖1的實施例將反應物B暴露階段140A描述成具有固定的流率,吾人將了解,在本揭露內容的範圍內可使用任何適合的反應物B的流量,包含可變的流量。此外,吾人將了解反應物B暴露階段140A可具有任何適合的持續時間。在若干實施例中,反應物B暴露階段140A可具有超過反應物B之基板表面飽和時間的持續時間。舉例來說,圖1所顯示實施例描述包含於反應物B暴露階段140A的一反應物B飽和後暴露時間150。
在若干實施例中,表面吸附的B物種可存在成基板表面上不連續的島狀區,使得難以達到反應物B的表面飽和。各種表面條件可延遲在基板表面上反應物B的成核和飽和。舉例來說,吸附反應物A和/或B所釋放的配體,可能阻礙若干表面活性部位,防止進一步吸附反應物B。因此,在若干實施例中,可藉由在反應物B暴露階段140A期間調節反應物B的流量及/或不連續地脈衝輸送反應物B進入製程站,提供連續的反應物B吸附層。這可提供表面吸附和去吸附處理的額外時間,且與固定流量情況相較節省反應物B。額外地或替代地,在若干實施例中,可在連續的反應物B的暴露之間包含一個以上清掃階段。
在電漿活化之前,在若干實施例中,在清掃階段160A之中可將氣相反應物B自製程站移除。清掃製程站可避免其中反應物B對電漿活化不穩定或其中可能形成不期望物種的氣相反應。此外,清掃製程站可移除可能以其他方式留存和汙染該膜的表面吸附配體。例示清掃氣體可包
含但不僅限於氬、氦、及氮。在圖1所示實施例中,清掃階段160A的清掃氣體係藉由持續的惰性氣體流加以供應。在若干實施例中,清掃階段160A可包含用於排空製程站的一個以上排空子階段。或者是,吾人將了解在若干實施例中可將清掃階段160A省略。
清掃階段160A可具有任何適合的持續時間。在若干實施例中,增加一個以上清掃氣體的流率可減少清掃階段160A的持續時間。舉例來說,可根據反應物熱力學特性、及/或製程站和/或製程站管路系統的幾何特性,調整清掃氣體流率,以修改清掃階段160A的持續時間。在一個非限定範例中,清掃階段的持續時間可藉由調整清掃氣體流率而最佳化。此舉可降低沉積循環時間,這可改善基板生產率。
在圖1顯示實施例的活化階段180A之中,提供能量以活化基板吸附反應物A和B之間的表面反應。舉例來說,電漿可直接或間接地活化反應物A的氣相分子以形成反應物A自由基。這些自由基可接著與基板吸附反應物B交互作用,產生膜形成表面反應。在另一範例中,紫外光(UV)輻射可直接或間接地活化反應物A的氣相分子以形成反應物A自由基,其可接著與表面吸附反應物B交互作用。
根據各種實施例,活化階段180A可包含直接(原位)電漿、遠端電漿、UV輻射曝光、可見光輻射曝光及微波輻射曝光其中一者以上。活化階段180A結束沉積循環110A,其在圖1的實施例中跟隨有沉積循環110B,沉積循環110B以反應物A暴露階段120B開始,且接著有反應物B暴露階段140B、清掃階段160B、及電漿活化階段180B。
在若干實施例中,在活化階段180A中形成的電漿可直接形成於基板表面上方。這可提供更大的電漿密度和增進反應物A和B之間的表面反應速率。例如,CFD製程的電漿可藉由利用二片電容耦合板施加射頻(RF)場於低壓氣體而加以產生。可使用任何適合的氣體形成電漿。在此實例中,例如氬或氦的惰性氣體可與反應物A一起使用以形成電漿,其中反應物A係例如含氮或含氧反應物。藉由RF場在該等板之間氣體的離子化將電漿點燃,產生在電漿放電區域中的自由電子。這些電子藉由該RF
場加速且可與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可形成參與沉積製程的自由基物種。吾人將了解,RF場可透過任何適合的電極耦合。電極的非限定例子包含製程氣體分配噴淋頭和基板支持支座。吾人將了解,可藉由與將RF場電容耦合至氣體不同的一個以上適合方法,形成CFD製程的電漿。
在若干實施例中,在活化階段180A之中所形成的電漿可藉由一遠端電漿源加以形成。在若干實施例中,來自遠端電漿源的活化物種可進入容納基板的腔室且與反應物交互作用。在若干實施例中,這些活化物種包含離子、電子、自由基及高能分子。在若干實施例中,由於進入腔室之前的再結合,進入該腔室的經活化物種,包含自由基而實質上無離子及/或電子。在若干實施例中可使用離子過濾器。可饋入遠端電漿源而提供經活化物種的氣體例子,包含氬、氦、氨、氫及氧。
在若干實施例中,活化階段180A可包含暴露於來自UV光源的輻射。可使用任何適當的UV光源,包含寬頻和窄頻UV光源。舉例來說,參與沉積製程的自由基物種可藉由暴露至UV輻射而加以形成。在若干實施例中,UV光源可發射選用以激發一個以上反應物或活化一反應的一個以上波長的光線。在若干實施例中,UV暴露可於一反應物係在反應腔室之中時發生。舉例來說,UV光源可安裝於腔室之內或之外。UV輻射可通過一窗而到達反應物。在若干其他實施例中,UV曝光可發生於一反應物進入一腔室之前。舉例來說,一反應物可在進入一腔室前暴露於UV輻射,而以自由基及/或其他活化物種進入該腔室。在這些實施例中,活化階段180A可與反應物暴露階段同時或部分重疊。
在若干實施例中,活化階段180A可包含暴露於來自可見光源的輻射。舉例來說,可形成參與沉積製程的自由基物種。在若干實施例中,可見光源可發射選用以激發一個以上反應物或活化一反應的一個以上波長的光線。在若干實施例中,可見光暴露可於一反應物係在反應腔室之中時發生。舉例來說,一光源可安裝於腔室之內或之外。可見光可通過一窗而到達反應物。在若干其他實施例中,激發反應物的可見光曝光可發生於一反應物進入一腔室之前。舉例來說,一反應物可在進入一腔室前暴露
於輻射,而以自由基及/或其他活化物種進入該腔室。在這些實施例中,活化階段180A可與反應物暴露階段同時或部分重疊。
在若干實施例中,活化階段180A可包含暴露於微波輻射。舉例來說,可形成參與沉積製程的自由基物種。在若干實施例中,微波源可發射選用以激發一個以上反應物或活化一反應的一個以上頻率的光線。在若干實施例中,微波暴露可於一反應物係在反應腔室之中時發生。舉例來說,微波源可安裝於腔室之內或之外。微波可通過一窗而到達反應物。在若干其他實施例中,激發反應物的微波曝光可發生於一反應物進入一腔室之前。舉例來說,一反應物可在進入一腔室前暴露於輻射,而以自由基及/或其他活化物種進入該腔室。在這些實施例中,活化階段180A可與反應物暴露階段同時或部分重疊。
在若干實施例中,活化階段180A可包含上述活化模式其中一者以上。活化階段180A可具有任何適合的持續時間。在若干實施例中,活化階段180A可具有一持續時間以在基板表面上形成連續的膜,其中該持續時間超過經活化的自由基與全部暴露基板表面和吸附質互相作用的時間。舉例來說,圖1所示實施例包含在活化階段180A之中的飽和後暴露時間190。
在若干實施例中,延長活化能量暴露時間及/或提供複數暴露階段,可提供所沉積膜的主體及/或近表面部分的反應後處理。在一個實施例中,減少表面汙染可製備反應物A吸附的表面。舉例來說,形成自含矽反應物和含氮反應物之反應的矽氮化物膜,可具有可抗拒後續反應物吸附的表面。利用電漿或其他活化能量處理矽氮化物表面,可產生氫鍵結以促進後續的吸附和反應活動。除了電漿處理之外,此等處理包含電磁輻射處理、熱處理(例如退火或高溫脈衝)等等。這些處理其中任一者可單獨執行,或與包含電漿處理的另一處理結合而執行。在一具體實施例中,此處理包含將膜暴露於UV輻射。如以下所描述,在一具體實施例中,此方法包含原位(即在形成膜期間)施加UV輻射至膜或後沉積該膜。此處理用以降低或消除缺陷結構及提供改善的電效能。
在若干具體實施例中,UV處理可與電漿處理聯結。此二操
作可同時地或相繼地執行。在相繼的選項中,任一操作可首先發生。在同時的選項中,此二處理可由獨立的來源(例如用於電漿的RF功率源和用於UV的燈)提供,或由單一來源提供,例如產生UV輻射作為副產物的氦電漿。
在若干實施例中,藉由改變電漿或其他活化能量參數,可調整膜特性,例如膜應力、介電常數、折射率、蝕刻速率。
雖然此處所探討的許多範例包含二反應物(A和B),吾人了解在本揭露內容的範圍內可利用任何適當數量的反應物。在若干實施例中,可使用單一反應物和用以供給表面反應電漿能量的惰性氣體。或者是,若干實施例可使用多個反應物以將膜沉積。舉例來說,在若干實施例中,可藉由含矽反應物和一個以上含氮反應物的反應、或一個以上含矽反應物和單一含氮反應物的反應、或皆超過一個以上的含矽反應物和含氮反應物的反應,形成矽氮化物膜。
此處揭露的若干實施例係關於CFD氧化物膜。可受益於此處理的使用CFD氧化物膜的元件和封裝應用,包含結構的間隙填充,其包括淺溝渠隔離(STI)結構的溝渠填充。雖然以下描述的各種實施例係關於間隙填充應用,吾人將了解這僅是非限定性的例示應用,且利用其他適合膜材料的其他適合應用可在本揭露內容的範圍之內。此外,吾人亦將了解,此處所述若干實施例包含ALD製程。舉例來說,此處所述實施例包含藉由ALD在一間隙中的沉積,而其後接著有如以下進一步描述的蝕刻操作。
圖2A-2C係示意剖面圖,描述在藉由習知的保形膜沉積技術沉積保形膜於一溝渠之中期間的接縫/孔隙形成。舉例來說,圖2A顯示在填充之前的形成於基板202之中的例示高深寬比結構201,例如一溝渠。為了填充該溝渠,藉由習知的CFD技術,沉積介電膜,例如保形沉積氧化物,其與溝渠的壁相符。圖2B顯示在多個循環之後的溝渠。隨著介電膜203填充溝渠且與各側相符的膜正面彼此相向前進,溝渠寬度205逐漸變窄。隨著溝渠寬度變窄,反應物需要更多時間以擴散至溝渠的底部。此擴散限制減慢溝渠底部的反應速率,造成靠近溝渠頂部介電膜203更快地形成。這造成在溝渠底部處的低密度區域。圖2C顯示在最後的CFD循環之
後的溝渠,其中孔隙207已形成。孔隙207朝向溝渠底部變寬,形成一鎖孔孔隙。鎖孔孔隙可能在後續的被重新開通且填充以導電膜,這可能導致元件短路。
為了減少或消除在填充材料中孔隙和接縫的存在,不同型態的蝕刻可應用在多個相繼的沉積循環之間。蝕刻化學品可包含含氟化學品,包括二氟化氙(XeF2)、氟分子(F2)、及氮氟化物(NF3)。其他蝕刻化學品可包含四氟甲烷(CF4)、四氟乙烯(C2F4)、六氟乙烷(C2F6)、八氟丙烷(C3F8)、及六氟化硫(SF6)。在若干實施方式中,一活性含氟物種可與例如溴化氫(HBr)及/或甲烷(methane)的其他物種組合而導入。在若干實施例中,此蝕刻可為電漿蝕刻,例如HDP蝕刻。其他方式的電漿蝕刻可包含但不僅限於電容式耦合電漿(CCP)及電感式耦合電漿(ICP)。電漿可遠端產生或在腔室中產生。在若干實施例中,將NF3饋入遠端電漿產生器。經活化物種,包含原子氟,係在遠端電漿產生器之內產生,且流入腔室以進行化學蝕刻。更進一步地,其他化學品可為氯類的。
錐狀蝕刻(tapered etch)可達到間隙填充物的選擇性移除,使得與高深寬比間隙內部相比較多所沉積的材料可在開口附近加以移除。在具有經CVD沉積的鎢(W)的高深寬比間隙的實例中,藉由將非常少量的蝕刻劑NF3供給進處理腔室(例如使用相對於腔輪廓和尺寸之低蝕刻劑流率)但維持高動力學速率(例如高溫)且沿著蝕刻劑進入高深寬比間隙的途徑消耗蝕刻劑,可達成錐狀蝕刻。以此方式,由於沿著蝕刻劑進入間隙的途徑消耗蝕刻劑,在間隙內部的蝕刻劑濃度係低於靠近開口處。然而,將依據前述方法的錐狀蝕刻使用於高深寬比間隙之中的CFD氧化物,顯示一保形的蝕刻輪廓。縱使在低溫(例如約50℃)及高溫(例如約250℃)狀態下,蝕刻輪廓仍係實質上保形的。
除了化學蝕刻之外,移除頂部角隅可藉由濺射蝕刻加以達成。在濺射蝕刻中,例如在高密度電漿(HDP,high density plasma)中所使用的,角隅可沿著高深寬比間隙短距離移除。然而,此種蝕刻可導致再沉積尖頭,其妨礙間隙填充。
另外,可使用反應式離子蝕刻(RIE,reactive ion etching)以
在高深寬比間隙中使CFD氧化物成錐形,但此蝕刻製程可能是複雜的。在若干實施例中,RIE蝕刻可能依賴聚合物,該聚合物必須後續在獨立的步驟中加以清潔,這可能需要濕式化學品。
圖3顯示一例示流程圖,描述將高深寬比間隙填充以CFD氧化物的方法。圖4A-4D係示意剖面圖,描述將高深寬比間隙填充以CFD氧化物的製程的不同階段。在圖3中方塊圖310、320、330、及340每一者可分別對應圖4A、4B、4C、及4D之中的示意圖。
製程300可起始於方塊圖310,其中一基板係設有高深寬比間隙。圖4A顯示具有高深寬比間隙的基板的例子。該基板可為如用於IC製造的半導體基板。間隙寬度可根據各種實施例而變化,其可在約10Å至約10微米的範圍,或更特別在約100Å至約1微米的範圍。例示深寬比可在約2:1-30:1、2:1-10:1、或5:1-10:1之間。在若干實施方式中,在沉積CFD氧化物之前,例如矽氮化物(SiN)薄膜的回填結構(未顯示)可沉積於該間隙之中。
製程300持續於方塊圖320,其中CFD氧化物沉積於高深寬比間隙之中,這可描述於圖4B之中的範例中。CFD氧化物可利用先前此處就圖1所探討的CFD技術加以沉積。在若干實施例中,CFD氧化物可為CFD二氧化矽。CFD氧化物的厚度可根據若干實施例變化,其可在約10Å至約1000Å之間的範圍,例如約100Å至約500Å之間。
為了沉積例如CFD二氧化矽膜的CFD氧化物,基板表面可暴露於含矽先質。可使用任何適合的含矽先質,例如選自由矽烷、鹵代矽烷、氨基矽烷、及其混合物所組成群組。在一個實施例中,含矽先質係鹵代矽烷,例如氯矽烷。在若干實施例中,含矽先質係氨基矽烷,例如三(二甲氨基)矽烷(TDMAS)或雙(叔丁基氨基)矽烷(BTBAS)。
可導入其他氣體以與該含矽先質反應。為了沉積CFD二氧化矽膜,此等氣體可包含一氧源。氧源的例子包含O2、O3、H2O2、NO2、N2O3、N2O5、或HNO3。氧源可為氧電漿。亦可使用一載體氣。通常,該載體氣係惰性氣體。
可使用任何適合數量的沉積循環以達到所欲厚度。用於沉積
CFD二氧化矽的適合製程條件,可藉由調整CFD參數加以達成,該等參數包含但不僅限於惰性和反應物物種的流率、電漿功率和頻率、基板溫度、及壓力。
製程300持續於方塊圖330,其中將非保形蝕刻執行於CFD
氧化物之上。圖4C顯示在CFD氧化物上氟類非保形蝕刻的例子,其在間隙的頂部附近產生一錐狀部。與間隙底部附近和內部相較,該錐狀蝕刻在該間隙頂部附近選擇性地移除較多的CFD氧化物材料。
為了此處說明的目的,將「開口附近」或「間隙頂部附近」定義為與自場所區域(field region)量測的介於約0-10%特徵部深度對應之在特徵部之內(即沿著特徵部的側壁)的接近位置或區域。在若干實施例中,開口附近或間隙頂部附近的區域對應在開口處或在間隙頂部處的區域。此外,「特徵部內部」或「間隙底部附近和內部」係定義為與自特徵部的頂部上的場所區域介於約20-60%特徵部深度對應之在特徵部之內的接近位置或區域。通常,當將若干參數(例如深度)的數值具體指定為「開口附近」或「特徵部內部」之時,這些數值代表在這些位置/區域之內所進行的一測量結果或多個測量結果的平均。
在若干實施例中,氟類蝕刻劑係NF3。NF3蝕刻劑可導入腔室,透過電漿活化以提供活化物種(例如自由基、離子、及高能分子)。然而,NF3蝕刻劑可為自由基類蝕刻,在腔室中實質上無離子。
蝕刻劑的流率通常取決於腔室的尺寸、蝕刻速率、蝕刻均勻性、及其他參數。將流率亦加以選擇,使得與間隙底部附近相較,在間隙開口附近移除較多的CFD氧化物材料。在若干實施例中,例如NF3之含氟反應物的流率係小於約2,000sccm、小於約1,000sccm、或介於約100sccm和1,000sccm之間。(雖然流率係針對300mm晶圓描述,該等流率可隨晶圓面積線性縮放。)
在氟類非保形蝕刻中的錐形化程度可藉由流入不同量的氫(H2)加以調整。在若干實施例中,NF3電漿蝕刻可藉由調整H2流量調整錐形化程度。導入H2流量可自NF3電漿蝕刻等向性地捕捉蝕刻F自由基。換言之,與間隙開口附近相較,沿著蝕刻劑進入間隙的途徑消耗更多的蝕
刻劑。其他氣體,例如氧、氦、及氬,不與來自NF3的自由基反應,使得對蝕刻輪廓的改變可實質上為H2的效果。因此,導入H2流量產生更為非保形蝕刻的間隙開口附近的更為錐形邊緣。加入H2亦可降低整體NF3蝕刻速率,這可有助於控制非常小的蝕刻量。在若干實施例中,H2對NF3的比例可介於約5:1-1:1、3:1-1:1、或3:1-1.5:1。圖6B-6D描述調整相對於NF3的H2流量大小的功效和錐形化程度。與NF3一同之H2流量係不作為載體氣。
在表1中列出試劑和製程條件的非限定性列表。在表1中所顯示的製程條件每一者代表用以調整H2對NF3的比例之不同的H2和NF3流率。如表1所描述,與NF3蝕刻劑一同流入更多的H2可減慢CFD氧化物的蝕刻速率。
在製程300的方塊圖330,蝕刻步驟可包含蝕刻一介電材料保形層。該蝕刻步驟可包含將反應腔室中的基板暴露於由製程氣體所產生的電漿,該製程氣體包含含氟物種和H2,其中氫對含氟物種的流率比例係介於約1:1至約5:1。含氟物種可包含NF3。將反應腔室中的基板暴露於電漿的操作,包含非保形蝕刻該介電材料保形層。在反應腔室中的溫度可為介於約50℃和約150℃之間的溫度,例如約80℃和約120℃之間。在反應腔室中基板的溫度可介於約150℃和約750℃之間,例如約400℃和約500℃之間。在反應腔室中的壓力可介於1mTorr和約30mTorr之間,例如介於約5mTorr和約15mTorr之間。
製程300持續於方塊圖340,其中CFD氧化物蓋係沉積於
用以填充高深寬比間隙之經非保形蝕刻的CFD氧化物的上方。圖4D顯示高深寬比間隙的例子,該間隙由非保形蝕刻之後的另一CFD氧化物層加以封閉。在非保形蝕刻之後,開口附近第一CFD氧化物的平均厚度減少,係大於間隙內部第一CFD氧化物的平均厚度減少,如圖4C中的範例所描述。沉積於非保形蝕刻CFD氧化物上方的其餘CFD氧化物層,可填滿和封閉該間隙。其餘CFD氧化物層的沉積,可為一保形氧化物蓋,其產生無接縫和無孔隙的間隙填充。
在若干實施例中,沉積-蝕刻-沉積循環可重複一次以上以填充間隙。沉積-蝕刻-沉積循環的數目可取決於間隙的尺寸。此外,舉例來說,在一個循環之後可能難以達到所欲的階梯覆蓋性。因此,在沉積另一CFD氧化物膜之後可應用另一非保形蝕刻。隨後的CFD氧化物沉積可填滿或部分填滿間隙,且該間隙隨後藉由一非保形蝕刻再開通。在若干實施例中,後續沉積-蝕刻-沉積循環的製程參數可改變。舉例來說,相對於第一非保形蝕刻,蝕刻劑流率可對應隨後再開通加以減小。在若干實施方式中,第二非保形蝕刻可具有與第一非保形蝕刻不同的H2/NF3流率比例。此外,由於對沉積厚度的控制可能較不緊要,後續的沉積可以較快速率執行(例如藉由較高溫度驅動)。
圖5顯示一例示流程圖,描述在一基板中於高深寬比間隙中保形沉積介電氧化物的方法。與描述於此流程圖之中者相較,其他實施方式可在具有不同、較少、或額外步驟的情況下執行此流程圖的步驟。在若干實施方式中可組合多個步驟。
在製程500的方塊圖510中,可將具有一個以上間隙的一基板提供進入一反應腔室,其中各間隙具有大於約5:1的深對寬之深寬比。該基板可包含半導體基板、經部分製作的積體電路、印刷電路板、或其他適合的工件。其他的回填結構,例如矽氮化物薄膜,可在藉由CFD沉積介電氧化物層之前沉積於該間隙之中。在若干實施方式中,深對寬之深寬比可介於約5:1和約10:1之間。在若干實施方式中,該一個以上間隙可為STI結構的一部分。
在製程500的方塊圖520之中,可藉由CFD在一個以上間
隙中沉積一第一介電氧化物層。第一介電氧化物層可利用此處早先就圖1所探討的CFD技術加以沉積。舉例來說,在一個以上間隙中沉積第一介電氧化物層的操作,可包含:在使第一反應物能夠吸附於基板表面之上的條件下將汽相的第一反應物導入該反應腔室;在第一反應物係吸附於基板表面上之時將汽相的第二反應物導入該反應腔室,其中在未將第一反應物清掃出反應腔室的情況下將第二反應物導入;及將基板表面暴露於電漿以驅動在基板表面上的第一和第二反應物之間的反應,以形成第一介電氧化物層。
在若干實施方式中,第一介電氧化物層可包含二氧化矽。因此,汽相的第一反應物可包含任何適合的含矽先質,且汽相的第二反應物可包含一適合的氧源。一載體氣,例如惰性氣體,亦可與含矽先質及/或氧源一起使用。
在若干實施方式中,第一介電氧化物層的厚度可介於約10Å至約1000Å之間,例如介於約100Å至約500Å之間。任何適合的藉由CFD的沉積循環數量可加以使用,以達到所欲的厚度。用於沉積CFD二氧化矽的適當製程條件,可藉由調整CFD參數而達成,該等CFD參數包含但不僅限於惰性和反應物物種的流率、電漿功率和頻率、基板溫度、及壓力。
在若干實施例中,初沉積(as-deposited)CFD層的平均厚度,在開口附近係大於在特徵部內部至少約10%。在更具體的實施例中,此差異可為至少25%、至少約50%、或至少100%。在特徵部之內材料的分布亦可以其階梯覆蓋性為特徵。為此處說明的目的,「階梯覆蓋性」係定義為二厚度的比例,即特徵部內部的材料厚度除以開口附近的材料厚度。在若干實例中,初沉積CFD層的階梯覆蓋性係低於約100%,或更具體而言,低於約75%,或甚至低於約50%。
在製程500的方塊圖530,利用電漿蝕刻,蝕刻第一介電氧化物層的一部分。蝕刻第一介電氧化物層的該部分,在各間隙頂部表面附近的速率較底部表面附近的速率快,使得第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓。這個類型的蝕刻亦可稱作「非保形蝕刻」。
在一非保形蝕刻中,與間隙底部表面附近相較,較多的該間隙頂部表面附近的第一介電氧化物層被選擇性移除。這產生自間隙頂部表面至底部表面的錐形輪廓。藉由對應利用CFD沉積的第一介電氧化物適當地選擇蝕刻條件,可達成此非保形蝕刻。
在若干實施例中,藉由選擇電漿蝕刻,可適當地選擇蝕刻條件。電漿可遠端產生,或在反應腔室中產生。電漿源可包含ICP、HDP、CCP等等。該電漿源可提供氣體源的活化物種,包含自由基、離子、及/或高能分子。該活化物種可導入第一介電氧化物層,以與該第一介電氧化物層反應。
在若干實施例中,蝕刻條件可對適當的蝕刻化學品加以適當地選擇。蝕刻化學品可包含含氟物種,例如XeF2、F2、NF3、CF4、C2F4、C2F6、C3F8、及SF6。在若干實施方式中,含氟物種可流入遠端電漿以產生電漿活化蝕刻劑,且該電漿活化蝕刻劑可流入反應腔室以與第一介電氧化物層反應。在若干實施方式中,該含氟物種可包含NF3。
在若干實施方式中,藉由在蝕刻第一介電氧化物層的該部分之時將H2流入反應腔室,可將蝕刻條件進一步適當地選擇。不受限於任何理論,H2的存在可捉取電漿源所產生的自由基,使得蝕刻劑可被捉取。H2的存在可在沿著蝕刻劑進入間隙的途徑消耗與間隙開口相比較多蝕刻劑。因此,導入H2可產生自間隙頂部表面至底部表面之更為錐形的輪廓。
在若干實施方式中,藉由調整H2和蝕刻劑流率,可將蝕刻條件進一步適當地選擇。可將流率調整,使得與間隙底部附近相比較多的第一介電氧化物層消耗於間隙開口附近。在若干實施方式中,含氟物種或蝕刻劑的流率可低於約2,000sccm、低於約1,000sccm、或介於約100sccm和1,000sccm之間。然而,吾人理解該流率可取決於腔室的尺寸、蝕刻速率、蝕刻均勻性、及其他參數。氫的流率可調整至大約等於或大於含氟物種或蝕刻劑的流率。在若干實施方式中,氫對含氟物種的流率比例係介於約1:1和約5:1之間。
在製程500的方塊圖540,將一第二介電氧化物層藉由CFD沉積於第一介電氧化物層上方的一個以上間隙之中。第二介電氧化物層和
第一介電氧化物層的材料可相同,例如二氧化矽。在若干實施方式中,第二介電氧化物層的沉積實質上填滿該一個以上間隙。在若干實施方式中,沉積-蝕刻-沉積步驟可重複以實質上填滿一個以上間隙。該一個以上間隙的實質上填充物可相對地無孔隙和接縫。
圖6A-6D係CFD氧化物的剖面影像,其具有根據不同H2/NF3流率比例的錐形邊緣。圖6A顯示無任何蝕刻的情況下在高深寬比間隙中所沉積的CFD氧化物膜的例子。在圖6A的範例中,CFD氧化物膜係具有約300Å厚度的CFD二氧化矽膜。圖6B顯示在無任何H2的情況下由NF3電漿蝕刻所蝕刻的在高深寬比間隙中的CFD氧化物膜的例子。如此處先前所探討,縱使是對於高溫和低溫狀況之間的調整,NF3電漿蝕刻係實質上保形。NF3電漿蝕刻以大約150Å的CFD氧化物膜移除為目標。此外,利用NF3電漿蝕刻,可在不到15秒內蝕刻大約150Å的CFD氧化物膜。圖6C顯示以H2/NF3電漿蝕刻所蝕刻的高深寬比間隙中的CFD氧化物膜的例子,其中H2對NF3的流率比例係1.5:1。圖6D顯示以H2/NF3電漿蝕刻所蝕刻的高深寬比間隙中的CFD氧化物膜的例子,其中H2對NF3的流率比例係3:1。比較圖6B-6D的蝕刻輪廓,H2對NF3流率比例的增加,產生間隙開口的頂部附近更錐形的蝕刻輪廓,而沒有在間隙內部移除同樣多的CFD氧化物材料。
圖7A係在不具有二個沉積步驟之間的蝕刻之情況下CFD氧化物間隙填充的剖面影像。在圖7A中,具有約300Å厚度的第一層CFD二氧化矽係沉積於複數高深寬比間隙中,隨後有具有約600Å厚度的第二層CFD二氧化矽。在圖7A中所產生的間隙填充,在各間隙特徵部中產生複數鎖孔。
圖7B係具有二沉積步驟之間非保形蝕刻的情況下CFD氧化物間隙填充的剖面影像。在圖7B中,具有約300Å厚度的第一層CFD二氧化矽係沉積於複數高深寬比間隙中。該第一層CFD二氧化矽係以HDP蝕刻加以非保形蝕刻,其具有約150Å的目標移除。然而,非保形蝕刻可利用任何其他適當的電漿源執行,例如原位CCP、原位ICP、或遠端電漿。舉例來說,HDP蝕刻可包含NF3作為與H2結合之蝕刻劑。蝕刻步驟之後
接著沉積具有大約600Å厚度的第二層CFD二氧化矽。圖7B中所產生的間隙填充,在各高深寬比間隙中減少或消除鎖孔和孔隙的存在。
該沉積-蝕刻-沉積序列可實現高密度和高品質的CFD介電間隙填充。在若干實施例中,CFD氧化物間隙填充可應用於淺溝渠隔離(STI)襯裡。在若干實施例中,CFD氧化物間隙填充可應用於前金屬介電質、多個閘極場效電晶體(FET)(例如鰭式場效電晶體(finFET))的閘極蓋、及記憶體層間介電質。吾人理解CFD氧化物閘極填充可應用於數種介電間隙填充應用,且前述的應用係例示性而非限制性。
本發明的另一實施態樣係用以達成此處所述方法的設備。適合的設備包含用於達成製程操作的硬體及具有用於根據本發明控制製程操作之指令的系統控制器。
吾人將了解,任何適合的製程站可使用於上述實施例其中一者以上。舉例來說,圖8示意顯示一CFD製程站800。為簡化起見,將CFD製程站800描繪成獨立的製程站,其具有一製程腔體802,用於維持一低壓環境。然而,吾人將了解,複數的CFD製程站800可包含於一共同的低壓製程機台環境。雖然在圖8中所描繪的實施例顯示一個製程站,吾人將了解,在若干實施例中,複數製程站可包含於一處理機台中。舉例來說,圖10描繪多站處理機台1000的實施例。此外,吾人將了解,在若干實施例中,CFD製程站800的一個以上硬體參數,包含以下詳細探討者,可藉由一個以上電腦控制器加以程式化地調整。
CFD製程站800流體連通於反應物輸送系統801,其用於將製程氣體輸送至分配噴淋頭806。反應物輸送系統801包含混合容器804,用於混合及/或調整製程氣體以輸送至噴淋頭806。一個以上混合容器入口閥820可控制將製程氣體導入混合容器804。
在汽化及隨後輸送至製程站之前,可將一些反應物以液態形式加以儲存。例如,圖8的設備包含一汽化點803,用於將待供應至混合容器804的液態反應物汽化。在若干實施例中,汽化點803可為加熱的汽化器。由此汽化器所產生的飽和的反應物蒸氣,可在下游輸送管路中凝結。
將不相容氣體接觸凝結的反應物可產生小微粒。這些小微粒可阻塞管路、阻礙閥操作、汙染基板等等。處理這些問題的一些方法包含將輸送管路清掃及/或排空以移除殘留的反應物。然而,清掃輸送管路可能增加製程站循環時間,降低製程站生產率。所以,在若干實施例中,汽化點803下游的輸送管路係伴熱的(heat traced)。在若干實例中,混合容器804亦為伴熱的。在一個非限定實例中,汽化點803下游的管路具有於混合容器804處自大約100℃延伸至大約150℃之增加的溫度分布。
在若干實施例中,在一液體注入器處將反應物液體汽化。例如,一液體注入器可將多個脈衝的液體反應物注入在混合容器上游的載體氣流。在一個實施例中,一液體注入器可藉由將液體自一較高壓力迅速地轉移至較低壓力而汽化反應物。在另一實施例中,一液體注入器可將液體霧化成分散的微液滴,其隨後在一加熱的輸送管中汽化。吾人將了解較小的液滴可比較大的液滴更快速汽化,縮短液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點803下游之管路的長度。在一個實施例中,一液體注入器係直接安裝至混合容器804。在另一實施例中,一液體注入器係直接安裝至噴淋頭806。
在若干實施例中,設置汽化點803上游的液體流控制器,其用於控制液體質量流以汽化和輸送至製程站800。在一個實例中,此液體流控制器(LFC,liquid flow controller)包含位在該LFC下游的一熱質量流計(MFM,mass flow meter)。一個該LFC的柱塞閥係響應回授控制訊號而加以調整,其中該回授訊號係由與該MFM電連通的一比例-積分-微分作用(PID,proportional-integral-derivative)控制器所提供。然而,使用回授控制可能耗用一秒以上以穩定液體流。這可能延長供給液體反應物的時間。因此,在若干實施例中,LFC係在一回授控制模式和一直接控制模式之間動態地切換。在若干實施例中,LFC係藉由將LFC的感測管和PID控制器去能而從一回授控制模式動態切換至一直接控制模式。
噴淋頭806將製程氣體朝向基板812散佈。在圖8所示實施例中,基板812係位於噴淋頭806下方,且被顯示支承於一支座808之上。吾人將了解噴淋頭806可具有任何適合的形狀,且可具有任何適合數量及
排列的埠用於將製程氣體散佈至基板812。
在若干實施例中,一微容積807位於噴淋頭806下方。在一微容積而非一製程站的整個容積中執行一CFD製程,可降低反應物暴露和清掃時間、可降低用於改變CFD製程條件(例如壓力、溫度等等)的時間、可限制暴露製程站機器人至製程氣體等等。例示微容積尺寸包含但不僅限於0.1公升和2公升之間的容積。
在若干實施例中,可升高或降低支座808,以將基板812暴露至微容積807和/或改變微容積807的容積。例如,在一基板搬送階段,降低支座808以容許將基板812裝載至支座808之上。在一CFD製程階段期間,將支座808升高,以將基板812置放於微容積807之內。在若干實施例中,微容積807將基板812及支座808的一部分完全封入,以建立在一CFD製程期間的高流阻抗區域。
選用性的,可在部分的CFD製程期間將支座808下降及/或升高,以調節在微容積807之內的製程壓力、反應物濃度等等。在一個實施例中,其中製程腔體802於CFD製程期間保持在一基礎壓力,降低支座808可使微容積807能夠被排空。微容積對製程腔室容積的例示比例,包含但不僅限於1:500和1:10之間的容積比例。吾人將了解,在若干實施例中,可藉由適合的電腦控制器將支座高度以編程方式加以調整。
在另一實施例中,調整支座808的高度,使電漿密度在包含於CFD製程的電漿活化及/或處理循環期間能夠改變。在CFD製程階段結束時,在另一基板搬送階段期間將支座808降低,以允許自支座808移除基板812。
雖然此處所述例示微容積變化係關於一高度可調整支座,吾人將了解,在若干實施例中可將噴淋頭806的位置相對於支座808而加以調整,以改變微容積807的容積。此外,吾人將了解,支座808及/或噴淋頭806的垂直位置,可藉由任何適合機構加以變化。所屬技術領域具有通常知識者將了解,此機構可包含例如液壓式、氣壓式、彈力機構、螺線管式等等。在若干實施例中,支座808可包含例如沿著垂直於基板812表面的軸的一旋轉機構,用於旋轉基板812的方向。吾人將了解,在若干實施
例中,藉由一個以上適合的電腦控制器,可以編程方式執行這些例示調整其中一者以上。
回到圖8所示實施例,噴淋頭806和支座808係與用於對電漿供予功率的RF電源供應器814和匹配網路816電連通。在若干實施例中,藉由控制製程站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一者以上,控制電漿能量。例如,RF電源供應器814和匹配網路816可操作於任何適合的功率,以形成具有所欲自由基物種成分的電漿。適合功率的例子包含但不僅限於100W和5000W之間的功率。同樣地,RF電源供應器814可提供任何適合頻率的RF功率。在若干實施例中,RF電源供應器814可用以彼此獨立地控制高頻和低頻RF功率源。例示低頻RF頻率可包含但不僅限於50kHz和500kHz之間的頻率。例示高頻RF頻率可包含但不僅限於1.8MHz和2.45GHz之間的頻率。吾人將了解可不連續地或連續地調整任何適合的參數,以提供用於表面反應的電漿能量。在一非限定的例子中,可間歇地脈衝輸送電漿功率,以相對於連續供能的電漿降低對基板表面之離子轟擊。
在若干實施例中,電漿係藉由一個以上電漿監測器加以原位監測。在一個實施例中,電漿功率係藉由一個以上電壓、電流感測器(例如VI探棒)加以監測。在另一實施例中,藉由一個以上光發射光譜感測器(OES)量測電漿密度及/或製程氣體濃度。在若干實施例中,基於來自此等原位電漿監測器的量測,以編程方式調整一個以上電漿參數。例如,可使用OES感測器於一回授迴路,提供電漿功率的編程控制。吾人將了解,在若干實施例中,可使用其他監測器以監測電漿和其他製程特性。此等監測器包含但不僅限於紅外線(IR)監測器、音頻監測器、及壓力感測器。
在若干實施例中,透過輸入/輸出控制(IOC)序列指令控制電漿。舉例來說,用於設定一電漿製程階段的電漿條件的指令,可包含於一CFD製程配方的對應電漿活化配方階段。在若干實施例中,可將製程配方階段循序地排列,俾使一CFD製程階段的所有指令係與那個製程階段同時地執行。吾人將了解,電漿產生的若干實施態樣可具有充分表徵的暫態及/或穩定時間,其可能延長一電漿製程階段。換言之,此時間延遲可為可
預測的。此時間延遲可包含點燃電漿的時間及在指示的功率設定穩定電漿的時間。
在若干實施例中,支座808可透過加熱器810加以溫度控制。此外,在若干實施例中,CFD製程站800的壓力控制可透過蝶形閥818提供。如圖8所顯示,蝶形閥818調節由下游真空泵(未顯示)所提供的真空。然而,在若干實施例中,製程站800的壓力控制亦可藉由改變被導入CFD製程站800的一種以上氣體的流率而加以調整。
CFD製程站800的各種參數可藉由一控制器(未顯示)加以控制。此等參數可包含但不僅限於氣體成分、流率、腔室溫度、腔室壓力、基板溫度、噴淋頭溫度、電漿條件(例如RF偏壓功率位準)、基板/支座位置、噴淋頭位置、及時序。可將控制器的實施態樣以下就圖10中的系統控制器1050加以更詳細地描述。
圖9描述一例示HDP處理站。如所顯示,一反應器901包含一製程腔室903,其將反應器901的其他裝置封入且用以容納電漿。在一個實例中,製程腔室壁係以鋁、鋁氧化物、及/或其他適合的材料製成。在圖9所顯示的實施例具有二個電漿源:頂部RF線圈905及側RF線圈907。頂部RF線圈905可為中頻或MFRF線圈,且側RF線圈907可為低頻或LFRF線圈。在圖9所顯示的實施例中,MFRF頻率可為430-470kHz,且LFRF頻率可為340-370kHz。然而,本發明不限定於利用雙來源在反應腔室中的操作,亦不限定RF電漿源。任何適合的一個以上電漿源可加以使用。
在反應器之內,一晶圓支座909支持一基板911。該支座通常包含一夾頭(有時稱作夾具),用以在沉積反應期間將基板固持於適當位置。該夾頭可為靜電夾頭、機械式夾頭、或可用於產業和/或研究的各種類型的夾頭。包含用於供應傳熱流體的一線路913的一傳熱子系統,可控制基板911的溫度。晶圓夾頭和傳熱流體系統可協助維持適當的晶圓溫度。
高頻RF的HFRF來源915可用以電偏壓基板911而將帶電先質物種吸引至基板上以進行沉積反應。舉例來說,來自來源915的電能量係透過電極或電容耦合而加以耦合至基板911。要注意到施加至基板的偏壓不需要為RF偏壓。亦可使用其他頻率以及DC偏壓。
製程氣體係透過一個以上入口917導入。該等氣體可預先混合或不預先混合。較佳是,將製程氣體通過包含多個孔的氣體供給入口機構加以導入。在若干實施例中,該等孔至少其中若干將製程氣體定向成沿著以銳角與基板暴露表面相交的注入軸。此外,氣體或氣體混合物可由主氣環921導入,主氣環921可或可不引導氣體朝向基板表面。注入器可連接至主氣環921以引導氣體或氣體混合物至少其中若干進入腔室903及朝向基板911。要注意到,用於引導製程氣體朝向晶圓的注入器、氣環或其他機構,對此發明不是關鍵性的。進入腔室903的製程氣體所造成的聲波前端(sonic front),本身將造成氣體在所有方向上迅速散布,包含朝向基板911。製程氣體通過出口922離開腔室903。一真空泵(例如渦輪分子泵)通常將製程氣體抽出而維持反應器901之內適當的低壓。
HDP反應器901的各種參數可藉由一控制器(未顯示)加以控制。以下可就圖10中的系統控制器1050更詳細地描述控制器的實施態樣。
在若干實施例中,在相同的腔室中可執行一個以上製程。舉例來說,非保形蝕刻製程可在與CFD製程相同的腔室中執行。在此等實施例中,該設備可包含一CCP NF3腔室或一遠端電漿NF3腔室。然而,如上所述,在一多站處理機台中可包含一個以上製程站。圖10顯示一多站處理機台1000的示意圖,該處理機台1000具有一入站負載鎖室(load lock)1002和一出站負載鎖室1004,其中一者或二者可包含一遠端電漿源。在大氣壓力下的一機器人1006係用以將晶圓自透過一晶圓盒1008裝載的卡匣,經由一大氣埠1010,移動至入站負載鎖室1002之中。將一晶圓藉由機器人1006置放到入站負載鎖室1002之中的支座1012之上,將大氣埠1010關閉,且接著將負載鎖室抽真空。入站負載鎖室1002包含一遠端電漿源,而晶圓可在導入處理腔室1014之前暴露於在負載鎖室之中的遠端電漿處理。此外,舉例來說,晶圓亦可在入站負載鎖室1002之中加熱,以移除濕氣和吸附氣體。接下來,將通至處理腔室1014的一腔室搬運埠1016開啟,且另一機器人(未顯示)將晶圓置放進反應器而在用於處理之此反應器中所顯示的一第一站的支座之上。雖然圖10中所示實施例包含負載鎖室,吾人將
了解在若干實施例中可使晶圓直接進入一製程站。
所述處理腔室1014包含四個製程站,在圖10所示實施例中編號為1至4。各站具有一加熱的支座(對於站1顯示於1018),以及氣體管線入口。吾人將了解,在若干實施例中,各製程站可具有不同的或多個目的。例如,在若干實施例中,一製程站可在CFD和PECVD製程模式之間切換。額外地或替代地,在若干實施例中,處理腔室1014可包含匹配的一對以上CFD和PECVD製程站。雖然所述處理腔室1014包含四個站,吾人將理解根據本揭露內容的一處理腔室可具有任何適合數量的站。舉例來說,在若干實施例中,一處理腔室可具有五個以上的站,而在其他實施例中一個處理腔室可具有三個以下的站。
圖10亦描述一晶圓搬運系統1090,用於在處理腔室1014之內搬送晶圓。在若干實施例中,晶圓搬運系統1090可在各種製程站之間及/或在一製程站和一負載鎖室之間搬送晶圓。吾人將了解可使用任何適合的晶圓搬運系統。非限定的範例包含晶圓轉盤(wafer carousel)和晶圓搬運機器人。圖10亦描述一系統控制器1050,用以控制處理機台1000的製程條件和硬體狀態。系統控制器1050可包含一個以上記憶體裝置1056、一個以上大量儲存裝置1054、及一個以上處理器1052。處理器1052可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
在若干實施例中,系統控制器1050控制處理機台1000的所有活動。系統控制器1050執行系統控制軟體1058,其儲存於大量儲存裝置1054之中、載入記憶體裝置1056、且執行於處理器1052。系統控制軟體1058可包含指令,用於控制時序、氣體混合物、腔室和/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板支座、夾頭和/或接受器位置、及由處理機台1000所執行的特定製程的其他參數。系統控制軟體1058可以任何適合方式加以建構。例如,可撰寫各種處理機台元件子程式或控制物件,以控制執行各種處理機台製程所需的處理機台元件的操作。系統控制軟體1058可以任何適合的電腦可讀程式語言編碼。
在若干實施例中,系統控制軟體1058可包含輸入/輸出控制(IOC)序列指令,用於控制各種上述參數。例如,CFD製程的各階段可
包含用於由系統控制器1050執行的一個以上指令。用於設定CFD製程階段的製程條件的指令,可包含於對應的CFD配方階段。在若干實施例中,CFD配方階段可循序地排列,俾使CFD製程階段的所有指令係與那個製程階段同時地加以執行。
關聯於系統控制器1050儲存於大量儲存裝置1054及/或記憶體裝置1056的其他電腦軟體及/或程式,可在一些實施例中加以使用。用於此目的之程式或程式片段的例子,包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以裝載基板至支座1018之上及控制基板和處理機台1000的其他部件之間的間距的處理機台元件的程式碼。
製程氣體控制程式可包含程式碼,用於控制氣體成分和流率,且選擇性地在沉積之前將氣體流入一個以上製程站以穩定在製程站之中的壓力。壓力控制程式可包含程式碼,用於藉由調節例如在製程站的排氣系統之中的節流閥、進入製程站的氣流等等,控制在製程站之中的壓力。
加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。或者是,加熱器控制程式可控制將傳熱氣體(例如氦)輸送至基板。
電漿控制程式可包含程式碼,用於設定施加至一個以上製程站之中的製程電極的RF功率位準。
在若干實施例中,可具有與系統控制器1050相關聯的一使用者介面。使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
用於控制上述操作的電腦程式碼,可以任何習知的電腦可讀程式語言撰寫,例如組合語言、C、C++、Pascal、Fortran、或其它者。經編譯的目的碼或腳本係藉由處理器加以執行,以執行在程式中所確認的工作。
在若干實施例中,由系統控制器1050所調整的參數可關於製程條件。非限定範例包含製程氣體成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等等。這些參數可以配方形式提供給使用者,該
配方可利用使用者介面加以輸入。
監測製程的訊號可從各種處理機台感測器藉由系統控制器1050的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理機台1000的類比和數位輸出連接部加以輸出。可加以監測的處理機台感測器的非限定例子包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化的回授和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器1050可提供程式指令,以實施上述沉積和蝕刻製程。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。此等指令可控制該等參數,以根據此處所述各種實施例操作膜堆疊的原位沉積。
系統控制器1050通常包含一個以上記憶體裝置和用以執行指令的一個以上處理器,俾使此設備執行根據本發明的方法。含有用於根據本發明控制製程操作的指令的機器可讀媒體可連接至該系統控制器。
在若干實施例中,可提供用於在一基板中於一高深寬比間隙中保形沉積介電氧化物的設備。該設備可包含一反應腔室,例如圖10中的CFD處理腔室1014或圖8中的製程腔體802。該設備亦可包含電漿源,用以產生耦接至反應腔室的電漿。該設備可更包含一控制器,例如圖10中的系統控制器1050。該控制器可包含用於執行一個以上操作的指令,該等操作包括:將一基板提供進入該反應腔室,其中該基板包含一個以上間隙,各間隙具有大於約5:1的深對寬之深寬比;藉由CFD在該一個以上間隙中沉積一第一介電氧化物層;利用一電漿蝕刻,蝕刻該第一介電氧化物層的一部份,其中蝕刻該第一介電氧化物層的該部分,在各間隙頂部表面附近的速率快於底部表面附近的速率,使得該第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓;及藉由CFD在該第一介電氧化物層上方於該一個以上間隙中沉積一第二介電氧化物層。該控制器可更包含指令,用於執行此處先前就圖3、4A-4D、及5所描述的操作。
上述設備/製程可與微影圖案化機台或製程結合而加以使用,例如用於製造或生產半導體元件、顯示器、LED、光電板等等。通常,
雖非必要,此等機台/製程可在一共同的製造設施中一起加以使用或執行。膜的微影圖案化通常包含一些或全部以下操作,每一操作係以若干個可能的機台進行:(1)利用旋塗或噴塗機台,塗佈光阻於工件(即基板)之上;(2)使用一熱板或爐或UV固化機台固化光阻;(3)使用例如晶圓步進器之機台,將光阻暴露於可見光或UV或x光;(4)使用例如濕台之機台,將光阻顯影以選擇性移除光阻且藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台,將光阻圖案轉移至下層膜或工件之中;及(6)使用例如RF或微波電漿光阻剝除器之機台,將光阻移除。
應理解的是,此處所述配置及/或方法本質上是例示性的,且這些特定實施例或範例不被視為限制性的,這是因為多種變化係可能的。此處所述特定的程序或方法可代表任何數量處理對策的其中一者以上。因此,所述各種動作可以所述順序執行、以其他順序執行、平行執行、或在若干實例中加以省略。同樣地,上述製程的次序可加以改變。
雖然上述說明以闡明和理解為目的已相當詳細地描述,吾人明白在隨附申請專利範圍的範疇內可實施若干變化和修改。應注意到,有許多替代方式實現前述製程、系統、和設備。因此,所述實施例係視為例示性而非限制性。
Claims (14)
- 一種保形沉積方法,在一基板之中的高深寬比間隙之中保形沉積介電氧化物,該方法包含:(a)將具有一個以上間隙的一基板提供進入一反應腔室,其中各間隙具有大於約5:1的深對寬的深寬比;(b)藉由保形膜沉積(CFD)在該一個以上間隙中沉積一第一介電氧化物層,其中藉由CFD在該一個以上間隙中沉積該第一介電氧化物層的步驟包含:在使一第一反應物能夠吸附至基板表面之上的條件下,將汽相的該第一反應物導入該反應腔室;當該第一反應物係吸附於該基板表面上之時,將汽相的一第二反應物導入該反應腔室,其中在未將該第一反應物清掃出該反應腔室的情況下將該第二反應物導入;及將該基板表面暴露於電漿,以驅動該基板表面上的該第一反應物和該第二反應物之間的反應,以形成該第一介電氧化物層;(c)利用電漿蝕刻,蝕刻該第一介電氧化物層的一部分,其中蝕刻該第一介電氧化物層的該部分,在各間隙頂部表面附近的速率較底部表面附近的速率快,使得該第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓;及(d)藉由CFD在該第一介電氧化物層上方於該一個以上間隙之中沉積一第二介電氧化物層。
- 如申請專利範圍第1項的保形沉積方法,更包含:重複步驟(b)-(d),以實質上填滿該一個以上間隙。
- 如申請專利範圍第1項的保形沉積方法,其中沉積該第二介電氧化物層的步驟包含實質上填滿該一個以上間隙。
- 如申請專利範圍第1項的保形沉積方法,更包含:將一含氟物種流入一遠端電漿以產生一電漿活化蝕刻劑;及 將該電漿活化蝕刻劑流入該反應腔室,以與該第一介電氧化物層反應而執行蝕刻。
- 如申請專利範圍第4項的保形沉積方法,其中該含氟物種包含三氟化氮(NF3)。
- 如申請專利範圍第4項的保形沉積方法,更包含:當蝕刻該第一介電氧化物層的該部分之時,將氫(H2)流入該反應腔室。
- 如申請專利範圍第6項的保形沉積方法,其中氫對該含氟物種的流率比例係介於約1:1和約5:1之間。
- 如申請專利範圍第1項的保形沉積方法,其中該第一介電氧化物層和該第二介電氧化物層每一者包含二氧化矽(SiO2)。
- 如申請專利範圍第1項的保形沉積方法,其中該第一介電氧化物層的厚度係介於約100Å和約500Å之間。
- 一種設備,用於在一基板中於高深寬比間隙之中保形沉積介電氧化物,該設備包含:一反應腔室;一電漿源,連接至該反應腔室;及一控制器,包含執行以下操作的指令:(a)將一基板提供進入該反應腔室,其中該基板包含一個以上間隙,各間隙具有大於約5:1的深對寬的深寬比;(b)藉由保形膜沉積(CFD)在該一個以上間隙中沉積一第一介電氧化物層,其中藉由CFD在該一個以上間隙中沉積該第一介電氧化物層之操作包含:在使一第一反應物能夠吸附至基板表面之上的條件下,將汽相的該第一反應物導入該反應腔室; 當該第一反應物係吸附於該基板表面上之時,將汽相的一第二反應物導入該反應腔室,其中在未將該第一反應物清掃出該反應腔室的情況下將該第二反應物導入;及將該基板表面暴露於電漿,以驅動該基板表面上的該第一反應物和該第二反應物之間的反應,以形成該第一介電氧化物層;(c)利用電漿蝕刻,蝕刻該第一介電氧化物層的一部分,其中蝕刻該第一介電氧化物層的該部分,在各間隙頂部表面附近的速率較底部表面附近的速率快,使得該第一介電氧化物層具有自各間隙的頂部表面至底部表面的錐形輪廓;及(d)藉由CFD在該第一介電氧化物層上方於該一個以上間隙之中沉積一第二介電氧化物層。
- 如申請專利範圍第10項的設備,其中該控制器更包含指令,用以執行:重複操作(b)-(d),以實質上填滿該一個以上間隙。
- 如申請專利範圍第10項的設備,其中包含沉積該第二介電氧化物層之指令的該控制器,包含實質上填滿該一個以上間隙的指令。
- 如申請專利範圍第10項的設備,其中該控制器更包含指令,用以執行:將一氟類物種流入該電漿源,以產生一電漿活化蝕刻劑;及將該電漿活化蝕刻劑流入該反應腔室,以與該第一介電氧化物層反應而執行蝕刻。
- 如申請專利範圍第13項的設備,其中該控制器更包含指令,用以執行:當蝕刻該第一介電氧化物層的該部分之時,將氫(H2)流入該反應腔室。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261724186P | 2012-11-08 | 2012-11-08 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201430951A TW201430951A (zh) | 2014-08-01 |
TWI587391B true TWI587391B (zh) | 2017-06-11 |
Family
ID=50682116
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102140529A TWI587391B (zh) | 2012-11-08 | 2013-11-07 | 用於溝塡之保形膜沉積 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9355886B2 (zh) |
JP (1) | JP6415035B2 (zh) |
KR (4) | KR102218085B1 (zh) |
SG (1) | SG2013083241A (zh) |
TW (1) | TWI587391B (zh) |
Families Citing this family (107)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5766647B2 (ja) * | 2012-03-28 | 2015-08-19 | 東京エレクトロン株式会社 | 熱処理システム、熱処理方法、及び、プログラム |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US12334332B2 (en) | 2012-06-12 | 2025-06-17 | Lam Research Corporation | Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US20150206803A1 (en) * | 2014-01-19 | 2015-07-23 | United Microelectronics Corp. | Method of forming inter-level dielectric layer |
TWI639179B (zh) | 2014-01-31 | 2018-10-21 | 美商蘭姆研究公司 | 真空整合硬遮罩製程及設備 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9797042B2 (en) | 2014-05-15 | 2017-10-24 | Lam Research Corporation | Single ALD cycle thickness control in multi-station substrate deposition systems |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9624578B2 (en) | 2014-09-30 | 2017-04-18 | Lam Research Corporation | Method for RF compensation in plasma assisted atomic layer deposition |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
JP6388553B2 (ja) * | 2015-03-03 | 2018-09-12 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP6388552B2 (ja) * | 2015-03-03 | 2018-09-12 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN113659004B (zh) * | 2015-11-26 | 2023-12-19 | 联华电子股份有限公司 | 半导体元件及其制作方法 |
US10192775B2 (en) | 2016-03-17 | 2019-01-29 | Applied Materials, Inc. | Methods for gapfill in high aspect ratio structures |
US20170314129A1 (en) | 2016-04-29 | 2017-11-02 | Lam Research Corporation | Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system |
US10041873B2 (en) * | 2016-05-02 | 2018-08-07 | Kla-Tencor Corporation | Porosity measurement of semiconductor structures |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
TWI733850B (zh) | 2016-07-27 | 2021-07-21 | 美商應用材料股份有限公司 | 使用沉積/蝕刻技術之無接縫溝道填充 |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US9960033B1 (en) * | 2016-12-16 | 2018-05-01 | Asm Ip Holding B.V. | Method of depositing and etching Si-containing film |
US10410861B2 (en) * | 2017-03-07 | 2019-09-10 | Tokyo Electron Limited | Method of filling retrograde recessed features |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
US20180308687A1 (en) * | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
KR102271729B1 (ko) * | 2017-04-24 | 2021-06-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 구조들에서의 갭충전을 위한 방법들 |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
KR102574914B1 (ko) | 2017-06-02 | 2023-09-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 보론 카바이드 하드마스크의 건식 스트리핑 |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
SG11202001450UA (en) | 2017-09-12 | 2020-03-30 | Applied Materials Inc | Apparatus and methods for manufacturing semiconductor structures using protective barrier layer |
US10269559B2 (en) * | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10697059B2 (en) | 2017-09-15 | 2020-06-30 | Lam Research Corporation | Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
SG11202003355QA (en) | 2017-11-11 | 2020-05-28 | Micromaterials Llc | Gas delivery system for high pressure processing chamber |
WO2019099125A1 (en) | 2017-11-16 | 2019-05-23 | Applied Materials, Inc. | High pressure steam anneal processing apparatus |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
KR20230163578A (ko) * | 2018-01-15 | 2023-11-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 산화에 대한 아르곤 추가 |
WO2019147400A1 (en) * | 2018-01-24 | 2019-08-01 | Applied Materials, Inc. | Seam healing using high pressure anneal |
CN111902929A (zh) | 2018-03-09 | 2020-11-06 | 应用材料公司 | 用于含金属材料的高压退火处理 |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10566188B2 (en) | 2018-05-17 | 2020-02-18 | Applied Materials, Inc. | Method to improve film stability |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US20200003937A1 (en) * | 2018-06-29 | 2020-01-02 | Applied Materials, Inc. | Using flowable cvd to gap fill micro/nano structures for optical components |
US11521849B2 (en) * | 2018-07-20 | 2022-12-06 | Applied Materials, Inc. | In-situ deposition process |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
US11120997B2 (en) * | 2018-08-31 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface treatment for etch tuning |
CN113169094B (zh) * | 2018-09-28 | 2025-05-09 | 朗姆研究公司 | 避免沉积副产物积聚的真空泵保护 |
WO2020081367A1 (en) * | 2018-10-19 | 2020-04-23 | Lam Research Corporation | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
WO2020092002A1 (en) | 2018-10-30 | 2020-05-07 | Applied Materials, Inc. | Methods for etching a structure for semiconductor applications |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
CN112996950B (zh) | 2018-11-16 | 2024-04-05 | 应用材料公司 | 使用增强扩散工艺的膜沉积 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
KR20240165480A (ko) | 2018-12-20 | 2024-11-22 | 램 리써치 코포레이션 | 레지스트들의 건식 현상 |
TWI873122B (zh) | 2019-02-20 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TW202514246A (zh) | 2019-03-18 | 2025-04-01 | 美商蘭姆研究公司 | 基板處理方法與設備 |
KR20210149893A (ko) | 2019-04-30 | 2021-12-09 | 램 리써치 코포레이션 | 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 |
KR102726216B1 (ko) | 2019-05-01 | 2024-11-04 | 램 리써치 코포레이션 | 변조된 원자 층 증착 |
TWI869221B (zh) | 2019-06-26 | 2025-01-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
KR20210014577A (ko) * | 2019-07-29 | 2021-02-09 | 에이에스엠 아이피 홀딩 비.브이. | 불소 제거를 이용해서 구조물을 형성하는 방법 |
US12157945B2 (en) | 2019-08-06 | 2024-12-03 | Lam Research Corporation | Thermal atomic layer deposition of silicon-containing films |
JP7259649B2 (ja) * | 2019-08-30 | 2023-04-18 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
JP2023500828A (ja) * | 2019-10-29 | 2023-01-11 | ラム リサーチ コーポレーション | 継ぎ目のない高品質のギャップフィルを可能にする方法 |
JP2023501371A (ja) | 2019-11-08 | 2023-01-18 | ラム リサーチ コーポレーション | 高周波電力増加によるプラズマ強化原子層堆積 |
JP2021080536A (ja) * | 2019-11-21 | 2021-05-27 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
KR20220109432A (ko) | 2019-12-02 | 2022-08-04 | 램 리써치 코포레이션 | 캡 층 (cap layer) 인-시츄 (in-situ) pecvd |
KR102746578B1 (ko) | 2020-01-15 | 2024-12-26 | 램 리써치 코포레이션 | 포토레지스트 부착 및 선량 감소를 위한 하부층 |
US11211244B2 (en) * | 2020-01-17 | 2021-12-28 | Taiwan Semiconductor Manufacturing, Co., Ltd. | Ultraviolet radiation activated atomic layer deposition |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
KR20220148249A (ko) | 2020-02-28 | 2022-11-04 | 램 리써치 코포레이션 | EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask) |
KR102601038B1 (ko) | 2020-07-07 | 2023-11-09 | 램 리써치 코포레이션 | 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 |
US11615966B2 (en) * | 2020-07-19 | 2023-03-28 | Applied Materials, Inc. | Flowable film formation and treatments |
US11557518B2 (en) * | 2020-08-12 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gapfill structure and manufacturing methods thereof |
JP2022054653A (ja) | 2020-09-28 | 2022-04-07 | 東京エレクトロン株式会社 | 凹部埋め込み方法及び基板処理装置 |
US11655537B2 (en) | 2020-10-26 | 2023-05-23 | Applied Materials, Inc. | HDP sacrificial carbon gapfill |
JP7562696B2 (ja) | 2020-11-13 | 2024-10-07 | ラム リサーチ コーポレーション | フォトレジストのドライ除去用プロセスツール |
CN114300416A (zh) * | 2020-12-02 | 2022-04-08 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
JP7666864B2 (ja) | 2021-01-20 | 2025-04-22 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法及び成膜装置 |
US20220238331A1 (en) * | 2021-01-25 | 2022-07-28 | Applied Materials, Inc. | Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma |
JP7662285B2 (ja) | 2021-03-02 | 2025-04-15 | 東京エレクトロン株式会社 | 成膜方法、処理装置及び処理システム |
JP2022166614A (ja) | 2021-04-21 | 2022-11-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2023028517A (ja) | 2021-08-19 | 2023-03-03 | 東京エレクトロン株式会社 | 基板処理方法 |
KR20250005099A (ko) * | 2022-03-18 | 2025-01-09 | 램 리써치 코포레이션 | 무-솔기(seam-free) 및 무-크랙(crack-free) 증착 |
CN115440707A (zh) * | 2022-09-20 | 2022-12-06 | 中国科学院光电技术研究所 | 对准标记结构及其形成方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110151674A1 (en) * | 2009-12-23 | 2011-06-23 | Applied Materials, Inc. | Smooth siconi etch for silicon-containing films |
US20110256726A1 (en) * | 2010-04-15 | 2011-10-20 | Adrien Lavoie | Plasma activated conformal film deposition |
Family Cites Families (209)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
JPH0293071A (ja) * | 1988-09-29 | 1990-04-03 | Toshiba Corp | 薄膜の形成方法 |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
US6191026B1 (en) * | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
AU3229600A (en) | 1999-02-12 | 2000-08-29 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
KR100420753B1 (ko) * | 1999-03-17 | 2004-03-02 | 세미컨덕터300 게엠베하 운트 코 카게 | 반도체 웨이퍼 상의 갭 충진 방법 |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
JP4866534B2 (ja) | 2001-02-12 | 2012-02-01 | エーエスエム アメリカ インコーポレイテッド | 半導体膜の改良された堆積方法 |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP4666912B2 (ja) | 2001-08-06 | 2011-04-06 | エー・エス・エムジニテックコリア株式会社 | プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
EP1485513A2 (en) | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
WO2004032196A2 (en) | 2002-10-03 | 2004-04-15 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
CN102191483B (zh) | 2003-04-23 | 2012-10-03 | 艾克斯特朗公司 | 瞬时增强原子层沉积 |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
US7482247B1 (en) * | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
KR20080106984A (ko) | 2006-03-31 | 2008-12-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법 |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
CN101517713B (zh) | 2006-09-19 | 2011-02-09 | 东京毅力科创株式会社 | 等离子体清洁方法和等离子体cvd方法 |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
WO2008100963A1 (en) | 2007-02-12 | 2008-08-21 | Lotus Applied Technology, Llc | Fabrication of composite materials using atomic layer deposition |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
KR20150036815A (ko) | 2007-09-18 | 2015-04-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 규소 함유 막의 형성 방법 |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR20090067576A (ko) * | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법 |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US20090286402A1 (en) * | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
WO2010062582A2 (en) | 2008-10-27 | 2010-06-03 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
KR20120103719A (ko) | 2009-12-22 | 2012-09-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 연속 플라즈마에 의한 pecvd 다단계 공정 |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US20120108079A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP5661523B2 (ja) * | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
SG10201607194PA (en) | 2011-09-23 | 2016-10-28 | Novellus Systems Inc | Plasma activated conformal dielectric film deposition |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
-
2013
- 2013-11-06 SG SG2013083241A patent/SG2013083241A/en unknown
- 2013-11-07 TW TW102140529A patent/TWI587391B/zh active
- 2013-11-07 US US14/074,596 patent/US9355886B2/en active Active
- 2013-11-07 JP JP2013230782A patent/JP6415035B2/ja active Active
- 2013-11-08 KR KR1020130135905A patent/KR102218085B1/ko active Active
-
2021
- 2021-02-15 KR KR1020210020087A patent/KR102377013B1/ko active Active
-
2022
- 2022-03-16 KR KR1020220032801A patent/KR20220038640A/ko not_active Ceased
-
2023
- 2023-09-27 KR KR1020230130266A patent/KR20230144995A/ko active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110151674A1 (en) * | 2009-12-23 | 2011-06-23 | Applied Materials, Inc. | Smooth siconi etch for silicon-containing films |
US20110256726A1 (en) * | 2010-04-15 | 2011-10-20 | Adrien Lavoie | Plasma activated conformal film deposition |
Also Published As
Publication number | Publication date |
---|---|
US9355886B2 (en) | 2016-05-31 |
KR20220038640A (ko) | 2022-03-29 |
KR102218085B1 (ko) | 2021-02-19 |
US20140134827A1 (en) | 2014-05-15 |
TW201430951A (zh) | 2014-08-01 |
KR20140060253A (ko) | 2014-05-19 |
SG2013083241A (en) | 2014-06-27 |
KR20230144995A (ko) | 2023-10-17 |
JP2014112668A (ja) | 2014-06-19 |
KR20210021503A (ko) | 2021-02-26 |
KR102377013B1 (ko) | 2022-03-21 |
JP6415035B2 (ja) | 2018-10-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI587391B (zh) | 用於溝塡之保形膜沉積 | |
KR102563427B1 (ko) | 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들 | |
US10629429B2 (en) | Selective deposition of silicon oxide | |
TWI646212B (zh) | 保形氮化鋁的高成長速度製程 | |
TWI609426B (zh) | 在基板上形成氮化矽膜之方法、設備及系統 | |
KR102695879B1 (ko) | 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정 | |
TWI567225B (zh) | 電漿活化保形膜沈積 | |
JP2018182322A (ja) | 原子層エッチングのリセットを伴う選択的堆積 | |
TW201413044A (zh) | 高氣壓、高電力電漿活化保形膜沉積 | |
TW201546314A (zh) | 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗 | |
TW201623673A (zh) | 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法 | |
KR20200123482A (ko) | 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme) | |
TW202219644A (zh) | 用於在euv圖案化中減少缺陷的多層硬遮罩 |