[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

TW490733B - Substrate holding apparatus and exposure apparatus including substrate-holding apparatus - Google Patents

Substrate holding apparatus and exposure apparatus including substrate-holding apparatus Download PDF

Info

Publication number
TW490733B
TW490733B TW090105757A TW90105757A TW490733B TW 490733 B TW490733 B TW 490733B TW 090105757 A TW090105757 A TW 090105757A TW 90105757 A TW90105757 A TW 90105757A TW 490733 B TW490733 B TW 490733B
Authority
TW
Taiwan
Prior art keywords
substrate
wafer
aforementioned
patent application
exposure
Prior art date
Application number
TW090105757A
Other languages
English (en)
Inventor
Makoto Kondo
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Application granted granted Critical
Publication of TW490733B publication Critical patent/TW490733B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(/ ) 〔技術領域〕 本發明係有關於基板保持裝置及具備該裝置之曝光裝 ► 置,特別是爲了製造半導體元件、液晶顯示元件、電漿顯 示元件或薄膜磁氣頭等裝置的微影(lithography)製程中,將 光罩圖案(mask pattern)轉寫至基板之際,有關使用於保持 該基板的基板保持裝置及具備該基板保持裝置之曝光裝置 〇 〔關聯技術之說明〕 製造半導體元件等之際,爲了將作爲光罩的光柵 (reticle)圖案的像轉寫至作爲基板的塗布有光阻(resist)之晶 圓(或玻璃板等)上的各照射(shot)區域,目前使用步進且重 複(step and repeat)的方式等之成批曝光型曝光裝置及步進 且掃描(step and scan)的方式等之掃描曝光型曝光裝置。該 曝光裝置上,晶圓藉由真空吸附或靜電吸附等方法保持於 晶圓保持器,該晶圓保持器則固定於能粗微動的晶圓台 (wafer stage) 〇 例如日本國公開特許公報開平1 - 129438號所明白表 示,習知晶圓保持器具備有以正方形格子狀配置的很多針 狀物及從該等針狀物間的吸引孔吸引氣體的吸引部。接著 ,在載置晶圓於該複數個針狀物上的狀態下,藉由該吸引 部將晶圓與晶圓保持器之間的氣體排出得以吸附保持晶圓 。又,爲了防止晶圓帶電,施加導電性材料之被覆 (coating)於晶圓保持器的表面全部,並在該晶圓保持器的 裏面側接地。 3 ^ ------;— ^--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 490733 A7 __ B7 五、發明說明(i) 如前述的晶圓保持器,例如以正方形格子狀配置的複 數個針狀物上載置晶圓,藉由真空吸附等保持了晶圓。但 ,由於真空吸附等產生局部的變形(彎曲等),當該變形量 變大’例如其大到與投影光學系統的聚焦深度的寬度相當 時,則在該部分的解像度將降低。· 爲抑制起因於該真空吸附等所產生晶圓的變形,使支 撐晶圓的針狀物的配置間隔變細,或使吸引力變弱便可, 但’當單單使吸引力變弱,則例如在步進移動時的加速期 間或減速期間等可能產生晶圓的位置偏移。另一方面,如 果使針狀物的配置間隔變細,晶圓和晶圓保持器的接觸率 將增加,異物被夾在晶圓裏面與晶圓保持器之間的可能性 也增加,因此可能招致晶圓平坦度之惡化。 又,晶圓保持器由低熱膨脹率的材料形成時,如果施 加防帶電材料之被覆於晶圓保持器的表面,則因該防帶電 材料與形成晶圓保持器的材料之熱膨脹率不同會產生內部 應力,而可能使防帶電材料裂開或剝落。所以不能被覆太 厚的防帶電材料,因在晶圓表面被覆防帶電用材料之後, 爲謀求提高晶圓接觸面之平坦度而進行該接觸面(被覆面) 的硏磨加工等有困難,故可能在該接觸面留下微小的凹凸 〇 又,半導體元件等的製造上要求產能的提高,但,習 知的曝光裝置的情形時,將晶圓載置於晶圓保持器之後才 藉由吸附而保持晶圓用的真空泵開始排出氣體(開始吸弓丨) ,故使晶圓保持力達到既定目標値爲止的時間變長。又, 4 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ' 一 N I ------^--訂------丨丨線^^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490733 A7 _ B7 五、發明說明($ ) 爲更換晶圓而將晶圓自晶圓保持器搬出之際,使該真空栗 的吸引動作停止後,以前的情形是例如僅打開與在大氣壓 > 下的氣體連通的閥,故待該晶圓的吸引力消失爲止的時間 變長。因此,若以習知的晶圓保持器上載或下載晶圓則耗 時間,且成爲提高曝光製程產能上的障礙。 〔發明之槪要〕 有鑑於此,本發明之第1目的係提供一種基板保持裝 置,對於與晶圓等基板間的接觸面積上,能使吸附該基板 後的變形量變小。 、又,本發明之第2目的係提供如前述的基板保持裝置 ,其係涵蓋基板接觸面的區域內例如被覆防帶電材料,同 時能防止該材料裂開等。 又,本發明之第3目的係提供如前述的基板保持裝置 ,其係使基板的吸附開始動作或吸附解除動作高速化而得 以謀求產能的提高。 又本發明提供具備前述基板保持裝置之曝光裝置。 根據本發明之第;I型態,一種用以保持平板狀基板之 基板保持裝置,其特徵在於:具有底座構件及複數個突起 狀支持部,該等突起狀支持部係呈三角形格子狀排列於該 底座構件上,且其各個頂端部實質上位於同一平面上,用 以將該基板載置於該複數個支持部上。 如本發明第1型態之基板保持裝置,將與該基板接觸 的複數個支持部呈三角形格子狀排列後的情形和將該複數 個支持部以四角形的格子狀排列後的情形比較爲例時,對 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) ---- 訂---------參 490733 A7 B7 五、發明說明(>) 於該支持部的密度(與該基板間的接觸面積)而言’該複數 個的支持部與重心位置間的間隔變短’而該基板的變形量 變小。因此,根據本發明,若假設異物被夾入該基板和該 複數個支持部間的可能性相同’則以靜電吸附或真空吸附 等方法吸附時,能使該基板變形量變小,進而能抑制該基 板平坦度的惡化。因此,應用於曝光裝置之後,能以高解 像度轉寫光罩圖案於該基板全面。 在該情形時,希望設置將該複數個支持部上的該基板 向該底座構件側吸引之吸引機構。藉由該吸引,例如使該 基板保持裝置移動之際防止該基板之橫向偏移。 又,由真空吸附方式所構成該吸引機構的一個例子, 係該底座構件上設有圍繞該複數個支持部之環狀封閉的突 部;並具有用以吸引該突部內側的氣體之氣體吸引部。又 該構成上,爲了降低異物夾入該突部及該基板間的可能性 ,希望將複數個極淺段差(漏縫小)的突起狀支持部設於該 突部上面。 在其等的場合,設置吸引機構,用以將該複數個支持 部上的該基板向該底座構件側吸引,又將該複數個支持部 排列成1邊的長a[m]的正三角形格子狀,將該基板向該底 座構件側吸引時,設每單位長的吸引力爲P[N/m],吸引該 基板時的容許變形量爲(5 max[m],該基板的楊氏模數爲 E[Pa],該基板的厚度爲t[m],該正三角形的長度a及該吸 引力P滿足以下的條件: ρ · a4^ 18 · E · t3 · δ max ...(1)。 6 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ------1--訂---------線一 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 490733 A7 __B7 五、發明說明(r) 藉由滿足該條件,能將由於吸引導致的基板變形量抑 制於容許變形量6 max以下。 ► 其次,根據本發明之第2型態,一種保持平板狀基板 之基板保持裝置,其特徵在於:具有底座構件及複數個突 起狀支持部,該等突起狀支持部係呈三角形格子狀排列於 該底座構件上,且其各個頂端部實質上位於同一平面上, 涵蓋該支持部與該基板間的接觸面之既定區域內被覆著導 電性材料,並在該既定區域之間局部地設有未被覆該導電 性材料之區域而構成所提供之基板保持裝置。希望該區域 以狹縫狀設置。 根據如本發明的第2型態之基板保持裝置,例如因設 置著呈狹縫狀且未被覆導電性材料(防帶電材料)的區域, 故起因於該底座構件或構成該支持部的材料和該導電性材 料間之熱膨脹率不同而致該導電性材料裂開或剝落的現象 能受到抑制。因此,能將該導電性材料被覆至某程度的厚 度,而能被覆該導電性材料之後再加工與該基板間的接觸 面。其結果能使與基炉間的接觸面的平坦度良好,進而能 使該基板保持良好。 該情形時,希望由低膨脹率的非導電性材料構成該底 座構件及該複數個支持部。其用意是減少起因於溫度變化 的伸縮。又,該導電性材料之一例是氮化鈦或碳化鈦。 其次,根據本發明之第3型態,一種保持平板狀基板 之基板保持裝置,其特徵在於設有: 底座構件; 7 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) ------1--^--------- 經濟部智慧財產局員工消費合作社印製 490733 A7 B7 五、發明說明(6 ) 複數個突起狀支持部,係其各個頂端部實質上位於同 一平面上; 環狀封閉的突部,係在該底座構件上圍繞該複數個支 持部;及 氣體吸引部,係用以吸引該突部內側之氣體; 並且用以設定成相較於將該基板載置於該複數個支持 部上時的吸引力,該基板載置後之吸引力較弱。該情況時 ,希望設定成相較於該基板的載置,至少在該基板之加κ 曝光等)時該氣體之吸引力較弱。 、根據如本發明的第3型態之基板保持裝置,和該基板 載置後(例如曝光等加工時)的吸引力相比,將該基板載置 於該複數個支持部上時的吸附開始動作時,可設定較大@ 吸引力。其結果,從吸附開始到得到獲得吸附力的目標値 爲止的時間得以縮短,應用於曝光裝置之後.,能提高曝光 製程的產能。又,例如設定適當的加工時的吸引力以使基 板的位置不偏移,故能將起因於吸附的基板變形抑制至最 小程度。 、 該情況時,將基板從該複數個支持部上拆下時’希望 設置氣體供給部,用以將氣體吹向環狀突部的內側。結果 該基板的吸附解除動作可高速化。 根據本發明之第4型態,一種曝光裝置,其特徵在於 藉由曝光用光束介入第1物體來使第2物體曝光’具備有 如第1至第3型態之任一型態的基板保持裝置,藉由該基 板保持裝置保持當作基板之該第2物體。根據如本發明之 8 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) _裝 ---*--訂---------線- 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(7 ) 第4型態之曝光裝置,因具備有如本發明之第1至第3型 態任一型態之基板保持裝置,故不會使該基板的吸附力降 低,能保持良好的該基板的平坦度,而能以高產能下製造 高功能的元件。 〔圖面之簡單說明〕 . 若從所附的圖面來作說明,本發明之上述的目的及進 一步的目的、特徵、及優點將變得更明白。此處: 〔第一圖A〕係表示本發明之一實施例之晶圓保持器 WH的俯視圖。 、〔第一圖B〕係沿第一圖A的BB線的截面圖。 〔第二圖〕係表示配置有第一圖A之底座構件4上之 多數的針狀物1的區域一部份的放大圖。 〔第三圖A〕係沿第二圖的AA線的截面圖。 〔第三圖B〕係表示配置有假想針狀物1A的情況的 截面圖。 〔第四圖〕係表示由該實施例之近似式所求得的晶圓 變形量及由有限元素声所求得的晶圓變形量的比較圖。 〔第五圖〕係表示在該實施例之一例的晶圓保持器之 表面被覆晶圓的防帶電用材料42的區域的圖。 〔第六圖〕係表示投影曝光裝置之一例之構成圖,該 投影曝光裝置係具備作爲基板保持裝置的第一圖之晶圓保 持器WH。 〔第七圖〕係表示將晶圓W載置於晶圓保持器WH時 的動作及將晶圓W自晶圓保持器WH取出時的動作之流程 9 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)
訂---------線· (請先閱讀背面之注意事項再填寫本頁) 490733 A7 B7 五、發明說明( 圖 〔第八圖〕係表示在習知晶圓保持器上配置有針狀物 ► 41的區域之圖。 經濟部智慧財產局員工消費合作社印製 〔符號說明〕 1、41針狀物 3中心銷 5供排氣管 7、8b真空泵 9供氣裝置 42防帶電材料 51照明光學系統 53主控制系統 55光栅底座 57、62驅動系統 59XY方向平台 AX投影光學系統PL之光軸 IL曝光用的光_ PL投影光學系統 R光柵(第1物體) VI、V2、V3 閥 2環狀突緣 4、40底座構件 6A、6B、6C供排氣孔 8a真空室 52曝光量控制系統 54光柵台 56、61雷射干涉計 58試料台丨Z方向平台) 60平台 Q平面 ST未被覆區域 w晶圓(第2物體) WH晶圓保持器 〔發明之實施型態〕 以下,參考圖面說明本發明之一實施例。本實施例係 將本發明應用於投影曝光裝置的晶圓保持器及該附屬機構 (請先閱讀背面之注意事項再填寫本頁) - I I---<---訂---------- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 490733 ____B7___ 五、發明說明(?) Ο 第一圖A表示本實施例的晶圓保持器WH之俯視圖, 而第一圖B表示沿第一圖A的BB線的截面圖,如第一圖 A、第一圖B所示,晶圓保持器WH之構成,係於略呈正 方形的平板狀的底座構件4上面’形成有環狀突緣2,該 環狀突緣2由比作爲保持對象之晶圓輪廓爲稍小的環狀封 閉的突部所構成,而將多數的針狀物1以正三角形的格子 狀配置在該環狀突緣2所圍的區域內。該底座構件4由例 如低膨脹率的陶瓷所形成,並藉由飩刻該底座構件4的上 面而形成該多數的針狀物1及環狀突緣2。但,將多數的 針狀物1及環狀突緣2分別製造,並將之以接合等方法固 定於底座構件4上亦可。又,底座構件4的形狀不限於略 呈正方形,略呈圓形等、可。 該場合時,該多數的針狀物1及環狀突緣2分別對應 著本發明多數的突起狀的支持部及環狀封閉的突部,該多 數的針狀物1的頂端部及環狀突緣2的頂端部位於同一平 面Q。又,環狀突緣2的內徑(內面的輪廓)比保持對象的 晶圓輪廓小即可,而環狀突緣2的外徑(外面的輪廓)比該 晶圓的輪廓大亦可。又,本實施例之晶圓具有定位平面 (Orientation · Flat)而作爲用以該晶圓的前對準(pre-alignment)等之外形基準,故在第一圖A環狀突緣2之一部 份成爲直線狀。但,作爲該外形基準,代替定位平面用凹 痕亦可,該場合時,環狀突緣2略呈圓形。以下,與平面 Q垂直的方向上定爲Z軸,並將與z軸垂直的平面(與第一 11 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) - (請先閱讀背面之注意事項再填寫本頁) ▼4^---------^訂---------線一 490733 A7 B7 五、發明說明(π ) 圖A的紙面平行的平面)內的直角座標系設爲X軸及γ軸 來說明。> 首先,本例的晶圓保持器WH,係如第一圖b以假想 線所不,固定於投影曝光裝置的晶圓台的試料台58上而使 用,而曝光對象的晶圓的底面被載置於與多數的針狀物i 及環狀突緣2的頂端部相接的平面Q的位置。此時,底座 構件4的中央部形成有貫通孔,並配置有中心銷;而該中 心銷,自試料台58的內部穿過該貫通孔且在z方向升降自 如,將該中心銷3降下或上升’藉此進彳了晶圓的搬入 (Load)及搬出(Unload)。又,亦可省略中心銷3,而將搬送 用的臂插入晶圓(突出於環狀突緣2外側)外周部的底面, 使該臂升降而進行晶圓的搬入及搬出。又,環狀突緣2的 外徑與晶圓的輪廓(外徑)大約相同,或比該輪廓稍小的情 形下,例如使環狀突緣2的一部份凹下以搬送用的臂能升 降。又,如第一圖A所示,在底座構件4,並以中心銷3 的位置爲中心,爲了與針狀物1不發生機械的干涉且以約 等角度間隔的3方向七形成有一連的供排氣孔6A、6B、及 6C,該供排氣孔6A、6B、及6C藉由底座構件4內部的通 氣孔與外部的供排氣管5連通著。又,在晶圓保持器WH 的外部具備有: 真空栗7,係用以將晶圓以既定的吸附力真空吸附; 真空室8a,係用以高速吸附晶圓; 真空泵8b,係進行前述真空室8a內部的排氣;及 供氣裝置9,係自晶圓保持器WH取出(Unload)晶圓時 12 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ------j--一訂---------1 經濟部智慧財產局員工消費合作社印製 490733 A7 B7 五、發明說明((/ ) 使用。藉由電磁上開閉自如的閥V1、閥V2、及閥V3,該 真空泵7、真空室8a、及供氣裝置9分別與供排氣管5連 接著。接著,連接上氣壓計(未圖示)用以測定供排氣管5 內部的氣壓,依該氣壓計所得之氣壓測定値來提供給控制 系統(未圖示),該控制系統基於該氣壓及晶圓之搬入或搬 出的控制資訊,控制閥VI〜V3的開閉及真空泵7、真空泵 8b、供氣裝置9的動作。由晶圓保持器WH、真空泵7、真 空泵8b、真空室8a、供氣裝置9、供排氣管5、閥VI〜V3 、及未圖示的控制系統等所構成的晶圓保持器系統對應著 本發明之基板保持裝置。 就基本的動作而言’搬入晶圓時關閉閥VI〜V3的狀 態下,使中心銷3下降而將晶圓載置於多數的針狀物1及 環狀突緣2後,打開閥VI並藉由使真空泵7動作,以既 定的真空吸附力保持晶圓。另外,搬出晶圓時,使真空泵 7的動作停止,關閉閥V1後,例如打開閥V3,將具與大 氣壓相同氣壓的氣體引導至環狀突緣2的內側,當前述氣 壓計所量得的氣壓到_約大氣壓(約1atm)時,中心銷3上 升。又,關於真空室8a及供氣裝置9的使用例將之後敘述 〇 本實施例的晶圓保持器WH的底座構件4上設有多數 的針狀物1,並將之配置而呈現正三角形的格子狀。也就 是說,將多數的針狀物1配置於具相同形狀格子的各格子 點上。關於採用該配置的理由將詳細說明。 於本實施例的晶圓保持器WH的底座構件4上配置有 13 本紙張尺度適用中國國家標攀(CNS)A4規格⑽X 297公爱) (請先閱讀背面之注意事項再填寫本頁) -----1--- ^--------- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 490733 A7 ______ B7 五、發明說明(θ) 多數的針狀物1之區域,將之放大並表示於第二圖,第二 圖中,多數的針狀物1配置於邊長爲a2的正三角形格子的 各格子點。此處,將位於一個正三角形各頂點的3個針狀 物1的中心(將此視爲與晶圓接觸的接觸部中心點)設爲點 Bl、B2、B3,而將包含點B1〜B3且與晶圓接觸的接觸部 面積設爲A。此時,因各點B1〜B3與其分別相鄰的6個 正二角形頂點共有,故設點B1〜B3爲頂點的正三角形區 域上之晶圓與針狀物1之接觸率p2,如以下的式子表示。 又’以下各式子中的/>是y的平方根的意思。 ,P 2 = (3 · A/6)/ { a2 · (,3/2) · a2/2 } = (2//*3)(A/a22)".(2) 另一方面,第八圖表示在習知晶圓保持器上配置針狀 物之一例,第八圖的晶圓保持器中,在底座構件40上支撐 晶圓的多數的針狀物41配置於邊長爲al的正方形格子的 各格子點。此處同樣地,將位於一個正方形各頂點的4個 針狀物41的中心(與晶圓接觸的接觸部的中心點)設爲點 A1〜A4,將包含點A1〜A4且與晶圓接觸的接觸部面積設 爲與第二圖的排列相同之A。此時,因各點A1〜A4與其 分別相鄰的4個正方形頂點共用,故設點A1〜A4爲頂點 的正方形區域上之晶圓與針狀物41之接觸率pi,如以下 的式子表示。 P 1 = (4 · A/4)/al2 = A/al2…(3) 此處,在第八圖的正方形格子配置下的接觸率p1與 14 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---·--------------1—訂---------線- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490733 A7 B7 五、發明說明(6) 第二圖的正三角形格子排列下的接觸率相等時考慮的 話,因式2與式3相等,故用正方形的邊長al以下面的式 子來表示正三角形的邊長a2。 a22=(2//"3)/al2 …(4) 又,因真空吸附而產生晶圓的變形量’隨著離開針狀 物1、41的中心位置愈遠而愈大,該變形量的最大値分別 出現在第八圖的正方形區域的重心G1及第二圖的正三角 形區域的重心G2的位置。又,距第二圖的重心G2最近的 至針狀物1中心的距離h2及距第八圖的重心G1最近的至 針狀物41中心的距離hi,分別用以下的式子表示。 h2 = (1//"3)a2 …(5) hi = (l//~2)al ··· (6) 因此,由式4〜式6,就距離h2、hi而言,能得以下 的關係。 hl2/h22=(3vr3)/4> 1 ^ hl/h2> 1 …⑺ 這意味著hi >h2。因此,接觸率p 1、p 2相等時,相 較於將針狀物配置成正方形的格子狀,配置成正三角形的 格子狀的情形上,針狀物的配置較密,自針狀物中心至出 現晶圓變形量最大値的重心位置之距離變短,因而真空吸 附所致的晶圓變形量變小。即,本實施例中,使與晶圓接 觸的接觸率和習知例的接觸率相等的情形下(使夾入異物的 機率相等),將針狀物1配置成正三角形的格子狀,以於真 空吸附所致的晶圓變形量比以前還要小。 15 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -------1 — 訂·--I--I--*5^ 一 490733 A7 B7 五、發明說明(#) 其次,參考第三圖A、ΥΒ及第四圖來說明關於針狀物 1的配置間隔之決定方法。第三圖A爲第二圖的沿AA線 的截面圖,表示在第二圖的多數的針狀物1上載置並真空 吸附晶圓後的情形,如第三圖A所誇張表示的,針狀物1 上的晶圓W主要由於吸附力而變形。又,第三圖A中,第 二圖的重心G2以距點B1的距離h2的點表示。本實施例 首先’由假設爲受等分布負荷作用的長2 · h2的兩端支撐 樑來近似計算晶圓的變形量。因此,如第三圖B所示,對 於重心G2而與點B1對稱的位置上假想配置有針狀物1A ,將晶圓W視爲在點B1的針狀物1及針狀物1A兩者之 間的兩端支撐樑,藉由近似計算而算出在該兩端支撐樑的 重心G2上的變形量(最大變形量5 )。若設作用於兩端支撐 樑之每單位長的負荷爲w [N/m],兩端支撐樑的長爲h [m] ’晶圓W的楊氏係數爲E [Pa],面積慣性矩爲I [m4],則 兩端支撐樑的最大變形量5用眾所周知之以下的式子表示 〇 (5 = w · h4/(384E · I)…(8) 此處,若設兩端支撐樑的寬爲b,厚爲t,則面積慣性 矩I用以下的式子表示。 I=(l/12)b · t3 …(9) 又,兩端支撐樑的長h由式5而表示爲以下的式子。 h=2 · h2=(2/"3)a2 -(10) 又,施加於兩端支撐樑的每單位長的負荷w爲真空吸 附晶圓W時每單位長的吸引壓力p [N/m],若設兩端支撐 16 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 一 --------i.訂---------線 j 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 490733 A7 B7 五、發明說明(π) 樑的寬b爲單位長(b= 1),晶圓W的容許變形量(5 max爲 兩端支撐樑的最大變形量5,則 δ max= δ =ρ(2 · a2//"3)4/(32Ε · t3) =ρ · a24/(18E · t3)".(ll) 〇 該容許變形量5tnax爲(舉例而言)投影曝光裝置的投 影光學系統的聚焦深度寬的約I/2。又,若將式11變形, 則針狀物1的配置間隔a2(正三角形的邊長)與吸引壓力P( 吸附力)的關係用以下的式子表示,用以使晶圓W的最大 變形量在容許變形量5 max以下。 、p.a24 $18Ε·ΐ3· (5max …(12) 例如在以吸引壓力P = -78xl〇3N/m(= -600mmHS)吸附 SEMI規格爲8英吋的晶圓(直徑=約200mm,t=0.725mm ,楊氏係數E=110.7xl09Pa)的情形下,若自聚焦深度等觀 點來看而欲將晶圓的變形量抑制在例如4mn以下(5max = 4nm)時,則針狀物1的配置間隔a2的範圍由式12如以下 所示計算而得。又,吸引壓力P係用其絕對値來計算。 78xl03xa24$ 18χ110·7χ109χ(0.725χ10-3)3χ4χ1(Τ9, a24$ 3.894χ10·η, a2^2.5〇xl〇·3 [m]…(13) 因此,若晶圓的容許變形量5 max爲4nm,則設針狀 物1的配置間隔a2爲2·50ππη以下即可。 第四圖,係改變針狀物1的配置間隔a2後,將8英 吋晶圓的最大變形量由上述式11求得的結果及由有限元素 法求得結果做比較。該第四圖中,縱軸表示晶圓的最大變 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " (請先閱讀背面之注意事項再填寫本頁) · — I —丨 ---^訂---------| 經濟部智慧財產局員工消費合作社印製 490733 A7 _B7_ 五、發明說明() 形量5 [nm]而橫軸表示吸引壓力P(單位以mmHg表示)。又 ,設針狀物的配置間隔a2分別爲15°111(實線U)及 3.0mm(虛線10)時,實線11及虛線10表示由式11求得晶 圓變形量(5及吸引壓力P間的關係。又’圓點13及二角形 點12,係表示設針狀物分別爲及3.0mm時,由有 限元素法雜的晶酬削彡量P ° ^ ,將第四圖的數値資料表示於以下的° 表1 晶圓的最大變开彡 吸引壓力P 本例的 近似式 有限j &素法 (mmHg) a2=2.5mm a2=3.0mm a2=:2.5mm a2=3.0mm :100 0.7 1.4 -200 1.4 2.8 3 -300 2.1 4.3 400 2.7 5.7 2.8 5.8 -500 3.4 7.1 -600 4.1 8.5 3.4 8.4 根據第四圖及表1,由式11求得的結果與由有限元素 法求得的結果大約相近,故得知式I1能有效使用。 .又,若以相同條件來真空吸附SEMI規格之I2英吋晶 圓(直徑約300mm、t= 0.775mm、楊氏係數E與8央时晶 圓相同),則由式12求得只要針狀物1之配置間隔爲 2.63mm以下即可。又,就12英吋晶圓而言,藉由將針狀 物1之配置間隔a2設定在如下所示的範圍,將晶圓的容許 變形量5 max降至實用的約數nm以下後,而能得大約所 18 ---;--------I ----------訂*---I---I I (請先閱讀背面之注意事項再填寫本頁) ϋ氏張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 490733 A7 B7 五、發明說明(η ) 需的吸引壓力p(吸附力)。 lmm < a2 < 3mm ...(14) ► 還有,前述實施例之針狀物1之配置係正三角形的格 子狀,但該配置未必爲定義嚴密之正三角形格子,而容許 平常加工誤差所導致配置之變化。.又,例如將第一圖之晶 圓保持器WH應用於使用掃描曝光方式之曝光裝置,若設 晶圓之掃描方向爲Y方向,則晶圓上之曝光區域(後述之曝 光用的光IL之照射區域)沿非掃描方向(X方向)成爲細長狹 縫狀。因此,例如爲了將晶圓非掃描方向(X方向)的平面 度提高至比掃描方向的平面度還高,可設針狀物1的配置 爲三角形之底邊與X軸平行,另2邊比底邊還長且相等之 等邊三角形配置。而且,所謂之配置成三角形格子狀’若 改變看法,則亦可說是將針狀物配置成平行四邊形(或菱形 )之格子狀。 . 另外第一圖之實施例中,因環狀突緣2的上面爲平面 ,故環狀突緣2的上面及載置於其上的晶圓之間有可能夾 入光阻殘渣等異物。g此,爲降低異物夾入的可能性,亦 可在環狀突緣2的上面形成與針狀物1相同多數的針狀物( 突起狀的支持部)。其構成例爲調整環狀突緣2的高度,而 使環狀突緣2上的針狀物的上端與底座構件4上的針狀物 1的上端相接而共平面。又爲了減少由環狀突緣2的針狀 物之間真空吸附時氣體的洩漏量,例如若假設底座構件4 上針狀物1的高度(深度)爲大約200〜300 # m,則設定極 淺的環狀突緣2上針狀物的高度爲大約10//m(約前者高度 19 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " " ~ ^ ---- ----^ . I I------ ^ (請先閱讀背面之注意事項再填寫本頁) 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(π ) 的1/20〜1/30)。又環狀突緣2上多數針狀物的配置亦可爲 正三角形,但除此之外亦可爲沿環狀突緣2之多數列略呈 同心圓狀的配置等。 其次,參考第五圖來說明被覆於第一圖晶圓保持器 WH之晶圓的防帶電材料。第五圖係表示第一圖的晶圓保 持器WH —部份的放大圖,該第五圖中,在本實施例之晶 圓保持器的底座構件4、針狀物1、及環狀突緣2的表面上 ,以蒸鍍或濺鍍(sputtering)等方法被覆有例如由氮化鈦 (TiN)或碳化鈦(TiC)等導電性材料所構成之晶圓的防帶電材 料42,並且該材料在晶圓保持器的裏面要接地。防帶電用 材料42對應本發明的導電性材料,且藉由將該材料42接 地,而使載置於晶圓保持器WH的晶圓亦接地,以防止該 晶圓帶電。 · 又,本實施例中,在晶圓保持器WH的底座構件4表 面之部份區域設置有防帶電用材料42,並設有狹縫狀的未 被覆區域ST。藉此,即使將底座構件4由精密陶瓷(fine ceramics)或玻璃陶瓷(glass ceramics)等低熱膨脹率材料戶斤 形成,亦能抑制防帶電用材料42的裂開或剝落,其係起因 於被覆在底座構件4表面的防帶電用材料42與形成底座構 件4的材料之間熱膨脹率的不同所致。’因此,能將防帶電 用材料42之被覆加厚至某程度,且被覆防帶電材料42後 ’將與晶圓接觸的多數的針狀物1及環狀突緣2之接觸面 例如硏磨加工,而能謀求該接觸面平面度之提高,進而能 保持良好的所吸附並保持之晶圓平面度(平坦度)。 20 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝--- 1·訂---------線' 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(β) 又’未施加防帶電用被覆的區域ST的形狀並未被限 定於狹縫狀。而且,如第五圖的例所示防止防帶電用被覆 ► 裂開等之技術’係不僅能應用於如第二圖所示具有以三角 形配置針狀物之晶圓保持器,亦能同樣應用於具有以正方 形格子狀配置多數的針狀物之晶圓保持器以及具有以同心 _狀配置多數環狀封閉的突部之晶圓保持器等。又,本實 施例中被覆於晶圓保持器表面之材料42未必限於防帶電材 料’例如亦可爲硬度比底座構件4還高的材料。 其次’關於將第一圖之晶圓保持器WH應用於投影曝 光裝置後之例子,將參考第六圖及第七圖來說明。 第六圖係表示本實施例中所使用的投影曝光裝置,該 第六圖中,曝光時,由照明光學系統51對作爲光罩的光柵 R照射作爲曝光光線之曝光用的光IL,該照明光學系統51 係由水銀燈或準分子雷射(excimer laser)光源等曝光光源、 光學式積分器(optical integrator)、可變視野光圏(variable field stop)、及電容式透鏡(condenser lens)系統所構成。又 ,在光柵R所形成圖寒的像透過投影光學系統PL以投影 倍率α (α爲1/5、1M等)投影於作爲基板的晶圓w上的1 個照射區域,且該晶圓上塗布有光阻(photo-resist)。能將光 柵R及晶圓W分別視爲第1物體及第2物體。此時,曝光 量控制系統52根據主控制系統53的控制資訊使曝光量最 佳化。將與投影光學系統PL的光軸平行的方向定爲Z軸 ,並將在與Z軸垂直的平面內且與第六圖的紙面平行的方 向定爲X軸,再將與第六圖的紙面垂直的方向定爲Y軸來 21 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) . I ------—---------_ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490733 A7 ______ B7 五、發明說明(w) 說明下文。 此時,將標線板R吸附並保持於光柵台(reticle ► stage)54,並根據驅動系統57的控制資訊,該光柵台54在 光柵底座(reticle base)55上將光柵R在X方向、Y方向、 及旋轉方向上定位,該驅動系統57係根據利用雷射干涉計 56之光柵台54的座標測定値而動作。 另一方面,將晶圓W利用真空吸附而保持於晶圓保持 器WH上,且將晶圓保持器WH固定於試料台58(Z方向水 平載物台)。又,將試料台58固定於XY方向載物台59上 ;該XY方向載物台係以空氣軸承而浮起的方式被支撐於 平台60上。試料台58係控制晶圓W的Z方向位置(聚焦 位置)及傾斜角並以自動對焦的方式將晶圓W的表面一直 對準投影光學系統PL的像面;XY方向載物台59係根據 驅動系統62的控制資訊來以步進的方式在XY方向上移動 試料台58 ;該驅動系統62係由雷射干涉計61所測得試料 台58的位置而動作。將XY方向載物台59的步進移動及 來自光柵R曝光用的米IL對晶圓W的曝光以重覆且步進 的方式反覆進行,而將光柵R的圖案的像轉寫至晶圓W上 的各照射區域。 其次,關於將晶圓W載置於晶圓保持器WH上之際的 動作以及將晶圓W自晶圓保持器WH取出之際的動作,參 閱第七圖的流程圖來說明。 將晶圓W載置於晶圓保持器WH上時’首先在步驟 201,將第一圖A之閥VI〜V3全部關閉,並將晶圓保持器 22 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) , ; 裝— (請先閱讀背面之注意事項再填寫本頁) I- 訂---------線一 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(w) WH之吸附動作及供氣動作關閉。其次在步驟202,使晶圓 保持器WH中心之中心銷3上昇,並將未圖示之搬入臂上 的晶圓交給中心銷3。又,在步驟2〇3,使中心銷迴避並等 待搬入臂後,降下中心銷並將晶圓載置於晶圓保持器WH 上,在步驟204將通往第一圖A之高速排氣用真空室8a 的閥V2打開,將由底座構件4、環狀突緣2、及晶圓W所 包圍的空間內的氣體高速地排氣(高速吸引)。此時,本例 中,爲謀求生產產量提高,藉由使用真空室8a來提高吸引 壓力,例如提高至約—600mmHg。 、又,高速吸附晶圓W後,在步驟205,關閉第一圖A 之閥V2並將通往平常使用之真空泵7的排氣用閥VI打開 ,藉由真空泵7之吸引力吸附晶圓,然後演變至步驟206 之曝光動作。在將晶圓W載置之後,將晶圓W自晶圓保 持器WH取出爲止的期間,要有一定程度的吸引壓力即可 ,以便由於晶圓台的移動等原因所產生晶圓W的橫移對於 預先對準(pre-alignment)的精度等不致給予不良影響,但並 不需要想像中那樣高印吸引壓力。因此,本例中,爲了將 因真空吸附所致的晶圓W的變形抑制至最小,將利用通常 使用的真空泵7之吸引壓力降低至約—200〜一250mmHg 〇 如此,將晶圓載置於晶圓保持器WH上之際及進行除 此之外的動作等之際,藉由使各吸引壓力不同,將晶圓載 置於晶圓保持器WH時的時間縮短,能謀求曝光過程之生 產產量的提高,並能將由於真空吸附所致的晶圓變形抑制 23 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) _裝 ----—^tr---------*^一 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(v>) 至最小。 其次,將晶圓w自晶圓保持器WH取出之際,首先在 > 步驟211,關閉第一圖A的排氣用閥VI並關閉吸附動作。 然後在步驟212,使中心銷3上升並打開供氣用閥V3,將 氣體吹向並碰在晶圓W之底面,而在步驟213,從晶圓保 持器WH將晶圓W交給中心銷3,再將晶圓W自中心銷3 交給未圖示的搬出臂,而在步驟214進行晶圓的交換。 如此,當將晶圓W自晶圓保持器WH上取出時,藉由 將氣體吹向晶圓之底面,以縮短晶圓搬出的時間,而能謀 求曝光過程之生產產量的提高。甚至亦可縮短用來進行晶 圓位置檢出的對準感測器(alignment sensor)等之大氣開放 待機時間。 還有,作爲第六圖之投影曝光裝置之晶圓保持器WH ’係不僅可使用如第二握所示具有以正三角形配置的針狀 物之晶圓保持器,亦可使用具有以正方形格子狀配置許多 的針狀物之晶圓保持器以及具有許多以同心圓狀配置且呈 封閉環狀的針狀物之畢圓保持器。又,作爲第六圖之投影 曝光裝置之晶圓保持器WH,係亦可使用不具有如第五圖 所示沒有被覆材42的區域ST之晶圓保持器等。 又,若將真空紫外光等用於曝光用的光,則相對於利 用氦氣等之曝光用的光,要將曝光用的光之光程上之氣體 改用爲具透過性的氣體,還有在該情形,在上述步驟212 吹向晶圓底面的氣體,係相對於曝光用的光亦希望利用具 透過性的氣體。又,在步驟212吹向晶圓底面的氣體量係 24 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ; ---— II--· I-------_ (請先閱讀背面之注意事項再填寫本頁) 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(d) 希望是微小量,以不讓晶圓浮起。 又’在上述的實施型態,爲將晶圓吸附於晶圓保持器 而進行著真空吸附,但在其他情形亦能適用本發明,例如 利用靜電吸附以吸附晶圓的情形。 又’第六圖之透影曝光裝置不限於以重覆且步進的方 式者’以掃描且步進的方式或以鏡面投影方式等掃描曝光 方式或以在感光基板上將許多的圖案部分重疊並轉寫的縫 合且步進的方式來構成投影曝光裝置亦可。又,亦可將本 發明適用於不用投影光學系統的曝光裝置,例如近接式曝 光裝置等。又,曝光用的光(曝光光束)係不限於紫外光的 光,使用遠紫外光(EUV (Extreme Ultraviolet)光)、X 光、 電子束或離子束等帶電粒子束來作爲曝光光束亦可以。還 有,若第六圖之投影曝光裝置爲掃描曝光方式,則以與投 影光學系統PL之倍率大約一致的速度比同步驅動光柵台 54及XY方向載物台59,並對於曝光用的光IL,將光柵R 及晶圓W分別沿掃描方向(例如Y方向)相對移動。也就是 說,相對於藉由照明光學系統51而受到曝光用的光IL照 射之照明區域而與相對移動光柵R同步,並相對於藉由投 影光學系統PL而受到曝光用的光IL照射之曝光區域(以投 影光學系統PL爲基準而與照明區域共軛,且形成有光柵R 之圖案之像)而相對移動晶圓W。藉由該同步移動,光柵R 之圖案全面受到曝光用的光IL之照明,且晶圓W上之1 個照射區域全面受到曝光用的光IL之掃描曝光,因而光柵 R之圖案之像被轉寫至該照射區域上。 25 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ---;------------- (請先閱讀背面之注意事項再填寫本頁) 訂---------線| 490733 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明( >々) 又’上述實施例之投影曝光裝置,係將由許多透鏡所 構成的照明光學系統'投影光學系統裝入曝光裝置本體並 作光學上之調整,又將由許多機械構件所構成的光柵台或 晶圓台安裝在曝光裝置本體並連接配線或配管,然後能藉 由綜合調整(電氣調整、動作確認等)而製造而成。又,該 曝光裝置之製造希望在溫度及潔淨度(cleanliness level)等受 到管理的無麈室進行。 又,若使用上述實施例之投影曝光裝置來在晶圓上製 造半導體元件,則該半導體元件係經由下列步驟而製造出 來:、進行元件之功能、性能設計的步驟、根據該步驟而製 造光柵的步驟、由矽材料來製作晶圓的步驟、利用上述實 施例之投影曝光裝置進行對準並對晶圓曝光光柵之圖案的 步驟、元件組裝的步驟(包含切割製程、膠結製程、封裝製 程)、檢查的步驟等。 又,本發明,係適用於用以製造元件時所使用的曝光 裝置,該元件包含液晶顯示元件、電漿顯示元件等顯示元 件、攝影元件(CCD)鹤微型機械、薄膜磁氣頭等;亦適用 於以轉寫方式製造光罩或光柵時所使用的曝光裝置。還有 ,也能將本發明適用於在用來製造元件的光微影成像製程 中所使用的曝光裝置以外之各種裝置(檢查裝置等)。又’ 本發明之基板保持裝置所保持的基板不限於圓形(圓板狀) ,而方形等亦可以。 在2000年3月14日所提申請的日本專利申請第2〇〇〇 - 069321號的包含說明書、申請專利範圍、圖面及摘要書 26 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐Ί ---^---------裝------·--訂---------線· (請先閱讀背面之注意事項再填寫本頁) 490733 A7 ___B7_ 五、發明說明(K ) 的全部揭示係藉由引用而被放入本說明書中。 已經表示且說明種種典型的實施例,但本發明並不被 限制於該等實施例。因此,本發明之範圍僅被限制於下列 的申請專利範圍。 (請先閱讀背面之注意事項再填寫本頁) ---III.丨 1 · I------- 經濟部智慧財產局員工消費合作社印製 27 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 490733 A8 B8 C8 D8 六、申請專利範圍 I 一種基板保持裝置,係用以保持平板狀基板者,其 特徵在於: (請先閲讀背面之注意事項再填寫本頁) 具有底座構件及複數個突起狀支持部,該等突起狀支 持部係呈三角形格子狀排列於前述底座構件上,且其各個 頂端部實質上位於同一平面上; 並在前述複數個支持部上載置前述基板。 2·如申請專利範圍第1項之基板保持裝置,係設有吸 引機構,用以將前述複數個支持部上之前述基板向前述底 座構件側吸引。 '3.如申請專利範圍第1項之基板保持裝置,係在前述 底座構件上設有圍繞前述複數個支持部之環狀封閉的突部 ;並設有氣體吸引部,係用以吸引前述突部內側之氣體。 4·如申請專利範圍第2項之基板保持裝置,其中前述 複數個支持部,係排列成邊長爲a[m]的正三角形格子狀; 設將前述基板向前述底座構件側吸引時每單位長的吸 引力爲p[N/m],吸引前述基板時的容許變形量爲(5max[m] ,前述基板的楊氏模數爲E[Pa],前述基板的厚度爲t[m], 前述正三角形的長度a及前述吸引力p滿足以下條件: 經濟部智慧財產局員工消費合作社印製 p · a4 $ 18 · E · t3 · (5 max。 5·如申請專利範圍第4項之基板保持裝置,其中前述 基板係直徑約300mm的半導體基板,且前述正三角形之邊 長a爲1mm〜3 mm 〇 6·如申請專利範圍第1項至第5項中任一項之基板保 持裝置,其中前述底座構件,係在前述基板載置面當中, 1 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公嫠) 490733 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 除了與基板接觸面不同的部分,施加被覆於表面。 7·如申請專利範圍第1項至第5項中任一項之基板保 持裝置,係進一步具備吸引機構,其連接於前述底座構件 ,且設定成相較於將前述基板載置於前述複數個支持部上 時的吸引力,前述基板載置後之吸引力較弱。 8· —種基板保持裝置,係用以保持平板狀基板者,其 特徵在於: 具有底座構件及複數個突起狀支持部,該等突起狀支 持部係排列於前述底座構件上,且其各個頂端部實質上位 於同一平面上; 在包含前述支持部之基板接觸面的既定區域被覆導電 性材料,並在前述既定區域之間局部地設置未被覆前述導 電性材料的區域。 9_如申請專利範圍第8項之基板保持裝置,其中前述 底座構件及前述複數個支持部,係由具低膨脹率的非導電 性材料所形成。 10. —種基板保持裝置,係用以保持平板狀基板者, 其特徵在於: 具有與前述基板接觸的頂端部實質上位於同一平面之 複數個突起狀支持部,並具備: 底座構件,係在前述基板載置面當中,除了相異於與 基板接觸面的一部分,在其表面施加有被覆層;及 吸引機構,係連接於前述底座構件,並用以吸引其與 前述基板之間的氣體。 2 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閎讀背面之注意事項再填寫本頁) 裝- 、言 490733 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 11·如申請專利範圍第10項之基板保持裝置,其中前 述底座構件,係由與前述表面被覆層不同之低熱膨脹及非 導電性的材料所形成。 12·如申請專利範圍第1〇項或第11項之基板保持裝 置’其中前述吸引機構,係用以設定成相較於將前述基板 載置於前述複數個支持部上時之吸引力,前述基板載置後 之吸引力較弱。 13.如申請專利範圍第1〇項或第11項之基板保持裝 置,其中前述吸引機構,係包含氣體供給部,在將前述基 板自前述複數個支持部上拆下時,用以對其與前述基板之 間供給氣體。 14· 一種基板保持裝置,係用以保持平板狀基板,其 特徵在於:設有·· 底座構件; 複數個突起狀支持部,係以其各個頂端部實質上位於 同一平面的方式而被配置於前述底座構件; 環狀封閉的突部,係在前述底座構件上圍繞前述複數 個支持部;及 氣體吸引部,係用以吸引該突部內側之氣體; 以便設定成相較於將前述基板載置於前述複數個支持 部上時的吸引力,前述基板載置後之吸引力較弱。 15.如申請專利範圍第14項之基板保持裝置,係相較 於前述基板之載置’至少前述基板之加工時前述氣體之吸 引力較弱。 3 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 1r 49073^ ι A8 B8 C8 D8 申請專利範圍 第090105757號專利申請案 申請專利範圍修正頁(第4頁) -----------------------.11· ---- (請先閲讀背面之注意事項再填寫本頁) 16·如申請專利範圍第14項或第15項之基板保持裝 置’係設有氣體供給部,在將前述基板自前述複數個支持 部上拆下時,用以將氣體吹到前述環狀突部之內側。 Π. —種曝光裝置,係用以利用曝光光束透過第1物 體來曝光第2物體者,其特徵在於: 具備如申請專利範圍第1項至第5項、第8至第11項 、第14項、第15項中任一項之基板保持裝置,且藉由該 基板保持裝置來保持作爲前述基板之前述第2物體。 18. —種曝光裝置,係用以利用曝光光束來照明第1 物體,並透過前述第1物體利用前述曝光光束來曝光第2 物體者,其特徵在於具備保持器,該保持器具有: 複數個第1凸部,係與前述第2物體接觸的頂端部分 別實質上位於同一平面上,且配置成三角形格子狀;及 線 第2凸部,係由前述頂端部所定出的平面與上端部大 約一致,且圍繞前述複數個第1凸部。 19. 如申請專利範圍第18項之曝光裝置,係進一步具 備吸引機構,連接於前述保持器,並用以吸引前述第2物 體及前述第2凸部所圍繞的空間內之氣體。 20. —種曝光裝置,係用以利用曝光光束曝光第1物 體,且透過前述第1物體利用前述曝光光束來曝光第2物 體者,其特徵在於具備保持器,該保持器係: 具有複數個第1凸部及第2凸部,前者係與前述第2 物體接觸的各個頂端部實質上位於同一平面,而後者係由 前述頂端部所定的平面及上端部大約一致,且圍繞前述複 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490733 經齊部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 數個第1凸部,而且在前述第2物體載置面當中,除了與 前述第2物體接觸面不同的部分外,其他的表面上施加有 被覆層。 21.如申請專利範圍第20項之曝光裝置,係進一步具 備吸引機構,連接於前述保持器,並用以吸引前述第2物 體及前述第2凸部所圍繞的空間內之氣體。 22·如申請專利範圍第19項或第21項之曝光裝置, 其中前述吸引機構,係相較於前述第2物體之曝光動作時 的吸引力,用以將載置前述第2物體於前述保持器的吸引 力加大。 23. —種曝光裝置,係用以利用曝光光束曝光第1物 體,且透過前述第1物體利用前述曝光光束來曝光第2物 體者,其特徵在於具備保持器及吸引機構,該保持器係具 有: 第1凸部,係與前述第2物體接觸的各個頂端部實質 上位於同一平面;及 第2凸部,係由前述頂端部所定的平面及上端部大約 一致,且圍繞前述複數個第1凸部; 而該吸引機構,係連接於前述保持器,且相較於前述 第2物體之曝光動作時的吸引力,用以將載置前述第2物 體於前述保持器的吸引力加大。 24. 如申請專利範圍第19項、第21項、第23項中任 —項之曝光裝置,其中前述吸引機構係包含氣體供給部, 在將前述第2物體自前述保持器上拆下時,用以對其與前 5 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 490733 A8 B8 C8 D8 六、申請專利範圍 述第2物體之間供給氣體。 (請先閲讀背面之注意事項再填寫本頁) 25. 如申請專利範圍第19項、第21項、第23項中任 一項之曝光裝置,其中前述複數個第1凸部,係排列成大 致呈正三角形格子狀,而且設前述正三角形之邊長爲a[m] ,對前述第2物體每單位長的吸引力爲p[N/m],前述第2 物體之容許變形量爲5 max[m],前述第2物體之楊氏模數 爲E[Pa],前述第2物體的厚度爲t[m]時,前述正三角形的 長度a及前述吸引力p滿足p.a4$18.E·!:3· (5 max。 26. 如申請專利範圍第18項至第21項及第23項中任 一項之曝光裝置,其中前述第2凸部,係在其上端面,前 述平面及頂端部分別實質上一致而且形成有與前述第1凸 部相比高度較低的複數個凸部。 27. 如申請專利範圍第18項、第19項、第23項中任 一項之曝光裝置,其中保持器,係在前述第2物體載置面 當中,除了與前述第2物體接觸面不同的部分外,其他的 表面上施加有被覆層。 經濟部智慧財產局員工消費合作社印製 28·如申請專利範圍第18項至第21項及第23項中任 一項之曝光裝置,其中更具備平台系統,係用以對前述曝 光光束分別相對移動前述第1及第2物體,以利用由前述 曝光光束之照射所產生之來自第1物體的光束來掃描曝光 前述第2物體。 29.如申請專利範圍第28項之曝光裝置,其中前述複 數個支持部係排列成等腰三角形格子狀,該等腰三角形之 底邊與交叉於前述掃描曝光時前述第2物體移動的第1方 6 玉紙張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐) 490733 A8 B8_^______ 六、申請專利範圍 向之第2方向平行。 30. 如申請專利範圍第29項之曝光裝置,其中前述等 於 腰三角形,係其高與前述第1方向平行,且比前述底邊爲 長。 31. 如申請專利範圍第28項之曝光裝置,其中前述複 數個支持部,係排列成平行四邊形之格子狀,且該平行四 邊形之兩邊與交叉於前述掃描曝光時前述第2物體移動的 第1方向之第2方向平行。 32. 如申請專利範圍第28項之曝光裝置,其中前述複 數個支持部,係排列成菱形格子狀,且該菱形的寬度,係 前述掃描曝光時前述第2物體移動的第1方向上的寬度比 交叉於前述第1方向之第2方向上的寬度爲寬。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 7 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW090105757A 2000-03-13 2001-03-13 Substrate holding apparatus and exposure apparatus including substrate-holding apparatus TW490733B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000069321 2000-03-13
JP2001061769A JP2001332609A (ja) 2000-03-13 2001-03-06 基板保持装置及び露光装置

Publications (1)

Publication Number Publication Date
TW490733B true TW490733B (en) 2002-06-11

Family

ID=26587359

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090105757A TW490733B (en) 2000-03-13 2001-03-13 Substrate holding apparatus and exposure apparatus including substrate-holding apparatus

Country Status (6)

Country Link
US (1) US6710857B2 (zh)
JP (1) JP2001332609A (zh)
KR (1) KR100752091B1 (zh)
CN (1) CN1306583C (zh)
SG (1) SG96605A1 (zh)
TW (1) TW490733B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI381906B (zh) * 2006-01-30 2013-01-11 Memc Electronic Materials 雙面晶圓研磨器及評估工作件奈米布局之方法

Families Citing this family (407)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6836316B2 (en) * 2001-07-26 2004-12-28 Canon Kabushiki Kaisha Substrate holding apparatus and exposure apparatus using the same
JP2003158173A (ja) * 2001-11-20 2003-05-30 Oki Electric Ind Co Ltd ウェハホルダ
JP4288694B2 (ja) * 2001-12-20 2009-07-01 株式会社ニコン 基板保持装置、露光装置及びデバイス製造方法
JP3769262B2 (ja) * 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
JP4458322B2 (ja) * 2003-01-14 2010-04-28 キヤノン株式会社 露光装置およびデバイス製造方法
EP1475666A1 (en) * 2003-05-06 2004-11-10 ASML Netherlands B.V. Substrate holder for lithographic apparatus
EP1482370B1 (en) 2003-05-06 2012-02-01 ASML Netherlands B.V. Substrate holder for lithographic apparatus
EP2738792B1 (en) * 2003-06-13 2015-08-05 Nikon Corporation Exposure method, substrate stage, exposure apparatus, and device manufacturing method
EP1498777A1 (en) * 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
EP1500984B1 (en) * 2003-07-23 2014-02-26 ASML Netherlands B.V. Article holder for a lithographic apparatus
KR100697299B1 (ko) * 2003-07-23 2007-03-20 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 디바이스 제조방법 및 그에 따라 제조된디바이스
EP1510868A1 (en) * 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602004008009T2 (de) * 2003-11-05 2008-04-30 Asml Netherlands B.V. Lithographischer Apparat
EP1530089B1 (en) 2003-11-05 2011-04-06 ASML Netherlands B.V. Lithographic apparatus and method for clamping an article
US7019820B2 (en) * 2003-12-16 2006-03-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088431B2 (en) * 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7019816B2 (en) * 2003-12-17 2006-03-28 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP4113509B2 (ja) * 2004-03-09 2008-07-09 スピードファム株式会社 被研磨物保持用キャリア
JP4826146B2 (ja) * 2004-06-09 2011-11-30 株式会社ニコン 露光装置、デバイス製造方法
CN1965389B (zh) * 2004-06-09 2011-08-10 尼康股份有限公司 基板保持装置、具备其之曝光装置及方法、元件制造方法
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
JP4579004B2 (ja) * 2005-02-23 2010-11-10 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
US20080166952A1 (en) * 2005-02-25 2008-07-10 Shin-Etsu Handotai Co., Ltd Carrier For Double-Side Polishing Apparatus, Double-Side Polishing Apparatus And Double-Side Polishing Method Using The Same
US20060271302A1 (en) * 2005-05-27 2006-11-30 Ehrlich James L Method of Assessing Productivity of Lactating Animals Using Fitted Parameters to a Mechanistic Lactation Model
KR101294450B1 (ko) * 2005-07-27 2013-08-07 코닝 인코포레이티드 유리시트를 측정하기 위한 장치 및 방법
US20070097346A1 (en) * 2005-10-28 2007-05-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007158075A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158076A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
KR100689843B1 (ko) * 2006-01-03 2007-03-08 삼성전자주식회사 웨이퍼 스테이지 및 이를 이용한 웨이퍼 안착방법
EP1840657A1 (en) * 2006-03-28 2007-10-03 Carl Zeiss SMT AG Support structure for temporarily supporting a substrate
US7659964B2 (en) * 2006-03-28 2010-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Level adjustment systems and adjustable pin chuck thereof
EP2006899A4 (en) * 2006-04-05 2011-12-28 Nikon Corp STAGE APPARATUS, EXPOSURE APPARATUS, STAGE CONTROL METHOD, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD
US7978308B2 (en) * 2006-05-15 2011-07-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4904960B2 (ja) * 2006-07-18 2012-03-28 信越半導体株式会社 両面研磨装置用キャリア及びこれを用いた両面研磨装置並びに両面研磨方法
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP5634864B2 (ja) * 2007-05-30 2014-12-03 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation リソグラフィック・プロセスに於ける、プロセス制御方法およびプロセス制御装置
JP2009130008A (ja) * 2007-11-21 2009-06-11 Toray Eng Co Ltd 塗布装置及びその基板保持方法
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4605233B2 (ja) * 2008-02-27 2011-01-05 信越半導体株式会社 両面研磨装置用キャリア及びこれを用いた両面研磨装置並びに両面研磨方法
JP5276338B2 (ja) * 2008-02-27 2013-08-28 東レエンジニアリング株式会社 塗布装置
JP2009212345A (ja) * 2008-03-05 2009-09-17 Nsk Ltd ワークチャック、露光装置及びフラットパネル製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
NL2003758A (en) * 2008-12-04 2010-06-07 Asml Netherlands Bv A member with a cleaning surface and a method of removing contamination.
JP5420942B2 (ja) * 2009-03-19 2014-02-19 大日本スクリーン製造株式会社 パターン描画装置およびパターン描画方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102213920A (zh) * 2010-04-01 2011-10-12 亿力鑫系统科技股份有限公司 承载装置及具有该承载装置的曝光机
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9588441B2 (en) 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014084229A1 (ja) * 2012-11-30 2014-06-05 株式会社ニコン 搬送システム、露光装置、搬送方法、露光方法及びデバイス製造方法、並びに吸引装置
JP5521066B1 (ja) * 2013-01-25 2014-06-11 東京エレクトロン株式会社 接合装置及び接合システム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104037113B (zh) * 2013-03-04 2018-05-08 中微半导体设备(上海)有限公司 等离子体处理腔室的供气装置以及去夹持方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6340693B2 (ja) * 2013-07-18 2018-06-13 株式会社ブイ・テクノロジー 基板の保持装置及び密着露光装置並びに近接露光装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102411747B1 (ko) 2013-10-30 2022-06-22 가부시키가이샤 니콘 기판 유지 장치, 노광 장치 및 디바이스 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150377744A1 (en) * 2014-06-27 2015-12-31 The Procter & Gamble Company Systems and methods for predicting the performance of a vacuum unit on a material
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104238158B (zh) * 2014-09-23 2017-02-08 深圳市华星光电技术有限公司 一种升降装置以及升降系统
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107710397B (zh) * 2015-06-12 2021-02-19 株式会社爱发科 基板保持装置、成膜装置和基板保持方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102440560B1 (ko) * 2015-11-03 2022-09-06 삼성디스플레이 주식회사 레이저 결정화 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6788678B2 (ja) 2016-02-08 2020-11-25 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、基板をアンロードする方法、及び基板をロードする方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (ko) 2016-12-14 2025-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
EP3422396B1 (de) * 2017-06-28 2021-08-04 Meyer Burger (Germany) GmbH Vorrichtung zum transport eines substrats, behandlungsvorrichtung mit einer an einen substratträger einer solchen vorrichtung angepassten aufnahmeplatte und verfahren zum prozessieren eines substrates unter nutzung einer solchen vorrichtung zum transport eines substrats sowie behandlungsanlage
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
TWI815813B (zh) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7143587B2 (ja) * 2017-12-28 2022-09-29 富士電機株式会社 半導体製造装置および半導体装置の製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI852426B (zh) 2018-01-19 2024-08-11 荷蘭商Asm Ip私人控股有限公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102600229B1 (ko) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12272527B2 (en) 2018-05-09 2025-04-08 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TWI879056B (zh) 2018-05-11 2025-04-01 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10768534B2 (en) * 2018-08-14 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photolithography apparatus and method and method for handling wafer
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102748291B1 (ko) 2018-11-02 2024-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI874340B (zh) 2018-12-14 2025-03-01 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko) 2019-01-22 2024-11-07 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI873122B (zh) 2019-02-20 2025-02-21 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR102782593B1 (ko) 2019-03-08 2025-03-14 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102762833B1 (ko) 2019-03-08 2025-02-04 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR102809999B1 (ko) 2019-04-01 2025-05-19 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja) 2019-05-16 2024-12-11 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja) 2019-05-16 2025-01-14 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200141931A (ko) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US12169361B2 (en) 2019-07-30 2024-12-17 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN112342526A (zh) 2019-08-09 2021-02-09 Asm Ip私人控股有限公司 包括冷却装置的加热器组件及其使用方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102806450B1 (ko) 2019-09-04 2025-05-12 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko) 2019-09-05 2024-11-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693B (zh) 2019-11-29 2025-06-10 Asmip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TWI871421B (zh) 2020-02-03 2025-02-01 荷蘭商Asm Ip私人控股有限公司 包括釩或銦層的裝置、結構及其形成方法、系統
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TWI855223B (zh) 2020-02-17 2024-09-11 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210113043A (ko) 2020-03-04 2021-09-15 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 정렬 고정구
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US12173404B2 (en) 2020-03-17 2024-12-24 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko) 2020-04-02 2025-01-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
KR102719377B1 (ko) 2020-04-03 2024-10-17 에이에스엠 아이피 홀딩 비.브이. 배리어층 형성 방법 및 반도체 장치의 제조 방법
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210130646A (ko) 2020-04-21 2021-11-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 방법
KR20210132612A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 화합물들을 안정화하기 위한 방법들 및 장치
TW202208671A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 形成包括硼化釩及磷化釩層的結構之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR102783898B1 (ko) 2020-04-29 2025-03-18 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20230007506A (ko) 2020-05-09 2023-01-12 어플라이드 머티어리얼스, 인코포레이티드 실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들
KR102788543B1 (ko) 2020-05-13 2025-03-27 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102795476B1 (ko) 2020-05-21 2025-04-11 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145079A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 플랜지 및 장치
TWI873343B (zh) 2020-05-22 2025-02-21 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI876048B (zh) 2020-05-29 2025-03-11 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
KR20210156219A (ko) 2020-06-16 2021-12-24 에이에스엠 아이피 홀딩 비.브이. 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TWI873359B (zh) 2020-06-30 2025-02-21 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011092A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
TWI878570B (zh) 2020-07-20 2025-04-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202219303A (zh) 2020-07-27 2022-05-16 荷蘭商Asm Ip私人控股有限公司 薄膜沉積製程
KR20220021863A (ko) 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh) 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh) 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220036866A (ko) 2020-09-16 2022-03-23 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물 증착 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202218049A (zh) 2020-09-25 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050048A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh) 2020-11-12 2022-08-01 特文特大學 沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh) 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US12255053B2 (en) 2020-12-10 2025-03-18 Asm Ip Holding B.V. Methods and systems for depositing a layer
TW202233884A (zh) 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202232639A (zh) 2020-12-18 2022-08-16 荷蘭商Asm Ip私人控股有限公司 具有可旋轉台的晶圓處理設備
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112922952B (zh) * 2021-01-22 2021-12-14 宁波云德半导体材料有限公司 一种具有泄压功能的石英真空吸附装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD1060598S1 (en) 2021-12-03 2025-02-04 Asm Ip Holding B.V. Split showerhead cover

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57169244A (en) * 1981-04-13 1982-10-18 Canon Inc Temperature controller for mask and wafer
US4737824A (en) * 1984-10-16 1988-04-12 Canon Kabushiki Kaisha Surface shape controlling device
KR900001241B1 (ko) * 1985-04-17 1990-03-05 가부시기가이샤 히다찌세이사꾸쇼 광 노출 장치
JP2574818B2 (ja) 1987-11-16 1997-01-22 株式会社日立製作所 真空吸着固定台および真空吸着固定方法
EP0456426B1 (en) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
EP0463853B1 (en) * 1990-06-29 1998-11-04 Canon Kabushiki Kaisha Vacuum chuck
JP3168018B2 (ja) * 1991-03-22 2001-05-21 キヤノン株式会社 基板吸着保持方法
JPH0521584A (ja) * 1991-07-16 1993-01-29 Nikon Corp 保持装置
JPH05235060A (ja) * 1992-02-21 1993-09-10 Citizen Watch Co Ltd 半導体集積回路装置とその製造方法
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
KR0166215B1 (ko) * 1995-12-19 1999-02-01 김광호 반도체 노광장치의 독립 진공형 웨이퍼 홀더
US5923408A (en) * 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
JP2991110B2 (ja) * 1996-05-01 1999-12-20 日本電気株式会社 基板吸着保持装置
JPH1092738A (ja) * 1996-09-18 1998-04-10 Canon Inc 基板保持装置およびこれを用いた露光装置
JPH10144776A (ja) * 1996-11-13 1998-05-29 Sumitomo Metal Ind Ltd Siウェハ保持用真空チャック
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
EP1059566B1 (en) 1999-06-11 2006-08-23 ASML Netherlands B.V. Lithographic projection apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI381906B (zh) * 2006-01-30 2013-01-11 Memc Electronic Materials 雙面晶圓研磨器及評估工作件奈米布局之方法

Also Published As

Publication number Publication date
KR100752091B1 (ko) 2007-08-28
JP2001332609A (ja) 2001-11-30
CN1313633A (zh) 2001-09-19
US20020008864A1 (en) 2002-01-24
CN1306583C (zh) 2007-03-21
US6710857B2 (en) 2004-03-23
SG96605A1 (en) 2003-06-16
KR20010091983A (ko) 2001-10-23

Similar Documents

Publication Publication Date Title
TW490733B (en) Substrate holding apparatus and exposure apparatus including substrate-holding apparatus
JP6855010B6 (ja) 基板保持装置、露光装置及びデバイス製造方法
CN113035768B (zh) 搬送系统
US7218383B2 (en) Holding system, exposure apparatus, and device manufacturing method
US20210366792A1 (en) Backside deposition tuning of stress to control wafer bow in semiconductor processing
JP6245308B2 (ja) 基板搬送方法、デバイス製造方法、基板搬送装置および露光装置
US20080068580A1 (en) Substrate-retaining unit
TW202236496A (zh) 吸盤、基板保持裝置、基板處理裝置、及物品的製造方法
JP2003332411A (ja) 基板保持装置及び露光装置
JP6981513B2 (ja) マスク保持装置、露光装置、マスク保持方法、及び露光方法
JP2014003259A (ja) ロード方法、基板保持装置及び露光装置
JP2003258071A (ja) 基板保持装置及び露光装置
JPH11307425A (ja) マスクの受け渡し方法、及び該方法を使用する露光装置
JP4348734B2 (ja) 基板保持装置及び露光装置、並びにデバイス製造方法
JP4151934B2 (ja) リソグラフィ投影装置
JP2001127144A (ja) 基板吸着保持方法、基板吸着保持装置および該基板吸着保持装置を用いた露光装置ならびにデバイス製造方法
JP2005044882A (ja) 搬送装置及び露光装置
JP2011100917A (ja) 基板受け渡し装置、露光装置、デバイス製造方法、及び基板受け渡し方法
JP2021068878A (ja) 基板保持装置
JP5741926B2 (ja) 物体交換システム、露光装置、フラットパネルディスプレイの製造方法、デバイス製造方法、及び物体交換方法
JP2005277117A (ja) 基板保持装置、露光方法及び装置、並びにデバイス製造方法
JP7025165B2 (ja) 露光装置、搬送装置及び物品の製造方法
JP6015983B2 (ja) 物体交換システム、露光装置、フラットパネルディスプレイの製造方法及びデバイス製造方法
JP2020024334A (ja) 保持装置、リソグラフィ装置及び物品の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent