KR20040063828A - 가변식 가스 분배 플레이트 조립체 - Google Patents
가변식 가스 분배 플레이트 조립체 Download PDFInfo
- Publication number
- KR20040063828A KR20040063828A KR1020040000862A KR20040000862A KR20040063828A KR 20040063828 A KR20040063828 A KR 20040063828A KR 1020040000862 A KR1020040000862 A KR 1020040000862A KR 20040000862 A KR20040000862 A KR 20040000862A KR 20040063828 A KR20040063828 A KR 20040063828A
- Authority
- KR
- South Korea
- Prior art keywords
- plate
- variable
- gas
- gas distribution
- assembly
- Prior art date
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/13—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour based on liquid crystals, e.g. single liquid crystal display cells
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Nonlinear Science (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Optics & Photonics (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
가스 분배 플레이트 조립체 및 프로세싱 챔버내에 가스를 분배하는 방법이 제공된다. 일 실시예에서, 가스 분배 플레이트 조립체는 확산기 플레이트에 결합된 가변식 플레이트를 포함한다. 상기 가변식 플레이트는 상기 확산기 플레이트를 통해 형성된 다수의 개구와 정렬된 다수의 관통 오리피스 홀을 구비하며, 상기 개구는 각각 상기 가변식 플레이트내의 홀 보다 큰 단면적을 갖는다. 각각의 개구는 각각의 홀과 정렬되어 가스 분배 플레이트 조립체를 통한 가스 통로를 형성한다. 가변식 플레이트는 교체용 가변식 플레이트와 교환되어 가스 분배 플레이트 조립체를 통한 가스 유동 특성을 변화시킬 수 있다.
Description
본 발명은 주로 가스 분배 플레이트 조립체 및 처리 챔버내의 가스 분배 방법에 관한 것이다.
액정 디스플레이 및 평면 패널(flat panel)은 컴퓨터 및 텔레비젼 모니터와 같은 능동 매트릭스(active matrix) 디스플레이에 일반적으로 사용된다. 일반적으로, 평면 패널은 액정 물질층이 사이에 배치된 두개의 유리 플레이트를 포함한다. 유리 플레이트 중 하나 이상은 전력 공급원에 연결된 하나 이상의 전도성 필름을 포함한다. 전력 공급원으로부터 전도성 필름으로 공급되는 전력은 결정(crystal) 재료의 방향(orientation)을 변경시켜, 문자 또는 그림과 같은 패턴을 디스플레이 상에 생성한다. 평면 패널을 제조하는데 주로 이용되는 하나의 제조 공정은 플라즈마 화학증착(PECVD)이다.
일반적으로, 플라즈마 화학증착은 평면 패널 또는 반도체 웨이퍼와 같은 기판상에 얇은 필름을 증착하기 위해 이용된다. 플라즈마 화학증착은 평면 패널을 수용한 진공 챔버내로 전구(precursor) 가스를 도입함으로써 이루어진다. 통상적으로, 전구 가스는 챔버의 상단 근방에 위치된 분배 플레이트를 통해 배향된다. 챔버에 연결된 하나 이상의 RF 공급원으로부터 챔버로 RF 전력을 인가함으로써, 챔버내의 전구 가스가 플라즈마로 여기(excite)된다. 여기된 가스는 반응을 통해서온도 제어된 기판 지지부 상에 위치된 평면 패널의 표면상에 재료 층을 형성한다. 평면 패널이 저온 폴리실리콘(polysilicon) 층을 수용하는 용도의 경우에, 기판 지지부는 400 ℃ 이상으로 가열될 것이다. 반응중에 생성된 휘발성 부산물은 배기 시스템을 통해 챔버로부터 펌핑되어 배출된다.
통상적으로, PECVD 기술에 의해 처리되는 평면 패널은 대형으로서 종종 360mm ×460mm 를 초과하고 1 평방 미터 이상인 크기를 갖는다. 이에 비례하여, 평면 패널에 걸친 균일한 처리 가스 유동을 제공하는데 이용되는 가스 분배 플레이트도 크기가 크며, 특히 200mm 및 300mm 반도체 웨이퍼 처리에 이용되는 가스 분배 플레이트에 비해 그 크기가 크다.
평면 패널 처리에 이용되는 대형의 가스 분배 플레이트는 수많은 제조상의 문제들을 가지고 있으며, 그러한 문제들은 그 가스 분배 플레이트의 제조에 많은 비용이 들게 한다. 예를 들어, 가스 분배 플레이트를 관통하여 형성된 가스 유동 홀(hole)은 가스 분배 플레이트의 두께에 비해 지름이 작으며, 그에 따라 홀 형성 중에 잦은 드릴 비트(drill bit) 파손을 초래한다. 파손된 드릴 비트의 제거에는 많은 시간이 소요될 뿐만 아니라, 전체적인 가스 분배 플레이트에 긁힘을 초래할 수도 있다. 또한, 가스 분배 플레이트를 통해 형성되는 가스 유동 홀의 개수가 평면 패널의 크기에 비례하기 때문에, 각 가스 분배 플레이트에 형성되는 많은 수의 홀은 가스 분배 플레이트 제조 중에 문제를 일으킬 가능성을 높게 한다. 또한, 드릴 비트 파손을 최소화하는데 필요한 주의를 기울이면 많은 수의 홀을 제조하는데 장시간이 소요되고, 그에 따라 제조 비용이 상승하게 된다.
가스 분배 플레이트의 제조 비용과 재료비가 고가이기 때문에, 효율적으로 그리고 저렴하게 제조될 수 있는 구성의 가스 분배 플레이트를 개발하는 것이 바람직하다. 또한, 1.44 평방 미터 이상의 평면 패널을 처리할 수 있도록 다음 세대의 가스 분배 플레이트의 크기가 커지기 때문에, 전술한 문제들의 해결이 점점 더 중요해 진다.
따라서, 개선된 가스 분배 플레이트가 요구되고 있다.
가스 분배 플레이트 조립체 및 처리 챔버내에서 가스를 분배하는 방법이 제공된다. 일 실시예에서, 가스 분배 플레이트 조립체는 확산 플레이트에 연결된 가변식(tuning) 플레이트를 포함한다. 가변식 플레이트는 확산기 플레이트를 통해 형성된 다수의 개구와 정렬되고 관통하여 형성된 다수의 오리피스(orifice) 홀을 구비하며, 상기 각각의 개구는 각각의 홀과 정렬되어 가스 분배 플레이트 조립체를 통한 가스 통로를 형성한다. 가변식 플레이트는 가스 분배 플레이트 조립체를 통한 가스 유동 특성을 변화시키기 위해 교체용 가변식 플레이트와 교체될 수도 있다.
도 1은 본 발명의 가스 분배 플레이트 조립체를 갖춘 프로세싱 챔버의 제 1 실시예의 개략적인 단면도이다.
도 2a는 도 1에 도시된 가스 분배 플레이트 조립체의 분해 사시도이다.
도 2b는 가스 분배 플레이트 조립체의 다른 실시예의 사시도로서의 일부 단면도이다.
도 3은 도 2a의 가스 분배 플레이트 조립체의 단면도이다.
도 4는 도 2a의 가스 분배 플레이트 조립체의 일부 평면도이다.
도 5는 도 3의 가스 분배 플레이트 조립체를 관통해서 형성된 가스 통로의 일부 단면도이다.
도 6은 파열식 고정 시스템을 도시하는 도 2a의 가스 분배 플레이트 조립체의 분해도로서의 일부 단면도이다.
도 7a 및 도 7b는 교체용 가변식 플레이트의 일 실시예를 구비하는 가스 분배 플레이트 조립체의 평면도이다.
도 8a 및 도 8b는 교체용 가변식 플레이트의 다른 실시예를 구비하는 가스 분배 플레이트 조립체의 평면도이다.
도 9는 가스 분배 플레이트 조립체의 다른 실시예를 도시한다.
첨부 도면과 관련한 다음의 상세한 설명을 고려함으로써 본 발명의 개시를 용이하게 이해할 수 있다.
용이한 이해를 위해, 도면에 공통적으로 도시된 동일한 요소를 지시하는데 가능한 한 동일한 도면 부호를 사용하였다.
본 발명은 전체적으로 가스 분배 플레이트 조립체와, 프로세싱 챔버 내부로의 가스 공급을 조절하기 위한 방법을 제공한다. 본 발명은 미국 캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼즈, 인코포레이티드(Applied Materials, Inc.)의 지사인 AKT로부터 이용가능한 플라즈마 화학 기상 증착(PECVD) 시스템과 같은, 넓은 영역의 기판을 처리하기 위해 구성된 플라즈마 강화 화학 기상 증착 시스템에 관해 아래에 도해적으로 설명된다. 그러나, 본 발명이 에칭 시스템, 다른 화학 기상 증착 시스템, 및 둥근 기판을 프로세싱하도록 구성된 유형의 시스템들을 포함하여 프로세싱 챔버 내부에 가스를 분배하는 임의의 다른 시스템과 같은 다른 시스템 구성에 이용될 수 있음을 이해해야 한다.
도 1은 플라즈마 강화 화학 기상 증착 시스템(100)의 일 실시예의 횡단면도이다. 이러한 시스템(100)은 대체로 가스 공급원(104)에 연결된 프로세싱 챔버(102)를 포함한다. 이 프로세싱 챔버(102)는 벽(106)과 바닥(108)을 갖추고 있는데, 이러한 바닥(108)은 프로세스 체적부(112)를 부분적으로 형성한다. 프로세스 체적부(112)는 전형적으로 벽(106) 내의 포트(도시 안됨)를 통해 접근되며, 이러한 포트는 프로세싱 챔버(102) 내외로의 기판(140)의 이송을 용이하게 만든다. 벽(106)과 바닥(108)은 전형적으로 단일 블록의 알루미늄 또는, 프로세싱에 대해 친화성을 가지는 다른 물질로 조립된다. 이러한 벽(106)은 펌핑 플레넘(pumping plenum; 114)을 포함하는 리드 조립체(lid assembly; 110)를 지지하는데, 이 펌핑 플레넘(114)은 프로세스 체적부(112)를 (도시되지 않은 여러 펌핑 부품을 포함하는) 배출 포트에 연결시킨다.
온도 제어식 기판 지지 조립체(138)는 프로세싱 챔버(102) 내부에 중앙으로 배치되어 있다. 이러한 온도 제어식 기판 지지 조립체(138)는 프로세싱 동안 기판(140)을 지지한다. 일 실시예에서, 온도 제어식 기판 지지 조립체(138)는 하나 이상의 매설형 히터(132)를 캡슐화하는 알루미늄 바디(124)를 포함한다.
저항 요소와 같은 이러한 히터(132)는 온도 제어식 기판 지지 조립체(138) 내에 배치되며, 전력 공급원(130)에 연결되고, 기판 지지 조립체(138)와 그 위에 위치된 기판(140)을 소정 온도까지 제어가능하게 가열한다. 종래의 CVD 프로세스에서, 히터(132)는 기판(140) 상에 증착되는 물질에 대한 증착 프로세싱 파라미터에 따라 약 150 내지 적어도 약 460 ℃의 일정한 온도로 기판(140)을 유지시킨다.
일반적으로, 기판 지지 조립체(138)는 하부측(126)과 상부측(134)을 갖추고 있다. 상부측(134)은 기판(140)을 지지한다. 하부측(126)에는 스템(stem; 142)이 연결되어 있다. 이러한 스템(142)은 기판 지지 조립체(138)를 리프트 시스템(도시 안됨)에 연결시키는데, 이 리프트 시스템은 상승된 프로세싱 위치(도시됨)와 하강된 위치 사이에서 기판 지지 조립체(138)를 이동시킨다. 하강된 위치에서는 프로세싱 챔버(102) 내외로의 기판 이송이 용이하다. 스템(142)은 기판 지지 조립체(138)와 시스템(100)의 다른 부품 사이에서 전기 및 열전쌍 배선(leads)을 위한 도관을 제공한다.
기판 지지 조립체(138)(또는 스템(142))와 프로세싱 챔버(102)의 바닥 사이에는 벨로우즈(146)가 연결되어 있다. 이러한 벨로우즈(146)는 챔버 체적부(112)와 프로세싱 챔버(102)의 외부의 대기 사이에 진공 밀봉을 제공하는 한편, 기판 지지 조립체(138)의 수직 이동을 용이하게 한다.
이러한 기판 지지 조립체(138)는 대개 접지되어, 기판 지지 조립체(138)와 리드 조립체(110) 사이에 위치되는 가스 분배 플레이트 조립체에(또는 챔버의 리드 조립체 내부 또는 근처에 위치된 다른 전극에) 전원 공급원(122)에 의해 공급되는 RF 파워가 기판 지지 조립체(138)와 가스 분배 플레이트 조립체(118) 사이의 프로세스 체적부(112) 내에 존재하는 가스를 여기(excite)시킬 수 있다. 전력 공급원(122)로부터의 RF 파워는 대개 화학 기상 증착 프로세스를 실행하기 위한 기판의 크기와 동일한 정도로 선택된다.
기판 지지 조립체(138)는 둘러싸는 셰도우(shadow) 프레임(148)을 추가로 지지한다. 대체로, 셰도우 프레임(148)은 기판 지지 조립체(138)와 기판(140)의 엣지에서의 증착을 방지하여, 기판이 기판 지지 조립체(138)에 고착되지 않는다.
기판 지지 조립체(138)는 다수의 리프트 핀(150)을 수용하는 다수의 홀(128)을 구비하는데, 이 홀(128)은 기판 지지 조립체(138)를 관통해서 배치된다. 리프트 핀(150)은 전형적으로 세라믹 또는 양극처리된 알루미늄으로 이루어져 있다. 대체로, 리프트 핀(150)은 제 1 단부를 구비하는데, 리프트 핀(150)이 정상 위치(즉, 기판 지지 조립체(138) 내로 삽입된 위치)에 있을 때, 이러한 제 1 단부는 기판 지지 조립체(138)의 상부측(134)으로부터 다소 오목하거나 또는 상부측(134)과 거의 동일한 평면을 가진다. 이러한 제 1 단부는 리프트 핀(150)이 홀(128)을 통과해서 내려가지 않도록 통상적으로 나팔형상으로 돌출되어 있다. 또한, 리프트 핀(150)은 제 2 단부를 구비하는데, 이러한 제 2 단부는 기판 지지 조립체(138)의하부측(126)을 지나 연장된다. 리프트 핀(150)은 리프트 판(154)에 의해 기판 지지 조립체(138)에 대해 작동되어, 지지면(130)으로부터 돌출될 수 있다.
리프트 판(154)은 프로세싱 챔버(102)의 바닥(108)과 기판 지지 조립체(138)의 하부측(126) 사이에 배치된다. 이러한 리프트 판(154)은 스템(142)의 일부분을 둘러싸는 칼라(156)에 의해 액츄에이터(도시 안됨)에 연결되어 있다. 벨로우즈(146)는 상부(168) 및 하부(170)를 포함하는데, 하부(170)는 스템(142) 및 칼라(156)가 독립적으로 이동될 수 있게 하는 한편, 프로세싱 챔버(102)의 외부의 환경으로부터 프로세스 체적부(112)의 고립을 유지시킨다. 대체로, 기판 지지 조립체(138)와 리프트 판(154)이 서로에 대해 보다 근접하도록 함께 이동될 때, 리프트 판(154)은 리프트 핀(150)이 상부측(134)으로부터 연장되게 하도록 작동된다.
리드 조립체(110)는 프로세스 체적부(112)에 상부 경계를 제공한다. 이러한 리드 조립체(110)는 통상적으로 제거되거나 개방될 수 있어서, 프로세싱 챔버(102)를 수리할 수 있다. 일 실시예에서, 리드 조립체(110)는 알루미늄으로 제조된다.
리드 조립체(110)에는 외부 펌핑 시스템(도시 안됨)에 연결된 펌핑 플레넘(114)이 내부에 형성되어 있다. 펌핑 플레넘(114)은 가스와 프로세싱 부산물을 프로세스 체적부(112)로부터 프로세싱 챔버(102) 외부로 일정하게 흘려 보내는데 이용된다.
리드 조립체(110)는 통상적으로 입구 포트(180)를 포함하는데, 이러한 입구 포트(180)를 통해 가스 공급원(104)에 의해 제공되는 프로세스 가스가 프로세싱 챔버(102) 안으로 도입된다. 입구 포트(180)는 또한 세정 공급원(182)에 연결되어있다. 세정 공급원(182)은 통상 해리된 불소와 같은 세정액을 제공하는데, 이러한 세정액은 프로세싱 챔버(102) 안으로 도입되어, 가스 분배 플레이트 조립체(118)를 포함한 프로세싱 챔버 하드웨어로부터 증착 부산물과 막(films)을 제거한다.
가스 분배 플레이트 조립체(118)는 리드 조립체(110)의 내부측(120)에 연결되어 있다. 통상적으로, 가스 분배 플레이트 조립체(118)는 예컨대, 커다란 영역의 기판에 대해서는 다각형, 그리고 웨이퍼에 대해서는 원형과 같이, 기판(140)의 형상에 거의 부합되도록 구성된다. 가스 분배 플레이트 조립체(118)는 다공 영역(perforated area; 116)을 포함하는데, 이러한 다공 영역(116)을 통해 가스 공급원(104)으로부터 공급되는 프로세스 가스 및 다른 가스들이 프로세스 체적부(112)에 전달된다. 가스 분배 플레이트 조립체(118)의 다공 영역(116)은 가스 분배 플레이트 조립체(118)를 통해 프로세싱 챔버(102) 안으로 가스의 균일한 분배를 제공하도록 구성되어 있다. 본 발명에 유리할 수 있게 되어 있는 한 가스 분배 플레이트가 켈러(Keller) 등에게 허여되었으며 참조로서 그 전체 문헌이 여기에 포함된 미국특허출원 제 09/922,219호(2001년 8월 8일 출원)에 개시되어 있다.
도 2a는 가스 분배 플레이트 조립체(118)의 일 실시예의 분해도이다. 가스 분배 플레이트 조립체(118)는 통상적으로 확산기 플레이트(204)와 이와 함께 연결된 배면 플레이트(206)를 포함하는데, 확산기 플레이트(204)와 배면 플레이트(206) 사이에는 가변식 플레이트(202)가 위치되어 있다. 가변식 플레이트(202) 및 배면 플레이트(206)는 대안으로 (도 2b에 도시된 바와 같이) 하나의 단일 부재를 포함할 수도 있다.
이들 가변식 플레이트(202) 및 확산기 플레이트(202)를 통해서 다수의 가스 통로(250)(분리된 플레이트(202, 204)를 통해 일점쇄선으로 도시됨)가 형성되어 있어서, 가스 분배 플레이트 조립체(118)를 통해 프로세스 체적부(112) 안으로 소정의 가스의 분배가 가능하다.
배면 플레이트(206)는 통상 스테인레스 강, 알루미늄, 또는 니켈, 또는 다른 RF 전도성 물질로 제조된다. 배면 플레이트(206)는 다수의 개구(218)를 포함하는데, 이러한 개구(218)는 가스 통로(250)와 정렬되어, 배면 플레이트(206)를 통해 가스 통로(250)로 차단없는 가스 유동을 용이하게 한다. 대안으로, 배면 플레이트(206)는 프레임으로서 구성될 수 있어서, 가변식 플레이트(202) 및 확산기 플레이트(204)를 통해 연장되는 다공 영역(116)을 노출시킨다. 배면 플레이트(206)는 대체로 리드 조립체(110) 또는 챔버 벽(106)에 가변식 플레이트(202)를 연결시키기 위한 장착면을 제공한다. 도 2a 및 도 2b에 도시된 실시예에서, 배면 플레이트(206)는 팽창 브라켓(216)에 의해 리드 조립체(110)에 연결되어 있다.
도 3은 도 2a의 팽창 브라켓(216) 및 확산기 조립체(118)의 단면도이다. 팽창 브라켓(216)은 통상적으로 스테인레스 강, 알루미늄, 니켈 또는 다른 RF 전도성 물질로 제조된다. 팽창 브라켓(216)은 사이에 플레넘(308)을 형성하면서, 거리를 두고 배치되는 관계로 리드 조립체(110)의 내부면(120) 및 가변식 플레이트(202)를 유지시킨다. 이러한 플레넘(308)은 가변식 플레이트(202)의 폭을 가로질러 균일하게 분포되도록 리드 조립체(110)를 통해 가스가 유동할 수 있게 해서, 중앙 다공영역(116) 위로 균일하게 가스가 제공된다.
팽창 브라켓(216) 및 가변식 플레이트(202)는, 가스 분배 플레이트 조립체(118)를 통과하는 가스 유동의 균일성에 영향을 줄 수 있는 방식으로 플레이트(202, 204)를 휘게 하거나, 비틀리게 하거나, 또는 반대 응력을 가하지 않고, 가변식 플레이트(202)(및 확산기 플레이트(204))의 열팽창 및 수축을 용이하게 하는 방식으로 연결된다.
일 실시예에서, 팽창 브라켓(216)은 메인 바디(362)로부터 외측으로 연장되는 제 1 플랜지(360) 및 제 1 플랜지(360)의 반대 방향으로 내측으로 연장되는 제 2 플랜지(364)를 포함하는 다각형 프레임이다. 대안으로, 팽창 브라켓(216)은 플랜지형 실린더일 수 있다. 제 1 플랜지(360)는 다수의 장착 홀(366)을 포함하며, 이들 장착 홀(366) 각각은 리드 조립체(110) 내에 형성된 나선가공형 홀(368)과 정렬된다. 이러한 장착 홀(366)을 통해 배출식 파스너(vented fasteners; 370)가 관통되는데, 이 파스너(370)는 나선가공형 홀(368) 안으로 나사결합되어, 팽창 브라켓(216)을 리드 조립체(110)에 고정시킨다.
제 2 플랜지(364)는 맞춤(dowel) 핀(374)을 각각 유지하는 다수의 홀(376)을 포함한다. 맞춤 핀(374)(하나는 도 3에 도시됨)은 리드 조립체(110)의 내부면(120)과 제 1 플랜지(362)를 향하여 제 2 플랜지(364)로부터 상방으로 연장된다. 지지 플레이트(206)(또는 가변식 플레이트(202))를 통해 형성된 슬롯(372)은 각각 핀(374)을 수용한다. 도 4에 도시된 팽창 브래킷(216)의 부분 단면도에 도시된 바와 같이, 지지 플레이트(206)의 슬롯(372)은 맞춤 핀(374)에 비해 충분히커서 분배 플레이트 조립체(118)가 맞춤 핀(374)에 대해 이동하는 것을 허용하여 리드 조립체(110)/팽창 브래킷(216) 및 분배 플레이트 조립체(118) 사이의 열 팽창 차이를 보상한다. 도 4에 도시된 바와 같이, 슬롯(372)은 통상적으로 직교하는 방향으로 각각의 측면을 따라 배향되어 두 개의 축선에서의 플레이트 조립체(118)의 팽창을 수용한다. 이와 달리, 슬롯(372)은 원형 가스 분배 플레이트에 대해 방사형으로 형성될 수 있다. 따라서, 분배 플레이트 조립체(118)가 가열 및 냉각될 때, 분배 플레이트 조립체(118)는 리드 조립체(110)에 대해 자유롭게 이동하여, 분배 플레이트 조립체(118)를 변형시키거나 분배 플레이트 조립체(118)를 통하여 유동하는 가스의 패턴을 변경시킬 수 있는 휘어짐(warpage) 또는 다른 응력으로부터 자유롭게 된다.
도 3을 다시 참조하면, 가변식 플레이트(202)는 통상적으로 스테인레스 강, 알루미늄, 니켈 또는 다른 RF 확산기 플레이트(204)로 제조된다. 가변식 플레이트(202)는 다수의 오리피스 홀(352)을 포함하고 각각은 가스 통로(250) 중 하나의 제 1 부분을 각각 포함한다. 가변식 플레이트(202)를 통하여 형성된 가스 통로(250)의 제 1 부분(352)은 통상적으로 가스 통로(250)의 제 2 부분을 포함하는 확산기 플레이트(204)를 통하여 형성된 개구(354)에 비해 유동에 대해 더 낮은 저항을 갖는다. 오리피스 홀(352)은 통상적으로 가스 분배 플레이트(116)를 통과하는 가스에 대한 유동 저항을 제공하도록 형성되어, 플레이트(116)의 폭을 가로지르는 예정된 패턴으로 가스를 분배한다. 제 1 부분(352)을 통한 유동 저항은 가변식 플레이트(202)의 두께 및 홀 직경의 조합을 선택함으로써 소정의 압력이 강하된다.제 1 부분(352)의 유동 저항은 홀 엣지를 모따기(chamfering) 또는 방사상화(radiusing)하거나 또는 다른 방법에 의해, 홀 내에 표면 텍스쳐(surface texture)를 이용함으로써 설정될 수도 있다.
통상적으로, 오리피스 홀(352)은 약 0.2 mm 내지 약 10.0 mm 사이의 직경을 갖는다. 오리피스 홀(352)은 다공 영역(116)의 폭을 가로지르는 균일한 단면적을 가질 수 있거나, 일부 오리피스 홀(352)은 추가적으로 후술되는 도 7a 내지 도 7b를 참조하여 설명되는 바와 같이 다른 홀과 상이하게 형성될 수 있다. 도 3에 도시된 실시예에서, 가스 통로(250)는 동일한 단면적으로 균일하게 형성된다. 가변식 플레이트(202)가 종래의 가스 분배 플레이트에 비해 상대적으로 얇기 때문에, 오리피스 홀이 용이하게 제조되고, 버어(burr)가 제거되고, 세척되며, 유용하게는 가변식 플레이트(202)가 효율적으로 제조되고 비용이 효과적으로 되면서, 종래의 가스 분배 플레이트에 형성된 길고 좁은 홀에 종종 걸리는 입자, 칩 또는 다른 오염물로부터 일어날 수 있는 특별한 오염을 최소화한다.
일 실시예에서, 가변식 플레이트(202) 및 지지 플레이트(206)는 비-양극화 처리 알루미늄(un-anodized aluminum)으로 제조된다. 세정제로부터의 불소는 양극화 처리 층을 오염시키도록 존재하여, 양극화 처리 층이 증착 동안 배출되어 오염물로서 증착된 필름에 포착될 수 있다. 가변식 플레이트(202)와 지지 플레이트(206)가 리드 조립체(110)에 있는 포트(180)를 통하여 프로세싱 챔버(102)로 유입되는 세정제에 직접 노출되기 때문에, 리드 조립체(110)와 직면하는 가변식 플레이트(202)와 지지 플레이트(206)의 표면으로부터 양극 처리의 제거가기판(140)에 증착된 필름에 존재하는 불소의 양을 유용하게 감소시키도록 존재한다. 더욱이, 가변식 플레이트(202)에 형성된 작은 직경의, 높은 종횡비의 오리피스 홀(352)은 양극 처리 프로세스의 부분이 되는 다중 배쓰(multiple baths)에 처리되지 않기 때문에, 오리피스 홀(352)은 이 같은 작은 직경의 높은 종횡비의 홀로부터 제거하기 어려운 배쓰 잔류물이 존재하지 않는데, 이러한 작은 직경의 높은 종횡비의 홀은 프로세싱 동안 나중에 기판을 오염시킬 수 있으며 또는 하나 이상의 홀이 건조된 잔류물로 막히고, 그 결과 비 균일 증착을 초래한다.
확산기 플레이트(204)는 통상적으로 스테인레스 강, 알루미늄, 니켈 또는 다른 RF 전도성 재료로 제조된다. 확산기 플레이트(204)는 일반적으로 두께가 약 0.855 in 내지 적어도 1.8 in이며 가스 분배 플레이트 조립체(118)의 대부분의 강도를 제공한다.
확산기 플레이트(204)는 가스 통로(250)를 형성하도록 오리피스 홀(352)과 정렬되는 다수의 개구(354)를 포함한다. 확산기 플레이트(204)에 형성된 개구는 통상적으로 오리피스 홀(352)과 비교하면 실질적으로 유동 제한이 제공되지 않는다. 도 3에 도시된 실시예에서, 종래의 가스 분배 플레이트와 같은 단일 플레이트를 통하여 완전한 오리피스 홀을 형성하지 않는 개구(354)는 종래의 설계에 대해 가스 분배 플레이트 조립체(118)의 실질적인 제조 비용을 감소시킨다.
일 실시예에서, 확산기 플레이트(204)는 양극화 처리된 알루미늄으로 제조된다. 기판 지지 조립체(138)와 직면하는 확산기 플레이트(204)의 양극화 처리된 표면은 프로세싱 동안 플라즈마에 노출된다. 양극화 처리된 알루미늄으로 제조된 확산기 플레이트(204)를 가지는 것은 안정성 및 증착 균일성을 프로세싱하게 한다. 따라서, 확산기 플레이트(118)가 양극화 처리되고 가변식 플레이트(202)가 양극화 처리되지 않는 일 실시예에서, 가스 분배 플레이트 조립체(118)는 양극화 처리된 표면 또는 양극화 처리의 프로세스가 증착 동안 오염에 기여하는 것을 제거하는 방면, 양극화 처리가 프로세싱을 강화하는 상기 플레이트만을 양극 처리함으로써 비용 효과적인 방식으로 제조될 수 있다.
도 5는 플레이트 조립체(118)의 일 실시예의 단면도이며, 가스 통로(250)의 하나의 실시예를 형성하는 오리피스 홀(352) 및 개구(354)의 정렬을 나타낸다. 오리피스 홀(352)은 보어(502) 및 펼쳐진(flared) 단부(504)를 포함한다. 보어(502)는 일반적으로 가변식 플레이트(202)의 제 1 측면(506)으로부터 펼쳐진 단부(504)로 연장한다. 펼쳐진 단부(504)는 일반적으로 가변식 플레이트(202)의 제 2 측면(508)의 표면에서 종결될 때까지 단면적이 증가한다. 펼쳐진 단부(504)는 오리피스 홀(352)과 개구(354) 사이의 작은 오정렬을 보상한다.
부가적으로, 펼쳐진 단부(504)와 보어(502) 사이의 상대적인 길이는 오리피스 홀(352)의 유동 제한 속성을 변경하도록 선택될 수 있다. 예를 들면, 펼쳐진 단부(504)는 가변식 플레이트(202)로 추가적으로 연장할 수 있어, 보어(502)의 상대적인 길이를 감소시켜, 오리피스 홀(352)의 유동 저항을 감소시킨다. 또 다른 실시예에서, 펼쳐진 단부(504)를 형성하는 펼침(flare) 각도는 오리피스 홀(352)의 총 저항에 대한 기여를 감소시키도록 증가시킬 수 있다. 오리피스 홀(352)의 유동 제한 속성은 다른 유동 제한 속성 중에서 홀 단면 프로파일(축방향으로 및/또는 측방향으로) 및 보어의 내부의 평탄함/거칠기, 보어의 상대적인 길이(동일한 플레이트내에서의 펼쳐진 섹션으로, 또는 플레이트의 두께에 따라)를 포함하여 다른 방식으로 영향을 미칠 수 있다.
개구(354)는 보어(510) 및 펼쳐진 단부(512)를 포함한다. 보어(510)는 일반적으로 확산기 플레이트(204)의 제 1 측면(514)으로부터 펼쳐진 단부(512)로 연장한다. 펼쳐진 단부(512)는 확산기 플레이트(204)의 제 2 측면(516)의 표면에서 종결될 때까지 단면적이 일반적으로 증가한다. 개구(354)는 소정의 증착 프로세스를 강화하는 다른 형상을 선택적으로 가질 수 있다. 예를 들면, 확산기 플레이트(204)의 제 2 측면(516)으로 완전히 연장하는 보어(510)는 소정의 증착 프로세스 동안 분배 가스를 위해 유용한 것으로 증명되었다. 본 발명으로부터 유익하게 될 수 있는 유사한 가스 통로 형상을 가지는 하나의 확산기 플레이트 조립체는 2002년 5월 6일에 출원되고 본 명세서에서 참조문헌으로 첨부된 미국 특허 출원 제 10/140,324호에 공개되어 있다.
오리피스 홀(352) 및 개구(354)는 확산기 플레이트(204)의 제 1 측면(514)과 가변식 플레이트(202)의 제 2 측면(508)의 각각의 표면에서 파열 엣지(broken edge; 518, 520)를 갖는다. 파열 엣지(518, 520)는 방사상이거나 모따기될 수 있으며, 가스가 가변식 플레이트(202)와 확산기 플레이트(204) 사이의 가스 통로(250)를 통하여 유동할 때 난류의 유도를 최소화하도록 형성된다. 홀(352) 및 개구(354)는 다른 형상, 예를 들면 직선형 보어 또는 복잡한 프로파일을 가질 수 있다.
도 3을 다시 참조하면, 다수의 위치결정 형상부(346)가 가변식 플레이트(202)와 확산기 플레이트(204) 사이에 배치되어 가스 통로(250)를 포함하는 오리피스 홀(352) 및 개구(354) 사이의 정렬을 유지한다. 일 실시예에서, 위치결정 형상부(346)는 가변식 플레이트(202), 확산기 플레이트(204) 및 지지 플레이트(206) 사이에 배치된 다수의 위치결정 핀(344)(하나가 도시됨)이다. 도 3에 도시된 실시예에서, 위치결정 핀(344)은 확산기 플레이트(204)로부터 연장되어 지지 플레이트(206)를 통하여 가압 조립되는 정합 부싱(mating bushing; 342)과 맞물린다. 부싱(342)의 각각의 외부 표면(340)이 가변식 플레이트(202)를 지지 플레이트(206)에 위치시킨다. 가스 통로(250)를 포함하는 개구(354)와 오리피스 홀(352)의 정렬 및 가변식 플레이트(202)와 확산기 플레이트(204) 사이의 예정된 배향이 보장되도록 핀(344)이 배치될 수 있다. 가변식 플레이트(202) 및 확산기 플레이트(204)는 파스너, 리벳, 나사, 납땜, 용접, 접착제, 클램프 등을 포함하는 임의의 다수의 방식으로 서로 고정될 수 있다.
도 6은 일정한 간격으로 확산기 플레이트(204)에 지지 플레이트(206) 및 가변식 플레이트(202)를 고정하도록 일 실시예에서 이용되는 대표적인 분리 파스너 시스템(600)을 설명하는 가변식 플레이트(202)와 확산기 플레이트(204)의 분해 부분 단면도이다. 각각의 분리 파스너 시스템(600)은 통상적으로 파스너(602)와 정합 너트(604)를 포함하고, 둘다 통상적으로 알루미늄 또는 다른 적절한 재료로 제조된다. 프로세싱상의 파스너 재료 영향을 최소화하도록 알루미늄 파스너를 사용하는 것이 유용한 분야에서, 분리 파스너 시스템(600)은 가변식 플레이트(202)와확산기 플레이트(204)가 종래의 알루미늄 파스너가 고정되는 위치에서 분리되는 것을 허용하며 부품의 제거 및 재결합이 요구된다.
파스너(602)는 헤드(606), 생크(608) 및 나사 부분(610)을 구비한다. 통상적으로, 헤드(606)는 배면 플레이트(206)(또는 배면 플레이트(206)를 포함하지 않는 실시예의 경우에 오리피스 플레이트(202)내에)의 상단면(614)에 형성된 대응 보어(bore)(612)내에 배치된다. 홀(616)은 대응 보어(612)에 대해 동심적(同心的)으로 배면 플레이트(206)를 관통하여 형성되어 파스너(604)의 생크(608)를 수용한다. 생크(608)는 홀(616)과 동심적으로 정렬된 가변식 플레이트(202)를 통해 형성된 홀(618)을 통과한다. 통상적으로, 생크(608)는 파스너(602)가 소정량(所定量) 이상의 토르크를 받을 때 전단(剪斷)되는 네크부(620)를 포함한다.
통상적으로, 너트(604)는 확산 플레이트(204)의 제 2 면(416)내에 형성된 슬롯(622)내에 배치된다. 슬롯(622)은 확산 플레이트(204)를 통해 형성된 홀(624)과 연통하며, 상기 홀(624)은 플레이트들(202, 204, 206)이 서로에 대해 배치되었을 때 홀들(616, 618)과 동심적으로 정렬된다. 생크(608)는 홀(616, 618, 624)을 통과하여 슬롯(622)내에 나사 부분(610)을 노출시킨다. 슬롯(620)내에 배치된 너트(602)는 파스너(602)의 나사 부분(610)과 정합된다. 슬롯(620)은 플레이트들(202, 204, 206)들이 서로에 대해 가압되도록 파스너(602)를 조일 때 너트(604)가 가변되는 것을 방지하도록 구성된다.
분리식 파스너 시스템(600)은 다수의 이점을 제공한다. 첫째로, 사용된 확산 플레이트(204)가 오염되고, 막히고, 손상되었을 때 또는 특정 증착 공정에 적합한 상이한 개구(354) 구성을 제공하기 위해, 새로운 확산 플레이트(204)로 교체될 수 있을 것이다. 확산 플레이트(204)만을 교체하는 것은 전체 가스 분배 플레이트(116)를 교체하는 것 보다 비용을 상당히 절감할 수 있다. 또한, 가스 통로(250)의 제 1 부분을 포함하는 오리피스 홀(352)을 통한 상이한 유동 특성을 가지는 교체용 가변식 플레이트로 가변식 플레이트(202)를 교체할 수도 있다. 예를 들어, 오리피스 홀(352)의 단면적이 덮개 플레이트들 마다 다를 수 있으며, 그에 따라 가스 분배 플레이트 조립체(118)를 통한 유동 특성을 변경시키며, 바람직하게는 처리 제어를 실현할 수 있거나 또는 동일한 처리 챔버(102)내에서 상이한 증착 공정을 용이하게 하는 구성을 제공한다.
도 7a 및 7b 는 도 7a 에 도시된 제 1 가변식 플레이트(702A) 및 확산기 플레이트(704)에 연결되고 도 7b 에 도시된 교체용 가변식 플레이트(702B)를 가지는 가스 분배 플레이트 조립체(700)의 단면도이다. 비록, 제 1 가변식 플레이트(702A)가 팽창 브래킷(216)에 의해 리드(lid) 조립체(110)에 연결된 것으로 도시되었지만, 분배 플레이트 조립체(700)의 제 1 가변식 플레이트(702A)가 리드(110)에 직접 연결될 수도 있을 것이다.
일 실시예에서, 가변식 플레이트(702A)는 제 1 두께를 가지며, 가스 통로(710)의 일부를 형성하고 제 1 지름을 가지는 다수의 오리피스 홀(706)을 포함한다. 플레이트의 두께와 홀 지름의 조합으로 인해 각 오리피스 홀(706)에 소정의 유동 저항이 부여되며, 그러한 유동 저항은 가스 분배 플레이트 조립체(700)의 가스 통로(710)를 통한 유체의 유동을 조절하여 소정의 증착 공정의 성능을 최적화하도록 선택된다.
가변식 플레이트(702B)는 제 2 두께를 가지며, 플레이트 조립체(700)의 가스 통로(710)의 일부를 형성하는 제 2 지름의 다수의 오리피스 홀(708)을 포함한다. 가변식 플레이트(702B)의 두께는 가변식 플레이트(702A)의 두께와 상이하다(즉, 보다 두껍거나 보다 얇다). 일 실시예에서, 오리피스 홀(708)의 제 2 지름은 오리피스 홀(706)의 제 1 지름과 동일하다. 따라서, 플레이트(702A)와 플레이트(702B) 사이의 플레이트 두께 차이는 가스 통로(710)의 유동 저항의 변화를 초래하며, 그에 따라 가스 분배 플레이트 조립체(700)의 유동 특성이 조절될 수 있게 허용하여 전체 가스 분배 플레이트 조립체(700)의 변화 없이 이어지는 증착 공정을 최적화할 수 있게 된다.
도 8a 및 도 8b 는 가변식 플레이트 및 확산기 플레이트(804)를 가지는 가스 분배 플레이트 조립체(800)의 다른 실시예를 도시한다. 가스 분배 플레이트 조립체(800)의 유동 특성은 확산기 플레이트(804)에 연결된 가변식 플레이트를 제 1 가변식 플레이트(802A)로부터 동일한 두께의 제 2 가변식 플레이트(802B)로 교체함으로써 조정될 수 있다. 가변식 플레이트(802A)는 제 1 지름의 오리피스 홀(606)을 가진다. 가변식 플레이트(802B)는 상기 제 1 지름과 상이한 제 2 지름의 오리피스 홀(608)을 가진다. 따라서, 플레이트(802A)와 플레이트(802B) 간의 오리피스 홀의 지름 차이는 유동 저항의 변화를 초래하며, 그에 따라 가스 분배 플레이트 조립체(800)의 유동 특성이 공정의 변화에 맞춰 조정될 수 있게 허용한다. 선택적으로, 보다 넓은 유동 제어 범위를 제공하도록 플레이트(802A, 802B)의 두께를 조절할 수도 있을 것이다.
도 9 는 가스 분배 플레이트 조립체(900)의 다른 실시예를 도시한다. 가스 분배 플레이트 조립체(900)는 가스 통로(9101... 910i)를 가지는 확산기 플레이트(904)에 연결된 가변식 플레이트(902)를 포함하며, 이때 i 는 최외측의 가스 통로를 나타내는 양의 정수이다. 확산기 플레이트(904)는 전술한 확산기 플레이트(204)와 유사하다. 가변식 플레이트(902)는 가스 통로(9101... 910i)의 일부를 각각 형성하는 다수의 오리피스 홀(9061... 906i)을 가진다. 오리피스 홀(9061... 906i) 중에서 둘 이상의 오리피스 홀의 지름은 서로 상이하여 가스 분배 플레이트 조립체(900)를 통한 프로세스 가스의 소정의 비균질 유동 분포를 제공한다. 예를 들어, 오리피스 홀(906i-1)의 지름은 오리피스 홀(906i)의 지름 보다 크며, 그에 따라 가스 분배 플레이트 조립체(900) 아래쪽에 위치된 기판의 엣지(edge)에서의 가스 유동을 감소시킨다. 그 대신에, 오리피스 홀(906i-1)의 지름은 오리피스 홀(906i)의 지름 보다 작게하여, 기판의 엣지에서의 가스 유동을 증대시킬 수도 있다. 오리피스 홀(9061내지 906i) 중 일부가 동일한 지름을 가질수도 있다.
전술한 유동 특성과 상이한 유동 특성을 가지는 제 2 의 가변식 플레이트로 제 1 가변식 플레이트를 교체함으로써, 가변식 플레이트(902)의 유동 특성을 조절할 수도 있을 것이다. 예를 들어, 제 2 가변식 플레이트의 오리피스 홀들이 상기 제 1 가변식 플레이트에 비해 오리피스 홀들 마다 상이한 단면적 분포를 가질 수도있다. 그 대신에, 가변식 플레이트들 사이의 두께가 변화될 수도 있고, 또는 다른 변화의 조합으로 가스 분배 플레이트 조립체(800)를 통한 원하는 유동 저항을 제공할 수도 있다.
따라서, 가스 분배 플레이트 조립체를 저렴하게 제조할 수 있다. 또한, 상기 가스 분배 플레이트 조립체는 프로세싱중에 배출될 수도 있는 분진 및 오염의 발생을 최소화하도록 구성된다. 또한, 상기 가스 분배 플레이트 조립체는 그 조립체 중 하나의 플레이트를 교체함으로써 가스 유동 특성을 조절할 수 있게 허용한다. 추가적으로, 가스 분배 조립체의 판들을 결합시키는 방법 및 시스템은 종래의 가스 분배 플레이트에 사용되는 파스너의 걸링(gulling) 및 시징(seizing) 문제를 해결할 수 있다.
비록, 본 발명의 사상을 포함하는 몇개의 바람직한 실시예를 도시하고 설명하였지만, 소위 당업자는 본 발명의 사상을 포함하는 다른 실시예들을 용이하게 안출해낼 수 있을 것이다.
Claims (43)
- 프로세싱 챔버용 가스 분배 플레이트 조립체로서:다수의 오리피스 홀이 관통 형성된 가변식 플레이트; 및상기 가변식 플레이트에 맞대어져 배치된 확산기 플레이트를 포함하며,상기 확산기 플레이트는 관통 형성된 다수의 개구를 구비하며, 상기 각각의 개구는 상기 가변식 플레이트내의 각 오리피스 홀과 정렬되고 가스 분배 플레이트 조립체를 통한 가스 통로를 형성하며, 상기 개구는 상기 가변식 플레이트내의 오리피스 홀 보다 넓은 단면적을 가지는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 가변식 플레이트는 상기 확산기 플레이트 보다 얇은 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 가변식 플레이트 및 확산기 플레이트는 알루미늄으로 이루어진 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 확산기 플레이트의 반대쪽에 위치하는 상기 가변식 플레이트의 표면은 비-양극화 알루미늄이고; 그리고상기 가변식 플레이트의 반대쪽에 위치하는 확산기 플레이트의 표면은 양극화 처리되는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 가변식 플레이트는 하나 이상의 파스너에 의해 확산기 플레이트에 연결되는 가스 분배 플레이트 조립체.
- 제 7 항에 있어서, 상기 파스너는:알루미늄 파스너; 및알루미늄 너트를 더 포함하는 가스 분배 플레이트 조립체.
- 제 6 항에 있어서, 상기 알루미늄 파스너는 소정량 이상의 응력을 받을 때 전단(剪斷)되는 네크부를 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 오리피스 홀 중 하나 이상은 상기 확산기 플레이트에 맞대어져 있는 가변식 플레이트의 제 1 측면을 빠져나오는 펼쳐진 부분에 연결된 보어를 더 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 개구들 중 하나 이상은 상기 가변식 플레이트의 반대쪽에 위치하는 확산기 플레이트의 제 1 측면을 빠져나오는 펼쳐진 부분에 연결된 보어를 더 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 가스 통로 개구들 중 하나 이상은:상기 확산기 플레이트에 맞대어져 배치된 오리피스 홀의 방사상 또는 모따기 엣지; 및상기 가변식 플레이트에 맞대어져 배치된 개구의 방사상 또는 모따기 엣지를 더 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 확산기 플레이트 반대쪽에서 상기 가변식 플레이트에 결합되는 배면 플레이트를 더 포함하는 가스 분배 플레이트 조립체.
- 제 11 항에 있어서, 상기 배면 플레이트를 둘러싸고 열 팽창시의 편차를 수용할 수 있는 방식으로 상기 배면 플레이트에 결합된 팽창 브래킷을 더 포함하는 가스 분배 플레이트 조립체.
- 제 12 항에 있어서, 상기 팽창 브래킷은 상기 배면 플레이트내에 형성된 슬롯과 정합되는 다수의 핀들을 가지는 플랜지를 더 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 확산기 플레이트는 다각형인 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 확산기 플레이트는 디스크인 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 가변식 플레이트를 관통하여 형성된 상기 오리피스 홀들 중 하나 이상은 다른 오리피스 홀들과 상이한 유동 제한 특성을 가지는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 상기 다수의 오리피스 홀은:제 1 오리피스 홀 세트; 및상기 제 1 오리피스 홀 세트의 안쪽에 배치되고 상기 제 1 오리피스 홀 세트와 상이한 유동 제한 특성을 가지는 하나 이상의 제 2 의 오리피스 홀 세트를 더 포함하는 가스 분배 플레이트 조립체.
- 제 1 항에 있어서, 유동 제한 특성은 단면적, 홀 섹션 프로파일, 보어 매끄러움, 보어 깊이, 오리피스 홀의 동심적인 펼쳐진 섹션의 길이 및 각도, 및 오리피스 홀 모따기 양으로 이루어진 오리피스 홀 특성 그룹으로부터 선택되는 가스 분배 플레이트 조립체.
- 가스 분배 플레이트 조립체로서:양극화되지 않은 제 1 표면과 제 2 표면을 가지는 제 1 플레이트;상기 제 1 플레이트에 결합된 제 2 플레이트로서, 상기 제 1 플레이트의 제2 측면에 맞대어져 배치된 제 1 측면 및 양극화처리된 제 2 측면을 가지는 제 2 플레이트;상기 제 1 플레이트를 관통하여 형성된 제 1 부분과 상기 제 2 플레이트를 관통하여 형성된 제 2 부분을 각각 가지는 다수의 가스 통로를 포함하는 가스 분배 플레이트 조립체.
- 제 19 항에 있어서, 상기 제 2 플레이트는 상기 제 1 플레이트 보다 두꺼운 가스 분배 플레이트 조립체.
- 제 19 항에 있어서, 상기 제 1 플레이트와 제 2 플레이트를 통과하여 배치된 알루미늄 파스너; 및상기 파스너에 정합되는 알루미늄 너트를 더 포함하며,상기 파스너는 소정량 이상의 응력을 받는 경우에 전단되는 넥크부를 구비하는 가스 분배 플레이트 조립체.
- 제 21 항에 있어서, 상기 제 2 플레이트는 상기 너트를 수용하는 슬롯을 더 포함하는 가스 분배 플레이트 조립체.
- 제 19 항에 있어서, 상기 다수의 가스 통로는 상기 확산기 플레이트에 맞대어져 배치된 가변식 플레이트의 측면을 빠져나오는 펼쳐진 부분을 가지며 상기 가변식 플레이트를 관통하여 형성된 하나 이상의 제 1 보어를 더 포함하는 가스 분배 플레이트 조립체.
- 제 19 항에 있어서, 상기 다수의 가스 통로는:상기 제 2 플레이트에 맞대어져 배치된 상기 제 1 플레이트의 측면으로 빠져나오는 펼쳐진 부분을 가지며 상기 제 1 플레이트를 관통하여 형성된 하나 이상의 제 1 보어; 및상기 제 1 보어의 내측에 배치되고 상기 제 1 플레이트를 관통하여 형성되며 상기 제 1 보어와 상이한 유동 제한 특성을 가지는 하나 이상의 제 2 보어를 더 포함하는 가스 분배 플레이트 조립체.
- 프로세싱 시스템으로서:벽과 바닥을 가지는 프로세싱 챔버;상기 벽상에 배치되고 상기 프로세싱 챔버내에 형성된 프로세싱 체적부를 경계짓는 리드 조립체;상기 프로세싱 체적부내에 배치된 기판 지지부;상기 리드 조립체에 대해 이격되어 배치된 제 1 표면과 제 2 표면을 가지는 가변식 플레이트;상기 가변식 플레이트 보다 두꺼우며 상기 가변식 플레이트에 결합되는 확산기 플레이트로서, 상기 가변식 플레이트의 제 2 측면에 맞대어져 배치된 제 1 측면및 제 2 측면을 가지는 확산기 플레이트; 및상기 가변식 플레이트를 관통하여 형성된 제 1 부분과 상기 확산기 플레이트를 관통하여 형성된 제 2 부분을 각각 가지는 다수의 가스 통로를 포함하며,상기 가스 통로의 제 1 부분은 제 2 부분 보다 유체 유동에 대해 더 저항을 가지는 프로세싱 시스템.
- 제 25 항에 있어서, 상기 확산기 플레이트의 제 2 측면은 양극화처리되고, 상기 리드 조립체를 향하는 상기 가변식 플레이트의 제 1 표면은 양극화처리되지 않는 프로세싱 시스템.
- 제 25 항에 있어서, 상기 확산기 플레이트는 다수의 파열식 파스너에 의해 상기 가변식 플레이트에 결합되는 프로세싱 시스템.
- 제 25 항에 있어서, 각각의 상기 가스 통로는:제 1 가스 통로 세트; 및상기 제 1 가스 통로 세트의 안쪽에 배치되고 상기 제 1 가스 통로 세트와 상이한 유동 제한 특성을 가지는 하나 이상의 제 2 가스 통로 세트를 더 포함하는 프로세싱 시스템.
- 프로세싱 시스템으로서:벽과 바닥을 가지는 프로세싱 챔버;상기 벽상에 배치되고 상기 프로세싱 챔버내에 형성된 프로세싱 체적부를 경계짓는 리드 조립체;상기 프로세싱 체적부내에 배치된 기판 지지부;상기 리드 조립체에 대해 이격되어 배치된 제 1 의 비-양극화 표면과 제 2 표면을 가지는 가변식 플레이트;상기 가변식 플레이트의 제 2 측면에 맞대어져 배치된 제 1 측면 및 제 2 의 양극화 측면을 가지는 확산기 플레이트; 및상기 가변식 플레이트 및 상기 확산기 플레이트를 통과하는 다수의 가스 통로를 포함하는 프로세싱 시스템.
- 제 29 항에 있어서, 상기 각각의 가스 통로는:상기 가변식 플레이트를 관통하여 형성된 오리피스 홀; 및상기 확산기 플레이트를 관통하여 형성된 개구를 더 포함하며,상기 오리피스 홀은 상기 개구 보다 더 제한하는 프로세싱 시스템.
- 제 29 항에 있어서, 상기 가변식 플레이트를 둘러싸고 열 팽창시의 편차를 수용할 수 있는 방식으로 상기 가변식 플레이트에 결합된 팽창 브래킷을 더 포함하는 프로세싱 시스템.
- 제 31 항에 있어서, 상기 팽창 브래킷은 상기 가변식 플레이트내에 형성된 슬롯과 정합되는 다수의 핀들을 가지는 플랜지를 더 포함하는 프로세싱 시스템.
- 제 29 항에 있어서, 상기 확산기 플레이트는 다수의 파열성 파스너에 의해 상기 가변식 플레이트에 결합되는 프로세싱 시스템.
- 제 25 항에 있어서, 각각의 상기 가스 통로는:제 1 가스 통로 세트; 및상기 제 1 가스 통로 세트의 안쪽에 배치되고 상기 제 1 가스 통로 세트와 상이한 유동 제한 특성을 가지는 하나 이상의 제 2 가스 통로 세트를 더 포함하는 프로세싱 시스템.
- 제 1 가변식 플레이트 및 확산기 플레이트를 포함하는 가스 분배 플레이트를 관통하여 형성되고 상기 제 1 가변식 플레이트를 통해 형성된 제 1 부분과 상기 확산기 플레이트를 통해 형성된 연속적인 제 2 부분을 구비하는 가스 통로를 통해 프로세싱 챔버내에 가스를 분배하는 방법으로서:상기 가스 분배 플레이트를 통해 형성된 다수의 가스 통로를 통해 소정의 유동 분포로 프로세스 가스를 유동시키는 단계; 및상기 소정의 유동 분포를 변경하기 위해 상기 가스 분배 플레이트의 하나 이상의 유동 제한 특성을 변화시키는 단계를 포함하는 가스 분배 방법.
- 제 35 항에 있어서, 상기 가스 분배 플레이트의 하나 이상의 유동 제한 특성을 변화시키는 단계는 상기 제 1 의 가변식 플레이트를 제 2 의 가변식 플레이트로 교체하는 단계를 포함하며, 상기 제 2 의 가변식 플레이트는 관통하여 형성된 가스 통로의 제 1 부분을 가지며, 상기 제 2 가변식 플레이트의 가스 통로의 제 1 부분은 상기 제 1 의 가변식 플레이트를 관통하여 형성된 가스 통로의 제 1 부분과 서로 상이한 가스 분배 방법.
- 제 36 항에 있어서, 상기 하나 이상의 유동 제한 특성을 변화시키는 단계는 상기 제 1 의 가변식 플레이트와 비교하여 상기 제 2 의 가변식 플레이트의 외측 영역에서의 유동 저항에 비해 상기 제 2 의 가변식 플레이트의 중심에서의 유동 저항을 증대시키는 단계를 더 포함하는 가스 분배 방법.
- 제 36 항에 있어서, 상기 하나 이상의 유동 제한 특성을 변화시키는 단계는 상기 제 1 의 가변식 플레이트와 비교하여 상기 제 2 의 가변식 플레이트의 외측 영역에서의 유동 저항에 비해 상기 제 2 의 가변식 플레이트의 중심에서의 유동 저항을 감소시키는 단계를 더 포함하는 가스 분배 방법.
- 제 36 항에 있어서, 상기 하나 이상의 유동 제한 특성을 변화시키는 단계는 상기 제 1 가변식 플레이트에 비해 상기 제 2 가변식 플레이트의 유동 저항을 증대시키거나 또는 감소시키는 단계를 더 포함하는 가스 분배 방법.
- 제 35 항에 있어서, 상기 제 1 의 가변식 플레이트를 상기 제 2 의 가변식 플레이트로 교체하는 단계는 상기 제 1 의 가변식 플레이트를 소정 위치에서 상기 확산기 플레이트에 결합하는 하나 이상의 파스너를 전단(剪斷)시키는 단계를 포함하는 가스 분배 방법.
- 제 1 가변식 플레이트 및 확산기 플레이트를 포함하는 가스 분배 플레이트를 관통하여 형성되고 상기 제 1 가변식 플레이트를 통해 형성된 제 1 부분과 상기 확산기 플레이트를 통해 형성된 연속적인 제 2 부분을 구비하는 가스 통로를 통해 프로세싱 챔버내에 가스를 분배하는 방법으로서:상기 가스 분배 플레이트를 통해 형성된 다수의 가스 통로를 통해 소정의 유동 분포로 프로세스 가스를 유동시키는 단계; 및상기 소정의 유동 분포를 변경하기 위해 상기 가스 분배 플레이트의 하나 이상의 유동 제한 특성을 변화시키는 단계를 포함하는 가스 분배 방법.
- 제 41 항에 있어서, 상기 하나 이상의 유동 제한 특성을 변화시키는 단계는 상기 가변식 플레이트를 교체하는 단계를 포함하는 가스 분배 방법.
- 제 42 항에 있어서, 상기 하나 이상의 유동 제한 특성을 변화시키는 단계는상기 가변식 플레이트를 상기 확산기 플레이트에 결합하는 파스너를 전단시키는 단계를 더 포함하는 가스 분배 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/337,483 | 2003-01-07 | ||
US10/337,483 US7270713B2 (en) | 2003-01-07 | 2003-01-07 | Tunable gas distribution plate assembly |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040063828A true KR20040063828A (ko) | 2004-07-14 |
KR100663799B1 KR100663799B1 (ko) | 2007-01-03 |
Family
ID=32681251
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020040000862A KR100663799B1 (ko) | 2003-01-07 | 2004-01-07 | 가변식 가스 분배 플레이트 조립체 및 가스 분배 방법 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7270713B2 (ko) |
JP (1) | JP2006513323A (ko) |
KR (1) | KR100663799B1 (ko) |
CN (1) | CN1735956A (ko) |
TW (1) | TW200416297A (ko) |
WO (1) | WO2004064407A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI394986B (zh) * | 2009-11-09 | 2013-05-01 | Global Material Science Co Ltd | 擴散板結構及其製作方法 |
Families Citing this family (444)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
KR101004222B1 (ko) * | 2001-02-09 | 2010-12-24 | 도쿄엘렉트론가부시키가이샤 | 성막 장치 |
US20050081788A1 (en) * | 2002-03-15 | 2005-04-21 | Holger Jurgensen | Device for depositing thin layers on a substrate |
US7169231B2 (en) * | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US7534363B2 (en) * | 2002-12-13 | 2009-05-19 | Lam Research Corporation | Method for providing uniform removal of organic material |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
KR100965758B1 (ko) * | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US20050098106A1 (en) * | 2003-11-12 | 2005-05-12 | Tokyo Electron Limited | Method and apparatus for improved electrode plate |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
JP4451684B2 (ja) * | 2004-03-17 | 2010-04-14 | キヤノンアネルバ株式会社 | 真空処理装置 |
US20050223983A1 (en) * | 2004-04-08 | 2005-10-13 | Venkat Selvamanickam | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US20050233092A1 (en) * | 2004-04-20 | 2005-10-20 | Applied Materials, Inc. | Method of controlling the uniformity of PECVD-deposited thin films |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
CN101871099B (zh) * | 2004-07-12 | 2013-09-25 | 应用材料公司 | 通过气体分散器弯曲性的等离子体均匀度控制 |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP2006049544A (ja) * | 2004-08-04 | 2006-02-16 | Canon Anelva Corp | 基板処理装置及びこれを用いた基板処理方法 |
KR20060014495A (ko) * | 2004-08-11 | 2006-02-16 | 주식회사 유진테크 | 화학기상증착장치의 샤워헤드 |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
KR101153161B1 (ko) * | 2005-04-01 | 2012-06-18 | 주성엔지니어링(주) | 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치 |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US20070056845A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Multiple zone sputtering target created through conductive and insulation bonding |
US20070056843A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones |
CN100405537C (zh) * | 2005-12-07 | 2008-07-23 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体反应装置 |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
US20070254112A1 (en) * | 2006-04-26 | 2007-11-01 | Applied Materials, Inc. | Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US8702866B2 (en) * | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US7942969B2 (en) * | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
TWI349720B (en) * | 2007-05-30 | 2011-10-01 | Ind Tech Res Inst | A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same |
US7862682B2 (en) * | 2007-06-13 | 2011-01-04 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US8187414B2 (en) * | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
TWI484576B (zh) * | 2007-12-19 | 2015-05-11 | Lam Res Corp | 半導體真空處理設備用之薄膜黏接劑 |
SG187386A1 (en) * | 2007-12-19 | 2013-02-28 | Lam Res Corp | A composite showerhead electrode assembly for a plasma processing apparatus |
CN101911840B (zh) * | 2007-12-25 | 2013-04-17 | 应用材料公司 | 用于等离子体室的电极的非对称性射频驱动装置 |
US8298625B2 (en) * | 2008-01-31 | 2012-10-30 | Applied Materials, Inc. | Multiple phase RF power for electrode of plasma chamber |
US8721836B2 (en) * | 2008-04-22 | 2014-05-13 | Micron Technology, Inc. | Plasma processing with preionized and predissociated tuning gases and associated systems and methods |
US8097082B2 (en) * | 2008-04-28 | 2012-01-17 | Applied Materials, Inc. | Nonplanar faceplate for a plasma processing chamber |
WO2009133189A1 (en) * | 2008-05-02 | 2009-11-05 | Oerlikon Trading Ag, Truebbach | Plasma processing apparatus and method for the plasma processing of substrates |
US8679288B2 (en) * | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
WO2010065473A2 (en) * | 2008-12-01 | 2010-06-10 | Applied Materials, Inc. | Gas distribution blocker apparatus |
US8992723B2 (en) * | 2009-02-13 | 2015-03-31 | Applied Material, Inc. | RF bus and RF return bus for plasma chamber electrode |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
JP5828836B2 (ja) * | 2009-05-13 | 2015-12-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 陽極処理されたシャワーヘッド |
US8147614B2 (en) * | 2009-06-09 | 2012-04-03 | Applied Materials, Inc. | Multi-gas flow diffuser |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
SG169960A1 (en) | 2009-09-18 | 2011-04-29 | Lam Res Corp | Clamped monolithic showerhead electrode |
WO2011041332A2 (en) * | 2009-09-29 | 2011-04-07 | Applied Materials, Inc. | Off-center ground return for rf-powered showerhead |
KR200464037Y1 (ko) | 2009-10-13 | 2012-12-07 | 램 리써치 코포레이션 | 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극 |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
TWI436831B (zh) | 2009-12-10 | 2014-05-11 | Orbotech Lt Solar Llc | 真空處理裝置之噴灑頭總成 |
TWI369251B (en) * | 2010-02-01 | 2012-08-01 | Ind Tech Res Inst | Gas distribution module and gas distribution scanning apparatus using the same |
US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US8980047B2 (en) | 2010-07-02 | 2015-03-17 | Samsung Electronics Co., Ltd. | Microwave plasma processing apparatus |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
JP5697389B2 (ja) * | 2010-09-27 | 2015-04-08 | 東京エレクトロン株式会社 | プラズマエッチング用の電極板及びプラズマエッチング処理装置 |
US8470127B2 (en) | 2011-01-06 | 2013-06-25 | Lam Research Corporation | Cam-locked showerhead electrode and assembly |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
JP5691740B2 (ja) * | 2011-03-30 | 2015-04-01 | 東レ株式会社 | プラズマ処理装置 |
US9082593B2 (en) * | 2011-03-31 | 2015-07-14 | Tokyo Electron Limited | Electrode having gas discharge function and plasma processing apparatus |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
DE102011113293A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102437002B (zh) * | 2011-11-29 | 2015-12-09 | 中国科学院微电子研究所 | 一种用于进气结构的匀气盘 |
US20130240142A1 (en) * | 2012-03-15 | 2013-09-19 | Globalfoundries Singapore Pte. Ltd. | Isolation between a baffle plate and a focus adapter |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
DE102012110125A1 (de) | 2012-10-24 | 2014-04-24 | Aixtron Se | Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte |
US9362092B2 (en) * | 2012-12-07 | 2016-06-07 | LGS Innovations LLC | Gas dispersion disc assembly |
US9610591B2 (en) | 2013-01-25 | 2017-04-04 | Applied Materials, Inc. | Showerhead having a detachable gas distribution plate |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
GB2510615A (en) * | 2013-02-08 | 2014-08-13 | Glyndwr University | Gas blade injection system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140272135A1 (en) * | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company Limited | Deposition injection masking |
US10403521B2 (en) * | 2013-03-13 | 2019-09-03 | Applied Materials, Inc. | Modular substrate heater for efficient thermal cycling |
DE102013204730A1 (de) | 2013-03-18 | 2014-09-18 | Wacker Chemie Ag | Verfahren zur Abscheidung von polykristallinem Silicium |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9484190B2 (en) * | 2014-01-25 | 2016-11-01 | Yuri Glukhoy | Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) * | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10423081B2 (en) | 2014-12-31 | 2019-09-24 | Asml Holding N.V. | Reticle cooling by non-uniform gas flow |
WO2016135377A1 (en) * | 2015-02-25 | 2016-09-01 | Beneq Oy | Apparatus for subjecting a surface of a substrate to successive surface reactions |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
EP3275008B1 (en) * | 2015-03-25 | 2022-02-23 | Applied Materials, Inc. | Chamber components for epitaxial growth apparatus |
US11384432B2 (en) | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9914999B2 (en) * | 2015-04-28 | 2018-03-13 | Applied Materials, Inc. | Oxidized showerhead and process kit parts and methods of using same |
TWI677929B (zh) * | 2015-05-01 | 2019-11-21 | 美商應用材料股份有限公司 | 用於形成膜堆疊的雙通道噴頭 |
US20160359080A1 (en) | 2015-06-07 | 2016-12-08 | Solarcity Corporation | System, method and apparatus for chemical vapor deposition |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
KR102537309B1 (ko) * | 2015-10-08 | 2023-05-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 감소된 배면 플라즈마 점화를 갖는 샤워헤드 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10920318B2 (en) * | 2015-10-30 | 2021-02-16 | Kyocera Corporation | Shower plate, semiconductor manufacturing apparatus, and method for manufacturing shower plate |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10373810B2 (en) * | 2016-02-21 | 2019-08-06 | Applied Materials, Inc. | Showerhead having an extended detachable gas distribution plate |
CN105506577B (zh) * | 2016-03-02 | 2018-01-23 | 安徽纯源镀膜科技有限公司 | 一种类金刚石薄膜离子源中离子的引出装置 |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
KR102085041B1 (ko) * | 2016-05-30 | 2020-03-05 | 가부시끼가이샤 제이씨유 | 플라즈마 처리장치 및 방법 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP2018148143A (ja) * | 2017-03-08 | 2018-09-20 | 株式会社東芝 | シャワープレート、処理装置、及び吐出方法 |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10811232B2 (en) * | 2017-08-08 | 2020-10-20 | Applied Materials, Inc. | Multi-plate faceplate for a processing chamber |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11077410B2 (en) * | 2017-10-09 | 2021-08-03 | Applied Materials, Inc. | Gas injector with baffle |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11232874B2 (en) * | 2017-12-18 | 2022-01-25 | Ge-Hitachi Nuclear Energy Americas Llc | Multiple-path flow restrictor nozzle |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
KR102572740B1 (ko) * | 2018-06-08 | 2023-08-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
CN109119322B (zh) * | 2018-07-27 | 2020-10-02 | 上海硕余精密机械设备有限公司 | 一种磁增强型等离子体源 |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11572624B2 (en) * | 2018-12-13 | 2023-02-07 | Xia Tai Xin Semiconductor (Qing Dao) Ltd. | Apparatus and method for semiconductor fabrication |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
CN113924386A (zh) * | 2019-05-15 | 2022-01-11 | 应用材料公司 | 用于处理系统的动态多区流动控制 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
JP2022546404A (ja) * | 2019-08-28 | 2022-11-04 | ラム リサーチ コーポレーション | 金属の堆積 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
KR102697450B1 (ko) | 2019-09-27 | 2024-08-21 | 삼성전자주식회사 | 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
WO2021067705A2 (en) * | 2019-10-04 | 2021-04-08 | Applied Materials, Inc. | Gas distribution assembly mounting for fragile plates to prevent breakage |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN110943013B (zh) * | 2019-12-12 | 2022-08-16 | 北京北方华创微电子装备有限公司 | 内衬及反应腔室 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR102145947B1 (ko) * | 2020-01-21 | 2020-08-19 | 주식회사 플스팩 | 기포 제거용 디퓨져 유니트 및 이를 갖는 가변압 장치 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
US11851758B2 (en) | 2021-04-20 | 2023-12-26 | Applied Materials, Inc. | Fabrication of a high temperature showerhead |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023069227A1 (en) * | 2021-10-19 | 2023-04-27 | Applied Materials, Inc. | Dummy hole and mesh patch for diffuser |
USD1037778S1 (en) * | 2022-07-19 | 2024-08-06 | Applied Materials, Inc. | Gas distribution plate |
Family Cites Families (66)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4262631A (en) * | 1979-10-01 | 1981-04-21 | Kubacki Ronald M | Thin film deposition apparatus using an RF glow discharge |
JPS59128281A (ja) * | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
JPH07111957B2 (ja) * | 1984-03-28 | 1995-11-29 | 圭弘 浜川 | 半導体の製法 |
US4759947A (en) * | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US5755886A (en) * | 1986-12-19 | 1998-05-26 | Applied Materials, Inc. | Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4892753A (en) * | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
US5089083A (en) * | 1989-04-25 | 1992-02-18 | Tokyo Electron Limited | Plasma etching method |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5304248A (en) * | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
US5238866A (en) * | 1991-09-11 | 1993-08-24 | GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate | Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating |
US5423936A (en) * | 1992-10-19 | 1995-06-13 | Hitachi, Ltd. | Plasma etching system |
TW347149U (en) | 1993-02-26 | 1998-12-01 | Dow Corning | Integrated circuits protected from the environment by ceramic and barrier metal layers |
KR100324792B1 (ko) * | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5465680A (en) * | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
KR950020993A (ko) * | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
US5589002A (en) * | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5643394A (en) * | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5818071A (en) * | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US5780163A (en) * | 1996-06-05 | 1998-07-14 | Dow Corning Corporation | Multilayer coating for microelectronic devices |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5711987A (en) * | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5730792A (en) * | 1996-10-04 | 1998-03-24 | Dow Corning Corporation | Opaque ceramic coatings |
US5776235A (en) * | 1996-10-04 | 1998-07-07 | Dow Corning Corporation | Thick opaque ceramic coatings |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
TW415970B (en) * | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
JP3702068B2 (ja) * | 1997-04-09 | 2005-10-05 | 東京エレクトロン株式会社 | 被処理基板の処理装置 |
JP2001525997A (ja) * | 1997-05-20 | 2001-12-11 | 東京エレクトロン株式会社 | 処理装置 |
US6024799A (en) * | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
US6167837B1 (en) * | 1998-01-15 | 2001-01-02 | Torrex Equipment Corp. | Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor |
US6080446A (en) * | 1997-08-21 | 2000-06-27 | Anelva Corporation | Method of depositing titanium nitride thin film and CVD deposition apparatus |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6660656B2 (en) * | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
KR100505310B1 (ko) * | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6159871A (en) * | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6147009A (en) * | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6230651B1 (en) * | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6263829B1 (en) * | 1999-01-22 | 2001-07-24 | Applied Materials, Inc. | Process chamber having improved gas distributor and method of manufacture |
US6565661B1 (en) * | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
JP3645768B2 (ja) * | 1999-12-07 | 2005-05-11 | シャープ株式会社 | プラズマプロセス装置 |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
WO2001075188A2 (en) | 2000-03-30 | 2001-10-11 | Tokyo Electron Limited | Method of and apparatus for gas injection |
KR100406174B1 (ko) * | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
US6461435B1 (en) * | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6641673B2 (en) * | 2000-12-20 | 2003-11-04 | General Electric Company | Fluid injector for and method of prolonged delivery and distribution of reagents into plasma |
US6886491B2 (en) * | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US7008484B2 (en) * | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
US6838012B2 (en) * | 2002-10-31 | 2005-01-04 | Lam Research Corporation | Methods for etching dielectric materials |
US20040173313A1 (en) * | 2003-03-03 | 2004-09-09 | Bradley Beach | Fire polished showerhead electrode |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
-
2003
- 2003-01-07 US US10/337,483 patent/US7270713B2/en not_active Expired - Fee Related
- 2003-12-31 JP JP2004566616A patent/JP2006513323A/ja not_active Withdrawn
- 2003-12-31 CN CNA2003801084095A patent/CN1735956A/zh active Pending
- 2003-12-31 WO PCT/US2003/041508 patent/WO2004064407A2/en active Application Filing
-
2004
- 2004-01-07 KR KR1020040000862A patent/KR100663799B1/ko not_active IP Right Cessation
- 2004-01-07 TW TW093100376A patent/TW200416297A/zh unknown
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI394986B (zh) * | 2009-11-09 | 2013-05-01 | Global Material Science Co Ltd | 擴散板結構及其製作方法 |
US8944341B2 (en) | 2009-11-09 | 2015-02-03 | Global Material Science CO., LTD. | Diffuser structure and manufacturing method thereof |
Also Published As
Publication number | Publication date |
---|---|
KR100663799B1 (ko) | 2007-01-03 |
WO2004064407A3 (en) | 2004-12-09 |
JP2006513323A (ja) | 2006-04-20 |
US20040129211A1 (en) | 2004-07-08 |
TW200416297A (en) | 2004-09-01 |
US7270713B2 (en) | 2007-09-18 |
CN1735956A (zh) | 2006-02-15 |
WO2004064407A2 (en) | 2004-07-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100663799B1 (ko) | 가변식 가스 분배 플레이트 조립체 및 가스 분배 방법 | |
JP5302865B2 (ja) | 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ | |
US6827815B2 (en) | Showerhead assembly for a processing chamber | |
KR101541202B1 (ko) | 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 | |
US6730175B2 (en) | Ceramic substrate support | |
RU2163044C2 (ru) | Устройство для зажима электрода, способ его сборки и использования | |
US20020134511A1 (en) | Substrate supporting table,method for producing same, and processing system | |
KR101332234B1 (ko) | 마스크 패널을 갖춘 섀도우 프레임 | |
KR20010113558A (ko) | 세라믹 기판 지지체 | |
EP1167571A2 (en) | Showerhead for semiconductor processing chamber | |
US20050000442A1 (en) | Upper electrode and plasma processing apparatus | |
KR20040019109A (ko) | 플라즈마 챔버용의 현가형 가스 분배 매니폴드 | |
KR20050119684A (ko) | 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치 | |
JP5004436B2 (ja) | 静電吸着電極および処理装置 | |
JP3162873U (ja) | プラズマ処理装置の交換可能な上側チャンバ部 | |
TWI819137B (zh) | 用以減少粒子產生的氣體擴散器組件 | |
KR102224586B1 (ko) | 처리 챔버들을 위한 코팅 재료 | |
KR20020074923A (ko) | 반도체 제조장치의 반응가스 공급노즐 | |
TW202343525A (zh) | 蝕刻腔室中的部件之間的改良熱及電介面 | |
KR20230099642A (ko) | 기판 처리 장치 및 기판 처리 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20111129 Year of fee payment: 6 |
|
LAPS | Lapse due to unpaid annual fee |