JPH11158633A - Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法 - Google Patents
Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法Info
- Publication number
- JPH11158633A JPH11158633A JP10278177A JP27817798A JPH11158633A JP H11158633 A JPH11158633 A JP H11158633A JP 10278177 A JP10278177 A JP 10278177A JP 27817798 A JP27817798 A JP 27817798A JP H11158633 A JPH11158633 A JP H11158633A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- showerhead
- space
- passage
- passages
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45514—Mixing in close vicinity to the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
(57)【要約】
【課題】 化学蒸着により基体上にフイルムを蒸着させ
るCVD反応及びPECVD反応で反応物ガスの早期混
合を防止する装置及び方法。 【解決手段】 基体を入れる処理空間を中に有する反応
室;前記処理空間で化学反応を生じさせ、その中の基体
上に蒸着材料フイルムを形成させるために、前記処理空
間に第一及び第二反応物ガスを送るガス送り機構;前記
処理空間へ反応物ガスを分散させるための前記ガス送り
機構に結合したシャワーヘッドで、第一反応物ガスを受
けて分散させる働きをする第一空間を中に有し、前記第
一ガス分散物から分離して第二反応物ガスを受けて分散
する働きをする、前記第一空間から一般に隔離された第
二空間を中に有し、反応物ガスの隔離を維持し、それら
を処理空間中へ導入する前に、一般に前記ガスの早期混
合を防ぐシャワーヘッド;を具えたフイルム蒸着装置。
るCVD反応及びPECVD反応で反応物ガスの早期混
合を防止する装置及び方法。 【解決手段】 基体を入れる処理空間を中に有する反応
室;前記処理空間で化学反応を生じさせ、その中の基体
上に蒸着材料フイルムを形成させるために、前記処理空
間に第一及び第二反応物ガスを送るガス送り機構;前記
処理空間へ反応物ガスを分散させるための前記ガス送り
機構に結合したシャワーヘッドで、第一反応物ガスを受
けて分散させる働きをする第一空間を中に有し、前記第
一ガス分散物から分離して第二反応物ガスを受けて分散
する働きをする、前記第一空間から一般に隔離された第
二空間を中に有し、反応物ガスの隔離を維持し、それら
を処理空間中へ導入する前に、一般に前記ガスの早期混
合を防ぐシャワーヘッド;を具えたフイルム蒸着装置。
Description
【0001】
【発明の属する技術分野】本発明は、一般に化学蒸着
(CVD)及びプラズマ強化化学蒸着(PECVD)に
関し、詳しくは、CVD及びPECVD反応で、反応物
ガス成分の混合が反応室で望まれる前に、それらの早期
(早過ぎる)混合が起きるのを防ぐ装置及び方法に関す
る。
(CVD)及びプラズマ強化化学蒸着(PECVD)に
関し、詳しくは、CVD及びPECVD反応で、反応物
ガス成分の混合が反応室で望まれる前に、それらの早期
(早過ぎる)混合が起きるのを防ぐ装置及び方法に関す
る。
【0002】
【従来の技術】集積回路(IC's)を形成する際に、半
導体ウエーハのような基体の表面上に金属及びメタロイ
ド元素を含むフイルムのような、薄膜又は層を蒸着する
ことが屡々必要になる。そのような薄膜の一つの目的
は、回路に伝導性オーム接触を与え、種々のIC装置間
に伝導性又は障壁層を形成することにある。例えば、基
体の絶縁層の上の接点又はバイアホール(via hole)の露
出表面に希望のフイルムを適用し、絶縁層を貫くフイル
ムにより絶縁層を通る連係線を形成する目的で伝導性材
料のプラグを与える。
導体ウエーハのような基体の表面上に金属及びメタロイ
ド元素を含むフイルムのような、薄膜又は層を蒸着する
ことが屡々必要になる。そのような薄膜の一つの目的
は、回路に伝導性オーム接触を与え、種々のIC装置間
に伝導性又は障壁層を形成することにある。例えば、基
体の絶縁層の上の接点又はバイアホール(via hole)の露
出表面に希望のフイルムを適用し、絶縁層を貫くフイル
ムにより絶縁層を通る連係線を形成する目的で伝導性材
料のプラグを与える。
【0003】そのようなフイルムを蒸着するためのよく
知られた一つの方法は、種々の成分又は反応物ガスの間
の化学反応を用いてフイルムを蒸着する化学蒸着(CV
D)である。CVDでは、基体の入った反応室の処理空
間に反応物ガスをポンプで送り込む。それらのガスは基
体近辺の処理空間で反応し、一種以上の反応副生成物を
与える結果になる。反応副生成物は、次に基体上に蒸着
し、露出した基体表面上にフイルムを形成する。
知られた一つの方法は、種々の成分又は反応物ガスの間
の化学反応を用いてフイルムを蒸着する化学蒸着(CV
D)である。CVDでは、基体の入った反応室の処理空
間に反応物ガスをポンプで送り込む。それらのガスは基
体近辺の処理空間で反応し、一種以上の反応副生成物を
与える結果になる。反応副生成物は、次に基体上に蒸着
し、露出した基体表面上にフイルムを形成する。
【0004】広く用いられているCVD法の別の変形は
一種以上の反応物ガスをイオン化してガスプラズマに
し、反応工程へのエネルギーを与えるプラズマ強化CV
D法、即ちPECVD法である。PECVDは、標準C
VDで適切な反応のために通常必要な温度を低下するの
で望ましい。PECVDでは、電気エネルギーをガス
(一種又は多種)に与えてプラズマを形成し、持続させ
る。一つのそのようなPECVD法として、処理空間中
に基体及びガス供給部材のような平坦な部材が入ったサ
セプター(susceptor)を、一種類以上の反応物ガスを励
起してイオン化プラズマにするために、電気的にバイア
スをかけてRF電極として作動させる。そのような方法
は、一般に平行板法と呼ばれている。なぜなら、サセプ
ターと他のバイアス印加平坦部材とを全体的に互いに平
行に維持し、バイアス印加部材の間に且つそれらに対し
平行に基体を配置したバイアス印加電極板に類似してい
るからである。
一種以上の反応物ガスをイオン化してガスプラズマに
し、反応工程へのエネルギーを与えるプラズマ強化CV
D法、即ちPECVD法である。PECVDは、標準C
VDで適切な反応のために通常必要な温度を低下するの
で望ましい。PECVDでは、電気エネルギーをガス
(一種又は多種)に与えてプラズマを形成し、持続させ
る。一つのそのようなPECVD法として、処理空間中
に基体及びガス供給部材のような平坦な部材が入ったサ
セプター(susceptor)を、一種類以上の反応物ガスを励
起してイオン化プラズマにするために、電気的にバイア
スをかけてRF電極として作動させる。そのような方法
は、一般に平行板法と呼ばれている。なぜなら、サセプ
ターと他のバイアス印加平坦部材とを全体的に互いに平
行に維持し、バイアス印加部材の間に且つそれらに対し
平行に基体を配置したバイアス印加電極板に類似してい
るからである。
【0005】CVD及びPECVD法のための反応物ガ
スを、ガス送り機構を通して処理空間及び基体へ送り、
その機構は、CVD法のためのガスの適切な流れ及び分
配を与える。一般に、そのようなガス送り機構は、ガス
注入リング又は平坦なシャワーヘッドのようなガス分散
部材を反応室中に有し、そのシャワーヘッドは入ってく
る反応物ガスを処理空間の周辺に散布し、基体に近接し
たガスの均一な分配及び流れを確実に与える。均一で効
果的な蒸着過程、緻密なプラズマ、及び均一な蒸着フイ
ルムのためには均一なガス分配及び流れが望ましい。C
VD及びPECVD法で用いられるガスは反応性なの
で、それらガスを処理空間前に隔離又は非混合状態に維
持するために、各成分ガスのための別々な分散部材を用
いることが屡々必要になる。さもないと、処理空間前に
ガスが混合して、分散部材の内側及びガス送り機構の他
の領域の内側に早期蒸着を起こし、それがガスの均一な
流れを妨げ、蒸着工程を劣化し、蒸着フイルムを汚染す
ることがある。
スを、ガス送り機構を通して処理空間及び基体へ送り、
その機構は、CVD法のためのガスの適切な流れ及び分
配を与える。一般に、そのようなガス送り機構は、ガス
注入リング又は平坦なシャワーヘッドのようなガス分散
部材を反応室中に有し、そのシャワーヘッドは入ってく
る反応物ガスを処理空間の周辺に散布し、基体に近接し
たガスの均一な分配及び流れを確実に与える。均一で効
果的な蒸着過程、緻密なプラズマ、及び均一な蒸着フイ
ルムのためには均一なガス分配及び流れが望ましい。C
VD及びPECVD法で用いられるガスは反応性なの
で、それらガスを処理空間前に隔離又は非混合状態に維
持するために、各成分ガスのための別々な分散部材を用
いることが屡々必要になる。さもないと、処理空間前に
ガスが混合して、分散部材の内側及びガス送り機構の他
の領域の内側に早期蒸着を起こし、それがガスの均一な
流れを妨げ、蒸着工程を劣化し、蒸着フイルムを汚染す
ることがある。
【0006】成分ガスを別々に維持するために多重同心
状ガス注入リングを用いて、処理空間前の早期の混合及
び蒸着を防ぐようにされてきた。しかし、処理空間内の
多重ガス注入リングは、PECVD法を用いるのを困難
にする。なぜなら、それらリングは、そのようなPEC
VD法に必要なRF電極の配置及び作用を妨げるからで
ある。従って、それらリングはプラズマの発生に有害な
影響を与える。
状ガス注入リングを用いて、処理空間前の早期の混合及
び蒸着を防ぐようにされてきた。しかし、処理空間内の
多重ガス注入リングは、PECVD法を用いるのを困難
にする。なぜなら、それらリングは、そのようなPEC
VD法に必要なRF電極の配置及び作用を妨げるからで
ある。従って、それらリングはプラズマの発生に有害な
影響を与える。
【0007】慣用的RF PECVD法は、一般に平行
なバイアス印加サセプターに向かい合った平坦なバイア
ス印加ガスシャワーヘッドを用いている。一つのそのよ
うなPECVD法及び装置は、本願と同じ譲受け人に譲
渡されている米国特許第5,547,243号明細書に
記載されている。そのような方法は適切なPECVDフ
イルムを生ずるが、利用可能なシャワーヘッドを通して
反応物ガス成分の全てを送り、分散させることにより、
処理空間前にそれらガスの早期混合を生じ、シャワーヘ
ッドの内側又はシャワーヘッド前の系のインラインに望
ましくない蒸着を生ずる。従って、平行板PECVDの
場合、シャワーヘッド以外の入り口を通って幾つかのガ
スを分散させることが必要であり、基体の所でガス成分
の幾つかの不均一な流れを生じ、或はプラズマの発生を
妨害をしていたりした。
なバイアス印加サセプターに向かい合った平坦なバイア
ス印加ガスシャワーヘッドを用いている。一つのそのよ
うなPECVD法及び装置は、本願と同じ譲受け人に譲
渡されている米国特許第5,547,243号明細書に
記載されている。そのような方法は適切なPECVDフ
イルムを生ずるが、利用可能なシャワーヘッドを通して
反応物ガス成分の全てを送り、分散させることにより、
処理空間前にそれらガスの早期混合を生じ、シャワーヘ
ッドの内側又はシャワーヘッド前の系のインラインに望
ましくない蒸着を生ずる。従って、平行板PECVDの
場合、シャワーヘッド以外の入り口を通って幾つかのガ
スを分散させることが必要であり、基体の所でガス成分
の幾つかの不均一な流れを生じ、或はプラズマの発生を
妨害をしていたりした。
【0008】
【発明が解決しようとする課題】従って、本発明の目的
は、CVD反応及びPECVD反応で反応物ガスの早期
混合を減少させ、一般に防止することである。
は、CVD反応及びPECVD反応で反応物ガスの早期
混合を減少させ、一般に防止することである。
【0009】本発明の更に別の目的は、ガス送り機構で
のフイルム材料の蒸着を防ぎ、蒸着工程のための処理空
間に反応物ガスの均一な流れ及び分配を与えることであ
る。
のフイルム材料の蒸着を防ぎ、蒸着工程のための処理空
間に反応物ガスの均一な流れ及び分配を与えることであ
る。
【0010】本発明の更に別の目的は、反応物ガスの分
離を維持し、一般にそれらの相互作用を、それらが基体
近辺に注入され混合されるまで防止することである。
離を維持し、一般にそれらの相互作用を、それらが基体
近辺に注入され混合されるまで防止することである。
【0011】一般的な更に別な目的は、平行板電極を用
いたPECVD法で、RFプラズマ発生を妨害すること
なくそのような早期の相互作用及び蒸着を防ぐことであ
る。
いたPECVD法で、RFプラズマ発生を妨害すること
なくそのような早期の相互作用及び蒸着を防ぐことであ
る。
【0012】従って、本発明は、これらの目的及び従来
の技術で現在入手できる種々のCVD及びPECVDの
装置及び方法の欠点に対処するものである。
の技術で現在入手できる種々のCVD及びPECVDの
装置及び方法の欠点に対処するものである。
【0013】本発明は、CVD及びPECVDでの反応
物ガスの早期混合を防ぎ、反応物ガスの分離を維持し、
それらが基体近辺の処理空間中に注入され、混合さるま
で、それらの相互作用を防ぐ。本発明は、更に、反応物
ガスの均一な流れ及び分配を与え、プラズマを妨害する
ことなくRFプラズマ及びPECVD法と共に用いるの
に適している。特に、本発明は、平行板PECVD法に
適するようにしながら、必要なガス分離を与えることで
ある。
物ガスの早期混合を防ぎ、反応物ガスの分離を維持し、
それらが基体近辺の処理空間中に注入され、混合さるま
で、それらの相互作用を防ぐ。本発明は、更に、反応物
ガスの均一な流れ及び分配を与え、プラズマを妨害する
ことなくRFプラズマ及びPECVD法と共に用いるの
に適している。特に、本発明は、平行板PECVD法に
適するようにしながら、必要なガス分離を与えることで
ある。
【0014】
【課題を解決するための手段】本発明は、全体的に円状
で平坦なガス分散マニホルド、好ましくは平坦なシャワ
ーヘッドの形をし、反応物ガスを基体近辺の室中へ分散
するための少なくとも二種の異なった反応物ガス導管に
接続されたマニホルドを有する。シャワーヘッドは第一
反応物ガスを受け、分散させる働きをする第一空間をそ
の中に有し、更に第一空間とは隔離され、第一ガスの分
散とは独立に第二ガスを受け、分散させる働きもする第
二空間を有する。本発明のシャワーヘッドは、第一空間
と第二空間中のそれら反応物ガスの間の隔離を維持し、
それらガスが処理空間に入る前のガスの早期混合を防
ぐ。このようにして、ガス送り機構中で、処理空間前の
早期蒸着を一般に防止する。
で平坦なガス分散マニホルド、好ましくは平坦なシャワ
ーヘッドの形をし、反応物ガスを基体近辺の室中へ分散
するための少なくとも二種の異なった反応物ガス導管に
接続されたマニホルドを有する。シャワーヘッドは第一
反応物ガスを受け、分散させる働きをする第一空間をそ
の中に有し、更に第一空間とは隔離され、第一ガスの分
散とは独立に第二ガスを受け、分散させる働きもする第
二空間を有する。本発明のシャワーヘッドは、第一空間
と第二空間中のそれら反応物ガスの間の隔離を維持し、
それらガスが処理空間に入る前のガスの早期混合を防
ぐ。このようにして、ガス送り機構中で、処理空間前の
早期蒸着を一般に防止する。
【0015】本発明のシャワーヘッドを通過する反応物
ガスを分散させるため、シャワーヘッドは2組の分離し
た複数のガス分離通路を有し、それら通路はシャワーヘ
ッド内の夫々のガス空間の各々と連通しているが、互い
に隔離されている。分散通路は、基体と向かい合ったシ
ャワーヘッド前面の所で開いた出口を有する。別々の反
応物ガスをシャワーヘッドを通って送った時、シャワー
ヘッド内で混合は起きず、反応物ガスの各々は希望通り
に基体近辺での混合とは独立に分散される。各第一空間
及び第二空間の各々へのガス分散通路が、シャワーヘッ
ドの下側前面の周りの協同するグリッド中に配置され、
基体近辺でガスを均一に分散し、混合する。
ガスを分散させるため、シャワーヘッドは2組の分離し
た複数のガス分離通路を有し、それら通路はシャワーヘ
ッド内の夫々のガス空間の各々と連通しているが、互い
に隔離されている。分散通路は、基体と向かい合ったシ
ャワーヘッド前面の所で開いた出口を有する。別々の反
応物ガスをシャワーヘッドを通って送った時、シャワー
ヘッド内で混合は起きず、反応物ガスの各々は希望通り
に基体近辺での混合とは独立に分散される。各第一空間
及び第二空間の各々へのガス分散通路が、シャワーヘッ
ドの下側前面の周りの協同するグリッド中に配置され、
基体近辺でガスを均一に分散し、混合する。
【0016】本発明の別の態様に従い、シャワーヘッド
は全体的に平坦で、従ってコンパクトなデザインを有
し、それはRFエネルギーでバイアスをかけた時、電気
的に平行板としての機能を果たす。従って、本発明のシ
ャワーヘッドは、プラズマを妨害することなく、平行板
PECVD法のために用いることができる。そのように
して、反応物ガスは別々に均一に分散され、安定で均一
なプラズマ及びフィルムの均一な蒸着を与える。
は全体的に平坦で、従ってコンパクトなデザインを有
し、それはRFエネルギーでバイアスをかけた時、電気
的に平行板としての機能を果たす。従って、本発明のシ
ャワーヘッドは、プラズマを妨害することなく、平行板
PECVD法のために用いることができる。そのように
して、反応物ガスは別々に均一に分散され、安定で均一
なプラズマ及びフィルムの均一な蒸着を与える。
【0017】本発明のシャワーヘッドは、平坦な第二空
間と全体的に平行な平面内に、その第二空間より下に位
置する平坦な第一空間を有する。即ち、シャワーヘッド
中、第二空間は第一空間より上に積み重なっている。そ
れら空間に通じた入り口を通って夫々の空間の各々にガ
スが導入され、それら反応物ガスは平坦な空間を通って
広がり、分散通路のグリッドにより基体近辺で均一に分
散するようになる。
間と全体的に平行な平面内に、その第二空間より下に位
置する平坦な第一空間を有する。即ち、シャワーヘッド
中、第二空間は第一空間より上に積み重なっている。そ
れら空間に通じた入り口を通って夫々の空間の各々にガ
スが導入され、それら反応物ガスは平坦な空間を通って
広がり、分散通路のグリッドにより基体近辺で均一に分
散するようになる。
【0018】本発明の一つの態様として、第一ガス空間
は、シャワーヘッドを通って伸びる複数の長い円筒状通
路を有する。それら通路は円状シャワーヘッドの周辺の
一つの領域から始まり、シャワーヘッドの反対側の別の
周辺領域まで伸びている。長い通路は一般にそれらの長
手方向に沿って互いに隔離されているが、同一平面上に
あり、互いに隣合って伸び、平坦な第一空間を定める。
長い第一空間通路の反対の端は夫々周辺結合器に結合さ
れており、その結合器は単一の入り口と広い出口を有
し、長い通路の端の各々に同時に接続されている。二つ
の結合器は長い通路の端の各々に同時にガスを与え、円
状シャワーヘッドの周辺に導入されたガスが第一空間内
でシャワーヘッドの周りに均一に分配するようになる。
長い通路は全体的に各結合器から角度をもって出、シャ
ワーヘッド前面の最大領域に達し、次に反対側の結合器
へ角度をもって戻る。
は、シャワーヘッドを通って伸びる複数の長い円筒状通
路を有する。それら通路は円状シャワーヘッドの周辺の
一つの領域から始まり、シャワーヘッドの反対側の別の
周辺領域まで伸びている。長い通路は一般にそれらの長
手方向に沿って互いに隔離されているが、同一平面上に
あり、互いに隣合って伸び、平坦な第一空間を定める。
長い第一空間通路の反対の端は夫々周辺結合器に結合さ
れており、その結合器は単一の入り口と広い出口を有
し、長い通路の端の各々に同時に接続されている。二つ
の結合器は長い通路の端の各々に同時にガスを与え、円
状シャワーヘッドの周辺に導入されたガスが第一空間内
でシャワーヘッドの周りに均一に分配するようになる。
長い通路は全体的に各結合器から角度をもって出、シャ
ワーヘッド前面の最大領域に達し、次に反対側の結合器
へ角度をもって戻る。
【0019】第二空間は第一空間の長い通路の上にある
開放円筒状空間である。シャワーヘッドの向かい合った
周辺上の点に位置する二つの入り口を通って第二空間へ
第二反応物ガスを導入する。第二ガスを導入するための
入り口は、シャワーヘッド周辺上で、周辺にある第一ガ
ス結合器からほぼ90°ずれた位置にあり、第一空間の
ための結合器と干渉しないようになっている。
開放円筒状空間である。シャワーヘッドの向かい合った
周辺上の点に位置する二つの入り口を通って第二空間へ
第二反応物ガスを導入する。第二ガスを導入するための
入り口は、シャワーヘッド周辺上で、周辺にある第一ガ
ス結合器からほぼ90°ずれた位置にあり、第一空間の
ための結合器と干渉しないようになっている。
【0020】1組のガス分散通路が、シャワーヘッドの
グリッド内に配列されており、第二ガス空間とシャワー
ヘッドの前面との間を連通し、第二ガスが処理空間へ送
られるようになっている。その組からの各通路は、第二
空間から伸び、長い第一空間通路を通り、第一空間通路
と交わることなくシャワーヘッド前面で開いている。こ
のようにして、ガスはシャワーヘッド内で分離された状
態に維持される。同じくグリッド配列として別の組の分
散通路が第一空間の長い通路と連通しており、そこから
ガスを送る。
グリッド内に配列されており、第二ガス空間とシャワー
ヘッドの前面との間を連通し、第二ガスが処理空間へ送
られるようになっている。その組からの各通路は、第二
空間から伸び、長い第一空間通路を通り、第一空間通路
と交わることなくシャワーヘッド前面で開いている。こ
のようにして、ガスはシャワーヘッド内で分離された状
態に維持される。同じくグリッド配列として別の組の分
散通路が第一空間の長い通路と連通しており、そこから
ガスを送る。
【0021】本発明の別の態様として、反応物ガスをシ
ャワーヘッドの中心へその周辺以外の所から導入する。
そのためには、シャワーヘッドは第一ガス及び第二ガス
夫々のための二つの通路及び二つの入り口を有する中心
ステムを有する。中心ステムは、全体的にシャワーヘッ
ドの面に対し垂直に伸び、ガス入り口の一つは第二空間
内に直接開いている。入って来る第二ガスを第一空間の
面と平行に送るため、90°結合器を用いるのが好まし
い。中心ステムは、PECVD処理に望ましい場合、R
Fエネルギーでバイアスをかけてもよい。
ャワーヘッドの中心へその周辺以外の所から導入する。
そのためには、シャワーヘッドは第一ガス及び第二ガス
夫々のための二つの通路及び二つの入り口を有する中心
ステムを有する。中心ステムは、全体的にシャワーヘッ
ドの面に対し垂直に伸び、ガス入り口の一つは第二空間
内に直接開いている。入って来る第二ガスを第一空間の
面と平行に送るため、90°結合器を用いるのが好まし
い。中心ステムは、PECVD処理に望ましい場合、R
Fエネルギーでバイアスをかけてもよい。
【0022】第一ガス入り口は、シャワーヘッド中、第
一及び第二空間の上に位置する直径方向の通路と通じ、
その通路はガスをシャワーヘッドの周辺の方へ送り出
す。第一ガス空間は、シャワーヘッドの周辺の周りに第
一ガスを分配させる周辺溝を有する。ガス分配フインガ
ーは、夫々その一端で開いており、溝に結合されてお
り、シャワーヘッドの直径線の方へ伸びており、直径線
の近くで終わっている。フィンガーは同一平面内にあ
り、一般に互いに平行で、フィンガーのある組はシャワ
ーヘッドの一方の半分へガスを分配し、フィンガーの別
の組は円状シャワーヘッドの他の半分へガスを分配す
る。
一及び第二空間の上に位置する直径方向の通路と通じ、
その通路はガスをシャワーヘッドの周辺の方へ送り出
す。第一ガス空間は、シャワーヘッドの周辺の周りに第
一ガスを分配させる周辺溝を有する。ガス分配フインガ
ーは、夫々その一端で開いており、溝に結合されてお
り、シャワーヘッドの直径線の方へ伸びており、直径線
の近くで終わっている。フィンガーは同一平面内にあ
り、一般に互いに平行で、フィンガーのある組はシャワ
ーヘッドの一方の半分へガスを分配し、フィンガーの別
の組は円状シャワーヘッドの他の半分へガスを分配す
る。
【0023】ガス分配通路の複数の組みが、前に記述し
た態様と同様、相互作用グリッド中に配列されており、
分散通路が各第一及び第二ガス空間とシャワーヘッド前
面との間を連通している。第二空間通路は第一空間のフ
ィンガーの間に伸び、反応物ガスがシャワーヘッドの前
面及び基体近辺で分散する前に、それら反応物ガスが混
合しないようにしている。
た態様と同様、相互作用グリッド中に配列されており、
分散通路が各第一及び第二ガス空間とシャワーヘッド前
面との間を連通している。第二空間通路は第一空間のフ
ィンガーの間に伸び、反応物ガスがシャワーヘッドの前
面及び基体近辺で分散する前に、それら反応物ガスが混
合しないようにしている。
【0024】このように本発明は、基体の入った処理空
間に反応物ガスが入る前のフイルム材料の蒸着を減少さ
せながら、反応物ガスの隔離された均一な分配を与え
る。このようにして、効果的なガスの流れが達成され、
早期蒸着が防止され、シャワーヘッド内の蒸着による汚
染の可能性が減少する。更に、ガスの隔離は、RF P
ECVD処理中、維持することができる。
間に反応物ガスが入る前のフイルム材料の蒸着を減少さ
せながら、反応物ガスの隔離された均一な分配を与え
る。このようにして、効果的なガスの流れが達成され、
早期蒸着が防止され、シャワーヘッド内の蒸着による汚
染の可能性が減少する。更に、ガスの隔離は、RF P
ECVD処理中、維持することができる。
【0025】本明細書に記載し、その一部分を構成する
図面は、本発明の態様を例示するものであり、下に与え
る本発明の一般的記載と共に、本発明の原理を説明する
のに役立つものである。
図面は、本発明の態様を例示するものであり、下に与え
る本発明の一般的記載と共に、本発明の原理を説明する
のに役立つものである。
【0026】
【発明の実施の形態】図1は、本発明のガス隔離、即ち
「非混合」ガスマニホルド又はシャワーヘッドを具えた
反応室の断面図である。反応室10は、ステンレス鋼の
ような適当な材料から形成された囲い12を有し、それ
は中に処理空間14を定める。回転するか又は静止して
いるサセプター16は、処理空間14内に基体18を支
え、CVD及び(又は)PECVD法により材料層又は
フイルムを受ける。反応室10は、処理空間14内にC
VD法で一般に必要になる真空圧を与えるための真空装
置(図示せず)のような他の装置を更に有する。本発明
のマニホルド又はシャワーヘッド20は、その周囲の縁
のまわりで支持体21により支えられ、シャワーヘッド
の一番下にある前面22が処理空間14中の基体18の
方へ向いているように例示されている。好ましい態様と
してシャワーヘッド20は全体的に基体18と平行にな
っており、それはRF平行板PECVD法にとって望ま
しい。
「非混合」ガスマニホルド又はシャワーヘッドを具えた
反応室の断面図である。反応室10は、ステンレス鋼の
ような適当な材料から形成された囲い12を有し、それ
は中に処理空間14を定める。回転するか又は静止して
いるサセプター16は、処理空間14内に基体18を支
え、CVD及び(又は)PECVD法により材料層又は
フイルムを受ける。反応室10は、処理空間14内にC
VD法で一般に必要になる真空圧を与えるための真空装
置(図示せず)のような他の装置を更に有する。本発明
のマニホルド又はシャワーヘッド20は、その周囲の縁
のまわりで支持体21により支えられ、シャワーヘッド
の一番下にある前面22が処理空間14中の基体18の
方へ向いているように例示されている。好ましい態様と
してシャワーヘッド20は全体的に基体18と平行にな
っており、それはRF平行板PECVD法にとって望ま
しい。
【0027】本発明の原理に従い、ガス送り機構26及
び機構28により、夫々別々の反応物ガスをシャワーヘ
ッド20に与える。更に、PECVD法の場合、シャワ
ーヘッド20は適当な構造のRF軸又は導管30を通っ
てRFエネルギー源32に結合し、当業者には解るよう
に、シャワーヘッドにバイアスを印加し、プラズマ強化
PECVD蒸着のために処理空間14内にプラズマを形
成する。ここに記載した本発明の態様は、二つの別々な
反応物ガス用として造られている。しかし、当業者には
解るように、本発明は、シャワーヘッド20を通って二
種類より多くの別々の反応物ガスを導入するために用い
てもよい。
び機構28により、夫々別々の反応物ガスをシャワーヘ
ッド20に与える。更に、PECVD法の場合、シャワ
ーヘッド20は適当な構造のRF軸又は導管30を通っ
てRFエネルギー源32に結合し、当業者には解るよう
に、シャワーヘッドにバイアスを印加し、プラズマ強化
PECVD蒸着のために処理空間14内にプラズマを形
成する。ここに記載した本発明の態様は、二つの別々な
反応物ガス用として造られている。しかし、当業者には
解るように、本発明は、シャワーヘッド20を通って二
種類より多くの別々の反応物ガスを導入するために用い
てもよい。
【0028】図2、2A,及び2Bは、本発明のシャワ
ーヘッド20の一つの態様の平面図及び断面図であり、
その中の別々の反応物ガス空間を例示している。シャワ
ーヘッド20は、ステンレス鋼又は他の適当な材料から
形成されていてもよく、PECVD法で用いられるよう
に伝導性であるのが好ましい。図2A及び2Bに例示し
たように、シャワーヘッド20は、第一反応物ガスを入
れるための第一平坦空間36及びその第一ガス空間36
とは物理的に隔離された第二平坦空間38を有し、本発
明の原理に従い、反応物ガスがシャワーヘッド20から
分散されるまで、それら反応物ガスの分離及び隔離を与
えるようになっている。参照し易いように、第一空間3
6中に注入された第一反応物ガスをガスAと呼び、第二
空間38に注入された第二反応物ガスをガスBと呼ぶ。
本発明の原理に従い理解できるように別の態様では二種
類より多くの反応物ガスを用いてもよい。
ーヘッド20の一つの態様の平面図及び断面図であり、
その中の別々の反応物ガス空間を例示している。シャワ
ーヘッド20は、ステンレス鋼又は他の適当な材料から
形成されていてもよく、PECVD法で用いられるよう
に伝導性であるのが好ましい。図2A及び2Bに例示し
たように、シャワーヘッド20は、第一反応物ガスを入
れるための第一平坦空間36及びその第一ガス空間36
とは物理的に隔離された第二平坦空間38を有し、本発
明の原理に従い、反応物ガスがシャワーヘッド20から
分散されるまで、それら反応物ガスの分離及び隔離を与
えるようになっている。参照し易いように、第一空間3
6中に注入された第一反応物ガスをガスAと呼び、第二
空間38に注入された第二反応物ガスをガスBと呼ぶ。
本発明の原理に従い理解できるように別の態様では二種
類より多くの反応物ガスを用いてもよい。
【0029】第一空間36は、複数の長い通路を40を
有し、それらが集まって第一空間36を形成する。通路
40はシャワーヘッドの周辺領域にある一つのガス入り
口42Aから、シャワーヘッド20の別の周辺領域にあ
る別のガス入り口42Bまで伸びており、その入り口は
入り口42Aから約180°の所に位置している。この
ようにして、ガスは通路40へその両方の入り口から導
入される。それら通路40は一般に同一平面上にあり、
シャワーヘッドを横切って入り口42Aから入り口42
Bまで伸び、平坦な第一空間36を定める。
有し、それらが集まって第一空間36を形成する。通路
40はシャワーヘッドの周辺領域にある一つのガス入り
口42Aから、シャワーヘッド20の別の周辺領域にあ
る別のガス入り口42Bまで伸びており、その入り口は
入り口42Aから約180°の所に位置している。この
ようにして、ガスは通路40へその両方の入り口から導
入される。それら通路40は一般に同一平面上にあり、
シャワーヘッドを横切って入り口42Aから入り口42
Bまで伸び、平坦な第一空間36を定める。
【0030】シャワーヘッド20は更に周辺結合器部材
即ち結合器44A、44Bを有し、それらは夫々入り口
42A及び42Bを定める。結合器部材44A、44B
は、夫々シャワーヘッド20に形成された各ノッチ45
と接触しており、広い出口48Aへ分岐する主入り口4
6を有する。結合器の各々の広い出口四48の端は、第
一空間36を構成する長い通路40の夫々の端と連通し
ている。このようにして入り口46へ導入されたガスA
は、出口48を通り、同時に第一空間通路40を通って
って分布される。結合器はガスAを通路40の端の各々
へ送り、円状シャワーヘッドの周辺から導入されたガス
が、第一空間中及びシャワーヘッドの周りに均一に分布
するようになる。
即ち結合器44A、44Bを有し、それらは夫々入り口
42A及び42Bを定める。結合器部材44A、44B
は、夫々シャワーヘッド20に形成された各ノッチ45
と接触しており、広い出口48Aへ分岐する主入り口4
6を有する。結合器の各々の広い出口四48の端は、第
一空間36を構成する長い通路40の夫々の端と連通し
ている。このようにして入り口46へ導入されたガスA
は、出口48を通り、同時に第一空間通路40を通って
って分布される。結合器はガスAを通路40の端の各々
へ送り、円状シャワーヘッドの周辺から導入されたガス
が、第一空間中及びシャワーヘッドの周りに均一に分布
するようになる。
【0031】図2に関し、多数の長い通路40をシャワ
ーヘッド20中、一つの結合器44Aから外側へ角度を
付けて直径中心線49の方へ行き、同様なやり方で他方
の結合器44Bの方へ戻るように形成する。このように
して通路40はシャワーヘッド20の前面22の周りの
最大領域に達し、ガスAの等しい均一な分布を与える。
通路40はそれらの長手方向に沿って互いに隔離されて
いるが、結合器44A、44Bの所では一緒に結合され
ている。
ーヘッド20中、一つの結合器44Aから外側へ角度を
付けて直径中心線49の方へ行き、同様なやり方で他方
の結合器44Bの方へ戻るように形成する。このように
して通路40はシャワーヘッド20の前面22の周りの
最大領域に達し、ガスAの等しい均一な分布を与える。
通路40はそれらの長手方向に沿って互いに隔離されて
いるが、結合器44A、44Bの所では一緒に結合され
ている。
【0032】ガスAを分散させめため、シャワーヘッド
20は更に複数のガス分散通路50を有し、それら通路
はシャワーヘッド20の前面22の所に出口を有する。
図2及び2Aに関し、ガス分散通路50は第一空間通路
と前面22との間を連通し、第一空間内のガスAを処理
空間14中の基体18の方へ送る。通路50は一般にシ
ャワーヘッド20、第一空間36及び基体18の面に対
し直角になっており、ガスAを基体18及びその上へ直
接送る。図2及び4Aに例示し、後で更に説明するよう
に、通路50はシャワーヘッド20の前面22上のグリ
ッド中に配列されている。
20は更に複数のガス分散通路50を有し、それら通路
はシャワーヘッド20の前面22の所に出口を有する。
図2及び2Aに関し、ガス分散通路50は第一空間通路
と前面22との間を連通し、第一空間内のガスAを処理
空間14中の基体18の方へ送る。通路50は一般にシ
ャワーヘッド20、第一空間36及び基体18の面に対
し直角になっており、ガスAを基体18及びその上へ直
接送る。図2及び4Aに例示し、後で更に説明するよう
に、通路50はシャワーヘッド20の前面22上のグリ
ッド中に配列されている。
【0033】次に図2Bに関し、通路40の上の表面5
1と、覆い54の下方表面53との間に第二空間38が
定められている。シャワーヘッドに形成された円筒状空
間は、覆い54により密封され(図2B参照)、ガスB
がシャワーヘッド内で分散する全体的に円筒状の空間を
定める。覆い54は、周縁リップ55に載っており、更
に複数のスペーサー56により持ち上げられている。ガ
ス入り口58A及び58Bが、シャワーヘッド20の両
側に、そのシャワーヘッドの外側周縁近辺に形成されて
いる。図2及び図3に関し、ガス入り口58A及び58
Bは、一般にシャワーヘッドの周辺の周りに入り口42
A及び42Bから約90°ずれている。分布通路59
は、入り口58A、58Bと第二空間38との間を連通
している。このようにして入り口に導入されたガスB
は、空間38に全体に亙って分散する。
1と、覆い54の下方表面53との間に第二空間38が
定められている。シャワーヘッドに形成された円筒状空
間は、覆い54により密封され(図2B参照)、ガスB
がシャワーヘッド内で分散する全体的に円筒状の空間を
定める。覆い54は、周縁リップ55に載っており、更
に複数のスペーサー56により持ち上げられている。ガ
ス入り口58A及び58Bが、シャワーヘッド20の両
側に、そのシャワーヘッドの外側周縁近辺に形成されて
いる。図2及び図3に関し、ガス入り口58A及び58
Bは、一般にシャワーヘッドの周辺の周りに入り口42
A及び42Bから約90°ずれている。分布通路59
は、入り口58A、58Bと第二空間38との間を連通
している。このようにして入り口に導入されたガスB
は、空間38に全体に亙って分散する。
【0034】第二の複数のガス分散通路60がシャワー
ヘッド20に形成されており、第二空間38とシャワー
ヘッド前面22との間を連通している。通路60は、一
般にガスA通路50よりも大きな長さを有する。なぜな
ら、それらは第一空間36の上にある第二空間38から
始まり、通路50に沿ってシャワーヘッド20の一番下
の面22の所で開いていなければならないからである。
ガスB通路60は、シャワーヘッド中のグリッド中に位
置し、それら通路のいずれも第一空間の長い通路40と
は交わっておらず、ガスの混合を防ぐようになってい
る。図2Cに関し、ガスB通路60は、約0.06イン
チの比較的大きな第一直径Dを有し、次に約0.018
インチの第二の小さな直径へ狭くなっている。従って、
入り口58A、58Bを通って導入されたガスBは空間
38へ送られ、次に通路60を通って処理空間14へ
出、基体の上でガスAと混合する。図2から分かるよう
に、通路60も一般にシャワーヘッド20の平面に対し
直角になっており、シャワーヘッド20の一番下にある
前面22の上のグリッドを形成し、それは開口50によ
って形成されたグリッドと協同し、ガスAとガスBが基
体18上の処理空間14中全体的に均一に分散するよう
になる。第二空間38は第一空間36とは隔離されてい
るので、ガスAとガスBはシャワーヘッド内で隔離され
ており、処理空間14中に分散した時だけ混合する。
ヘッド20に形成されており、第二空間38とシャワー
ヘッド前面22との間を連通している。通路60は、一
般にガスA通路50よりも大きな長さを有する。なぜな
ら、それらは第一空間36の上にある第二空間38から
始まり、通路50に沿ってシャワーヘッド20の一番下
の面22の所で開いていなければならないからである。
ガスB通路60は、シャワーヘッド中のグリッド中に位
置し、それら通路のいずれも第一空間の長い通路40と
は交わっておらず、ガスの混合を防ぐようになってい
る。図2Cに関し、ガスB通路60は、約0.06イン
チの比較的大きな第一直径Dを有し、次に約0.018
インチの第二の小さな直径へ狭くなっている。従って、
入り口58A、58Bを通って導入されたガスBは空間
38へ送られ、次に通路60を通って処理空間14へ
出、基体の上でガスAと混合する。図2から分かるよう
に、通路60も一般にシャワーヘッド20の平面に対し
直角になっており、シャワーヘッド20の一番下にある
前面22の上のグリッドを形成し、それは開口50によ
って形成されたグリッドと協同し、ガスAとガスBが基
体18上の処理空間14中全体的に均一に分散するよう
になる。第二空間38は第一空間36とは隔離されてい
るので、ガスAとガスBはシャワーヘッド内で隔離され
ており、処理空間14中に分散した時だけ混合する。
【0035】図3、3A及び3Bは、第一ガス送り機構
26及び第二ガス送り機構28の平面図及び側面図を示
し、それら機構にはシャワーヘッド20へ導入するため
のガスA及びガスBが夫々含まれている。図3Aに関
し、ガスBのためのガス機構28は、単一の入り口65
を有し、それが導管64A及び64B中へ供給し、それ
ら導管は今度は入り口58A及び58Bに夫々結合され
ている。図3Bに関し、ガスAが同様に導入され、機構
26は単一の入り口67を有し、その入り口は導管62
A及び62Bに結合し、ガスを入り口42A及び42B
に夫々送る。導管の各々は、シャワーヘッドに導入され
る反応物ガスを濾過するための一つ以上のフイルター部
材69をもっていても良い。機構26、28は、反応室
の構造によりその室中の適当な開口を通り、ガス供給部
(図示せず)に結合されている。
26及び第二ガス送り機構28の平面図及び側面図を示
し、それら機構にはシャワーヘッド20へ導入するため
のガスA及びガスBが夫々含まれている。図3Aに関
し、ガスBのためのガス機構28は、単一の入り口65
を有し、それが導管64A及び64B中へ供給し、それ
ら導管は今度は入り口58A及び58Bに夫々結合され
ている。図3Bに関し、ガスAが同様に導入され、機構
26は単一の入り口67を有し、その入り口は導管62
A及び62Bに結合し、ガスを入り口42A及び42B
に夫々送る。導管の各々は、シャワーヘッドに導入され
る反応物ガスを濾過するための一つ以上のフイルター部
材69をもっていても良い。機構26、28は、反応室
の構造によりその室中の適当な開口を通り、ガス供給部
(図示せず)に結合されている。
【0036】本発明のシャワーヘッドは慣用的CVD環
境中で用いることができるが、それはRFプラズマを用
いたPECVD環境に対しても用いることができる。従
来、ガスリングで異なった反応物ガスを分散させること
は、平行板RFプラズマ系に適した環境を与えなかっ
た。本発明を用いることにより、シャワーヘッド20を
RFエネルギーでバイアスし、RF電極を形成し、処理
空間14中に電場を形成してイオン化プラズマを形成
し、持続させる平行板系を維持することができる。この
目的から、シャワーヘッド20の覆い54を伝導性金属
ハブ又はステム66に結合し、それが今度は受け肩68
に結合し、その時、覆い54はシャワーヘッド上の位置
にある。ステム66は、今度はRF源32に適当な伝導
性導管30を通して結合し、シャワーヘッドがRFエネ
ルギーでバイアスされてRF電極として働くようにする
ことができる。バイアスした平坦なシャワーヘッドを基
体に近接且つ平行に(例えば、1インチの間隔で)維持
することにより、米国特許第5,567,243号(言
及することによってその全てをここに取り入れる)に記
載されているように、PECVD法のための均一な緻密
なプラズマを与えることが判明している。このようにし
て、反応物ガスを、それらが空間14中の基体18の上
に導入されるまで、隔離した状態に維持しながら、平行
板RF系を維持することができる。このように、本発明
は、PECVDに必要な平行板形状を維持しながら、処
理空間14の上流で反応物ガスの前混合及び蒸着を減少
又は無くすことが見出されている。
境中で用いることができるが、それはRFプラズマを用
いたPECVD環境に対しても用いることができる。従
来、ガスリングで異なった反応物ガスを分散させること
は、平行板RFプラズマ系に適した環境を与えなかっ
た。本発明を用いることにより、シャワーヘッド20を
RFエネルギーでバイアスし、RF電極を形成し、処理
空間14中に電場を形成してイオン化プラズマを形成
し、持続させる平行板系を維持することができる。この
目的から、シャワーヘッド20の覆い54を伝導性金属
ハブ又はステム66に結合し、それが今度は受け肩68
に結合し、その時、覆い54はシャワーヘッド上の位置
にある。ステム66は、今度はRF源32に適当な伝導
性導管30を通して結合し、シャワーヘッドがRFエネ
ルギーでバイアスされてRF電極として働くようにする
ことができる。バイアスした平坦なシャワーヘッドを基
体に近接且つ平行に(例えば、1インチの間隔で)維持
することにより、米国特許第5,567,243号(言
及することによってその全てをここに取り入れる)に記
載されているように、PECVD法のための均一な緻密
なプラズマを与えることが判明している。このようにし
て、反応物ガスを、それらが空間14中の基体18の上
に導入されるまで、隔離した状態に維持しながら、平行
板RF系を維持することができる。このように、本発明
は、PECVDに必要な平行板形状を維持しながら、処
理空間14の上流で反応物ガスの前混合及び蒸着を減少
又は無くすことが見出されている。
【0037】本発明の好ましい一つの態様について、図
4AはガスAのための通路50のグリッドの一部を例示
する。同様な四分円は、本質的に前面22に複製されて
いる。一方図4Bは、ガスBを導入するための通路60
のグリッドの一部分を例示し、図4Bに例示した四分円
がシャワーヘッドの残りの所に複製されている。
4AはガスAのための通路50のグリッドの一部を例示
する。同様な四分円は、本質的に前面22に複製されて
いる。一方図4Bは、ガスBを導入するための通路60
のグリッドの一部分を例示し、図4Bに例示した四分円
がシャワーヘッドの残りの所に複製されている。
【0038】下の表1は、本発明の一態様の種々のガス
Aの通路開口のXY座標を列挙したものであり、円状シ
ャワーヘッド前面22の物理的中心(physical center)
を原点0としている。表2は、ガスBの通路について同
様なXY座標を例示している。当業者には容易に分かる
ように、シャワーヘッドにガス分散開口のグリッドを形
成するのに異なった座標を用いてもよいことは容易に分
かるであろう。図2、4A及び4Bの態様の開口は、基
体18上にガスの効果的で均一な蒸着を与えるために基
体18上の処理空間に反応物ガスの均一な分配を与え
る。
Aの通路開口のXY座標を列挙したものであり、円状シ
ャワーヘッド前面22の物理的中心(physical center)
を原点0としている。表2は、ガスBの通路について同
様なXY座標を例示している。当業者には容易に分かる
ように、シャワーヘッドにガス分散開口のグリッドを形
成するのに異なった座標を用いてもよいことは容易に分
かるであろう。図2、4A及び4Bの態様の開口は、基
体18上にガスの効果的で均一な蒸着を与えるために基
体18上の処理空間に反応物ガスの均一な分配を与え
る。
【0039】
【表1】
【0040】
【表2】
【0041】
【表3】
【0042】
【表4】
【0043】
【表5】
【0044】
【表6】
【0045】
【表7】
【0046】
【表8】
【0047】図5〜8Bは、本発明の別の態様を例示し
ており、この場合反応物ガスはシャワーヘッドの中心
へ、その周辺以外の所から導入される。図5に関し、シ
ャワーヘッド70は、ガスA入り口74及びガスB入り
口76を有する中心ハブ又はステム72を有する。シャ
ワーヘッド70は全体的に平坦で、前に記載したシャワ
ーヘッド20と同様な円状断面を有する。ステム72
は、一般にシャワーヘッド70の平面に対し直角に伸び
ている(図6参照)。
ており、この場合反応物ガスはシャワーヘッドの中心
へ、その周辺以外の所から導入される。図5に関し、シ
ャワーヘッド70は、ガスA入り口74及びガスB入り
口76を有する中心ハブ又はステム72を有する。シャ
ワーヘッド70は全体的に平坦で、前に記載したシャワ
ーヘッド20と同様な円状断面を有する。ステム72
は、一般にシャワーヘッド70の平面に対し直角に伸び
ている(図6参照)。
【0048】次に図6に関し、シャワーヘッド70は下
方本体領域70を有し、それは本体領域78の上に載っ
た覆い領域80と相互作用する。本体領域78は、更に
下で説明するように、複数のガス分配フィンガー82と
共に形成されている。本体領域78は、周辺溝84の一
部分を形成する。覆い領域80は、本体領域78の環状
表面87上に載った環状リム86を有する。リム86は
底部領域の一番上の表面89の上のスタンド・オフ(sta
nd-off,隔離)を与え、本体領域78と覆い領域80が
協同してガスBを分配させるための第二空間90を定め
るようになっている。覆い領域80は、周辺溝84の一
部分も形成する。金属帯92がシャワーヘッド70の周
辺の周りに伸び、溶接等により覆い領域80及び本体領
域78に結合され、溝84を囲む。溝84は、下で更に
説明するように、ガスAを分配し、分散するのに用い
る。
方本体領域70を有し、それは本体領域78の上に載っ
た覆い領域80と相互作用する。本体領域78は、更に
下で説明するように、複数のガス分配フィンガー82と
共に形成されている。本体領域78は、周辺溝84の一
部分を形成する。覆い領域80は、本体領域78の環状
表面87上に載った環状リム86を有する。リム86は
底部領域の一番上の表面89の上のスタンド・オフ(sta
nd-off,隔離)を与え、本体領域78と覆い領域80が
協同してガスBを分配させるための第二空間90を定め
るようになっている。覆い領域80は、周辺溝84の一
部分も形成する。金属帯92がシャワーヘッド70の周
辺の周りに伸び、溶接等により覆い領域80及び本体領
域78に結合され、溝84を囲む。溝84は、下で更に
説明するように、ガスAを分配し、分散するのに用い
る。
【0049】ガスBは、入り口76を通って第二空間9
0中へ導入される。入り口76は、シャント又はキャッ
プ構造体94で終わっており、その構造体は空間90中
にガスBを分散させるための複数の開口96を有する。
ガスBは、空間90の平面に対し一般に直角な入り口7
6を通って送られる。しかし、キャップ94は、空間9
0と一般に平行な方向にガスを送り、空間90の周りの
均一な分配及びガスBの均一な分散を与える。ガスBは
複数のガス分散通路98を通って分散され、それら通路
はシャワーヘッド本体領域78中に形成されており、シ
ャワーヘッド70の前面99とガスB空間90との間を
連通している。前に記載した態様のガスB通路と同様
に、通路98は最初約0.06インチの直径D1 を有
し、次に狭くなって約0.018インチの第二直径d1
になり、ガスを前面99の所から空間90の外へ送る。
0中へ導入される。入り口76は、シャント又はキャッ
プ構造体94で終わっており、その構造体は空間90中
にガスBを分散させるための複数の開口96を有する。
ガスBは、空間90の平面に対し一般に直角な入り口7
6を通って送られる。しかし、キャップ94は、空間9
0と一般に平行な方向にガスを送り、空間90の周りの
均一な分配及びガスBの均一な分散を与える。ガスBは
複数のガス分散通路98を通って分散され、それら通路
はシャワーヘッド本体領域78中に形成されており、シ
ャワーヘッド70の前面99とガスB空間90との間を
連通している。前に記載した態様のガスB通路と同様
に、通路98は最初約0.06インチの直径D1 を有
し、次に狭くなって約0.018インチの第二直径d1
になり、ガスを前面99の所から空間90の外へ送る。
【0050】次に図5及び7に関し、第一空間は複数の
ガス分配フィンガー82を有し、それらフィンガーはガ
スAをシャワーヘッド全体に亙って分散し、その等しい
均一な分配を与える。図5に関し、1組のガス分配フィ
ンガー82は周辺溝84から直径ライン101の一方の
側近くまで伸び、別の組のフィンガーはシャワーヘッド
70の他方の側の周辺溝から直径ライン101の他方の
側近くまで伸びている。かくして1組のガス分配フィン
ガーはシャワーヘッドの一方の側へガスを送り、他方の
組のフィンガーはシャワーヘッドの他方の側へガスを送
る。ガス分配フィンガーは、夫々一方の端の所で周辺溝
84中へ開いており、そこからガスAを受ける。それら
フィンガーは同一平面上にあり、全体的に互いに平行に
伸びており、図5に明確に例示すように、それらが周辺
溝中へ開いている場所により異なった長さを有する。フ
ィンガー82は、円筒状の形をして長くなっており、円
状断面を有するものとして示すが、種々の他の形の断面
も用いることができる。
ガス分配フィンガー82を有し、それらフィンガーはガ
スAをシャワーヘッド全体に亙って分散し、その等しい
均一な分配を与える。図5に関し、1組のガス分配フィ
ンガー82は周辺溝84から直径ライン101の一方の
側近くまで伸び、別の組のフィンガーはシャワーヘッド
70の他方の側の周辺溝から直径ライン101の他方の
側近くまで伸びている。かくして1組のガス分配フィン
ガーはシャワーヘッドの一方の側へガスを送り、他方の
組のフィンガーはシャワーヘッドの他方の側へガスを送
る。ガス分配フィンガーは、夫々一方の端の所で周辺溝
84中へ開いており、そこからガスAを受ける。それら
フィンガーは同一平面上にあり、全体的に互いに平行に
伸びており、図5に明確に例示すように、それらが周辺
溝中へ開いている場所により異なった長さを有する。フ
ィンガー82は、円筒状の形をして長くなっており、円
状断面を有するものとして示すが、種々の他の形の断面
も用いることができる。
【0051】ガスAは、プラグ75によって下方端が塞
がれた入り口74から、プラグ106によって各端が塞
がれた覆い領域80中の直径方向の孔104を通り溝8
4へ送られる。孔104は、各々の端から周辺溝84へ
軸方向の孔108を通って供給する。このようにして溝
84は二つの180°離れた場所からガスAを受け、そ
の溝からガスAは分配フィンガー82へ送られ、通路1
02へ送られる。第二の組のガス分散通路102は、ガ
ス分配フィンガー82と、シャワーヘッド70の前面9
9との間を連通し、ガスAを分散させる。図7に例示し
たように、ガスB空間90と連通したガス分散通路98
は、フィンガー82と交差することなくシャワーヘッド
本体領域78を通って伸びている。このようにして、反
応物ガスは、本発明の原理に従いシャワーヘッド内で混
合されることはない。通路102は約0.018インチ
の直径を有する。
がれた入り口74から、プラグ106によって各端が塞
がれた覆い領域80中の直径方向の孔104を通り溝8
4へ送られる。孔104は、各々の端から周辺溝84へ
軸方向の孔108を通って供給する。このようにして溝
84は二つの180°離れた場所からガスAを受け、そ
の溝からガスAは分配フィンガー82へ送られ、通路1
02へ送られる。第二の組のガス分散通路102は、ガ
ス分配フィンガー82と、シャワーヘッド70の前面9
9との間を連通し、ガスAを分散させる。図7に例示し
たように、ガスB空間90と連通したガス分散通路98
は、フィンガー82と交差することなくシャワーヘッド
本体領域78を通って伸びている。このようにして、反
応物ガスは、本発明の原理に従いシャワーヘッド内で混
合されることはない。通路102は約0.018インチ
の直径を有する。
【0052】図8A及び8Bに関し、ガス分散通路のた
めの開口のための種々の位置がシャワーヘッドの四分円
に関して示されている。夫々のグリッドは、図8A及び
8Bに例示した四分円の複製によって形成される。図8
AはガスA開口102の位置を例示し、それら開口はガ
ス分配フィンガー82と連通している。一方図8Bは、
ガスB空間90と連通するガス分散開口98を例示して
いる。シャワーヘッド70には、ガス分散開口が、シャ
ワーヘッド20の前に記載した態様での開口とは異なっ
て、同じ間隔の縦横の列で配列されている。
めの開口のための種々の位置がシャワーヘッドの四分円
に関して示されている。夫々のグリッドは、図8A及び
8Bに例示した四分円の複製によって形成される。図8
AはガスA開口102の位置を例示し、それら開口はガ
ス分配フィンガー82と連通している。一方図8Bは、
ガスB空間90と連通するガス分散開口98を例示して
いる。シャワーヘッド70には、ガス分散開口が、シャ
ワーヘッド20の前に記載した態様での開口とは異なっ
て、同じ間隔の縦横の列で配列されている。
【0053】図9は本発明の別の特徴を例示しており、
この場合図5〜8Bに例示したようなシャワーヘッドが
CVD反応室内で使用するために取付けられている。シ
ャワーヘッド70は、アルミナのような適当なセラミッ
クで形成されたセラミックシールド124により取り巻
かれている。ステム72は反応室126内のシャワーヘ
ッド70を支え、上で更に説明したように、電気的接続
も与える。シャワーヘッドはガスA供給部127及びガ
スB供給物128に適当に結合されている。シャワーヘ
ッドへ導くガス導管内でのプラズマ放電を抑制するため
に、シャワーヘッド70をプラズマサプレッサー130
を通して適当なガス供給部へ接続する。プラズマサプレ
ッサー130は、ガスB供給部128とシャワーヘッド
70との間の導管に接続されたものとして示されてい
る。本発明の原理に従い、同様なサプレッサー(図示さ
れていない)を、ガスA供給部127とシャワーヘッド
70との間に用いてもよい。セラミックシールド124
は、適当なボルト134により反応室126の金属蓋1
33の周りに吊された支持リング132により反応室1
26中で支持されている。ボルトは、支持リング132
中に形成されたネジ山付き孔を通って下向きの方向へ締
め付けられ、金属蓋133に結合されたワッシャ136
に対して支持リング132を垂直上方へ持ち上げるよう
に支える。支持リング132の肩137は、セラミック
シールド124の適当に形成された肩138に対して支
え、それにより金属蓋133の内面139に対してセラ
ミックシールドを持ち上げる。セラミックシールド12
4の上面と蓋表面139との間にシール140が結合さ
れ、シールド124の一部分及びステム72が蓋133
の開口を通る所の密封を与える。
この場合図5〜8Bに例示したようなシャワーヘッドが
CVD反応室内で使用するために取付けられている。シ
ャワーヘッド70は、アルミナのような適当なセラミッ
クで形成されたセラミックシールド124により取り巻
かれている。ステム72は反応室126内のシャワーヘ
ッド70を支え、上で更に説明したように、電気的接続
も与える。シャワーヘッドはガスA供給部127及びガ
スB供給物128に適当に結合されている。シャワーヘ
ッドへ導くガス導管内でのプラズマ放電を抑制するため
に、シャワーヘッド70をプラズマサプレッサー130
を通して適当なガス供給部へ接続する。プラズマサプレ
ッサー130は、ガスB供給部128とシャワーヘッド
70との間の導管に接続されたものとして示されてい
る。本発明の原理に従い、同様なサプレッサー(図示さ
れていない)を、ガスA供給部127とシャワーヘッド
70との間に用いてもよい。セラミックシールド124
は、適当なボルト134により反応室126の金属蓋1
33の周りに吊された支持リング132により反応室1
26中で支持されている。ボルトは、支持リング132
中に形成されたネジ山付き孔を通って下向きの方向へ締
め付けられ、金属蓋133に結合されたワッシャ136
に対して支持リング132を垂直上方へ持ち上げるよう
に支える。支持リング132の肩137は、セラミック
シールド124の適当に形成された肩138に対して支
え、それにより金属蓋133の内面139に対してセラ
ミックシールドを持ち上げる。セラミックシールド12
4の上面と蓋表面139との間にシール140が結合さ
れ、シールド124の一部分及びステム72が蓋133
の開口を通る所の密封を与える。
【0054】クランプリング142はステム72の上部
と嵌合し、セラミックシールド124に対してステム7
2を持ち上げる。図9に例示したように、クランプリン
グはその周りに複数のネジ又はボルト144を有し、そ
れらはシールド124の上面に位置する金属ワッシャ1
46に対して支える。ボルト144をクランプリング1
42の適当なネジ山付き開口中を下方へ締め付けると、
それらはクランプリング142を垂直に上方へ駆動し、
それによってシールド124に対しステム72を上方へ
引っ張る。ステム72はシールド124の内側肩150
に嵌合するフランジ148を有する。フランジ148と
肩150との間にシール152が配置され、ステム72
とシールド124を適当に密封する。このようにして、
支持リング132がシールド124を持ち上げて密封
し、クランプリング142がステム72を持ち上げて密
封する。従って、反応室126の内部環境は、CVD法
で必要になるように、外側環境に対して密封される。ガ
ス通路74及び76をVCR付属品154によって適当
なガス供給部に結合する。それら付属品は市販されてお
り、当分野でよく知られている。図9に例示したよう
に、シールド124とシャワーヘッド70近辺のステム
72との間に石英スペーサーシリンダー156を配置
し、二次プラズマを抑制する。
と嵌合し、セラミックシールド124に対してステム7
2を持ち上げる。図9に例示したように、クランプリン
グはその周りに複数のネジ又はボルト144を有し、そ
れらはシールド124の上面に位置する金属ワッシャ1
46に対して支える。ボルト144をクランプリング1
42の適当なネジ山付き開口中を下方へ締め付けると、
それらはクランプリング142を垂直に上方へ駆動し、
それによってシールド124に対しステム72を上方へ
引っ張る。ステム72はシールド124の内側肩150
に嵌合するフランジ148を有する。フランジ148と
肩150との間にシール152が配置され、ステム72
とシールド124を適当に密封する。このようにして、
支持リング132がシールド124を持ち上げて密封
し、クランプリング142がステム72を持ち上げて密
封する。従って、反応室126の内部環境は、CVD法
で必要になるように、外側環境に対して密封される。ガ
ス通路74及び76をVCR付属品154によって適当
なガス供給部に結合する。それら付属品は市販されてお
り、当分野でよく知られている。図9に例示したよう
に、シールド124とシャワーヘッド70近辺のステム
72との間に石英スペーサーシリンダー156を配置
し、二次プラズマを抑制する。
【0055】次にプラズマサプレッサー130に関し、
それらサプレッサーは、シャワーヘッド70の方へ送る
ガス導管領域158aと、ガスB供給部128のような
適当なガス供給部の方へ送るガス導管領域158bとの
間に、一般に非伝導性セラミック材料を与える。サプレ
ッサー130は円筒状に形成されたセラミック本体16
0を有し、それもアルミナから形成されているのが好ま
しい。各ガス導管領域158a及び158bはニッケル
端板164、166により本体160に結合され、それ
ら板はガス導管領域158a、158bを本体160に
結合する。本体160には複数の小さな平行な溝又は通
路170が形成されており、各導管領域158a、15
8bを配列し、それらと接続する。それら通路はガスを
シャワーヘッド70へ通すことができる大きさになって
いるのが好ましいが、その中でプラズマ放電が起きるの
を防ぐのに充分小さいものである。従って、室126か
らのプラズマ放電は、図9に例示したように、サプレッ
サー130の右への放電を全て防ぐように抑制される。
一つの態様として、通路は円筒状になっている。このよ
うにしてプラズマ放電はサプレッサー130を過ぎるガ
ス導管内では一般に存在しないように防止されている。
それらサプレッサーは、シャワーヘッド70の方へ送る
ガス導管領域158aと、ガスB供給部128のような
適当なガス供給部の方へ送るガス導管領域158bとの
間に、一般に非伝導性セラミック材料を与える。サプレ
ッサー130は円筒状に形成されたセラミック本体16
0を有し、それもアルミナから形成されているのが好ま
しい。各ガス導管領域158a及び158bはニッケル
端板164、166により本体160に結合され、それ
ら板はガス導管領域158a、158bを本体160に
結合する。本体160には複数の小さな平行な溝又は通
路170が形成されており、各導管領域158a、15
8bを配列し、それらと接続する。それら通路はガスを
シャワーヘッド70へ通すことができる大きさになって
いるのが好ましいが、その中でプラズマ放電が起きるの
を防ぐのに充分小さいものである。従って、室126か
らのプラズマ放電は、図9に例示したように、サプレッ
サー130の右への放電を全て防ぐように抑制される。
一つの態様として、通路は円筒状になっている。このよ
うにしてプラズマ放電はサプレッサー130を過ぎるガ
ス導管内では一般に存在しないように防止されている。
【0056】本発明をその態様を記載することにより例
示し、それら態様をかなり詳細に例示してきたが、本出
願人は、そのような詳細な点に請求の範囲を限定したり
何らかの仕方で制限するものではない。付加的利点及び
修正は当業者には容易に明らかになるであろう。従っ
て、本発明はその広い範囲として、例示し説明した特別
に詳細な代表的装置及び方法に限定されるものではな
い。従って、本願の一般的発明の概念の本質又は範囲か
ら離れることなく、そのような詳細な点から離れたもの
も行うことができる。
示し、それら態様をかなり詳細に例示してきたが、本出
願人は、そのような詳細な点に請求の範囲を限定したり
何らかの仕方で制限するものではない。付加的利点及び
修正は当業者には容易に明らかになるであろう。従っ
て、本発明はその広い範囲として、例示し説明した特別
に詳細な代表的装置及び方法に限定されるものではな
い。従って、本願の一般的発明の概念の本質又は範囲か
ら離れることなく、そのような詳細な点から離れたもの
も行うことができる。
【図1】本発明のマニホルドを具えた断面図である。
【図2】図1の2−2の線に沿って取った、明瞭にする
ため覆いを除いた本発明の一つの態様の部分的に断面で
示した上平面図である。
ため覆いを除いた本発明の一つの態様の部分的に断面で
示した上平面図である。
【図2A】図2の2A−2Aの線に沿って取った本発明
の態様の断面図である。
の態様の断面図である。
【図2B】図2の2B−2Bの線に沿って取った本発明
の態様の断面図である。
の態様の断面図である。
【図2C】図2Bの2C−2Cの線に沿って取った断面
図である。
図である。
【図3】図1の3−3の線に沿って見た、マニホルドへ
の反応物ガス導管の接続を例示する、本発明の態様の上
平面図である。
の反応物ガス導管の接続を例示する、本発明の態様の上
平面図である。
【図3A】図3の3A−3Aの線に沿って取った側面図
である。
である。
【図3B】3B−3Bの線に沿って取った側面図であ
る。
る。
【図4A】本発明により分散された種々の反応物ガスの
ためのガス分散出口を例示する、本発明の態様の底部断
面図である。
ためのガス分散出口を例示する、本発明の態様の底部断
面図である。
【図4B】本発明により分散された種々の反応物ガスの
ためのガス分散出口を例示する、本発明の態様の底部断
面図である。
ためのガス分散出口を例示する、本発明の態様の底部断
面図である。
【図5】本発明のシャワーヘッドの別の態様の上平面図
及び部分的断面図である。
及び部分的断面図である。
【図6】図5の6−6の線に沿って取った断面図であ
る。
る。
【図7】図6の7−7の線に沿って取った断面図であ
る。
る。
【図8A】ガス分散開口を例示するシャワーヘッドの一
つの四分円の上平面図である。
つの四分円の上平面図である。
【図8B】ガス分散開口を例示するシャワーヘッドの一
つの四分円の上平面図である。
つの四分円の上平面図である。
【図9】反応室に取付けたシャワーヘッドを例示する部
分的断面図である。
分的断面図である。
10 反応室 12 囲い 14 処理空間 16 サセプター 18 基体 20 シャワーヘッド 22 シャワーヘッド前面 26 ガス送り機構 28 ガス送り機構 30 RF軸導管 36 第一平坦空間 38 第二平坦空間 40 長い通路 42A ガス入り口 42B ガス入り口 44A 結合器 44B 結合器 45 ノッチ 48 出口 49 直径中心線 50 ガス分散通路 54 覆い 56 スペーサー 58A ガス入り口 58B ガス入り口 59 分配通路 60 分散通路 65 入り口 66 ステム(stem) 67 入り口 69 フイルター部材 70 シャワーヘッド 72 ステム 74 ガス入り口 76 ガス入り口 78 本体領域 80 覆い領域 82 ガス分配フィンガー 84 周辺溝 90 第二空間 98 ガス分散通路 99 シャワーヘッド前面 102 ガス分散通路 104 孔 108 孔 124 セラミックシールド 126 反応室 127 ガスA供給部 128 ガスB供給部 130 プラズマサプレッサー(プラズマ抑制器) 133 金属蓋
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ガーリット ジャン ロイシンク アメリカ合衆国 アリゾナ州テムプ,エ ス.ロッキイ ポイント ロード 5518 (72)発明者 リーヌ エミール ルブランク アメリカ合衆国 コネチカット州イースト ヘイブン,ナンバー101,マンスフィー ルド グローブ ロード 203 (72)発明者 マイケル エス.アミーン アメリカ合衆国 アリゾナ州フェニック ス,ノース フィフティセカンド ストリ ート 3128 (72)発明者 ジョセフ トッド ヒルマン アメリカ合衆国 アリゾナ州スコッツデー ル,イー.マックルラン ブールバード 8025 (72)発明者 ロバート エフ.フォスター アメリカ合衆国 アリゾナ州メサ,イー. アイビイグレン サークル 3847 (72)発明者 ロバート クラーク ロウアン ジュニ ア. アメリカ合衆国 アリゾナ州フェニック ス,イースト ソルト セージ ドライブ 1686
Claims (47)
- 【請求項1】 化学蒸着により基体上にフイルムを蒸着
させるための装置において、 基体を入れるための処理空間を中に有する反応室;前記
処理空間で化学反応を生じさせ、その中の基体上に蒸着
材料フイルムを形成させるために、前記処理空間に第一
及び第二反応物ガスを送るためのガス送り機構;並びに
前記処理空間へ反応物ガスを分散させるための、前記ガ
ス送り機構に結合したシャワーヘッドであって、第一反
応物ガスを受けて分散させる働きをする第一空間を中に
有し、前記第一ガス分散物から分離した第二反応物ガス
を受けて分散する働きをする、前記第一空間から一般に
隔離された、第二空間を中に有し、反応物ガスの隔離を
維持し、前記ガスを前記処理空間中へ導入する前に、一
般に前記ガスの早期混合を防ぐシャワーヘッドを具え、
前記処理空間へ反応物ガスを入れる前のフイルム材料の
蒸着を少なくした、上記蒸着装置。 - 【請求項2】 第一及び第二空間が、シャワーヘッドの
前面と、そこから反応物ガスを分散させるために操作上
連通している、請求項1に記載の装置。 - 【請求項3】 シャワーヘッドが複数のガス分散通路を
有し、各通路がシャワーヘッドの前面の周りに間隔を開
けて配置されており、前記通路がガス空間の一つと、そ
の空間からガスを分散させるために連通している、請求
項1に記載の装置。 - 【請求項4】 第一及び第二シャワーヘッド空間が全体
的に平坦な形をしており、一般に第二空間が第一空間の
頂部の上に位置し、シャワーヘッド中で積み重なった形
で配列されている、請求項1に記載の装置。 - 【請求項5】 更に、第二空間とシャワーヘッド前面と
の間を連通するガス分散通路で、第一空間からの第一ガ
スと混合することなくシャワーヘッドからの第二ガスを
処理空間中へ分散させるために、第一空間から隔離され
た通路を具えた、請求項1に記載の装置。 - 【請求項6】 第一空間がシャワーヘッドを通って伸び
る長い通路を有し、前記シャワーヘッドが、前記第一空
間からの第一ガスを分散させるための前記長い通路と連
通した複数のガス分散通路を更に具えている、請求項1
記載の装置。 - 【請求項7】 ガス分散通路が、第一ガスを均一に分散
させるために、一般に長い通路の長手方向に沿って配列
されている、請求項6に記載の装置。 - 【請求項8】 第一空間が、第一ガスを分散させるため
に、シャワーヘッド中で一般に横に並んで伸びた複数の
長い通路を更に有する、請求項6に記載の装置。 - 【請求項9】 長い通路の各々が、第一ガスを均一に分
散させるためにシャワーヘッドの一方の側からそのシャ
ワーヘッドの反対側まで伸びている、請求項8に記載の
装置。 - 【請求項10】 長い通路の各々が、その端部でガス送
り機構と連通している、請求項8に記載の装置。 - 【請求項11】 更に、第一ガス通路をガス送り機構へ
結合し、同時に長い通路の各々の端に第一ガスを分配さ
せるための少なくとも一つの結合器を具えている、請求
項8に記載の装置。 - 【請求項12】 更に、長い通路にその両端から第一ガ
スを与えるために、前記長い通路の各々の反対の端に結
合された別の結合器を具えている請求項11に記載の装
置。 - 【請求項13】 第一空間が、シャワーヘッドの周辺の
周りに第一ガスを分配させるための周囲溝を有する、請
求項1に記載の装置。 - 【請求項14】 第一空間が、シャワーヘッドの周りに
第一ガスを分配させるために、シャワーヘッドの周りに
その周辺から伸びる複数のガス分配フィンガーを有す
る、請求項1に記載の装置。 - 【請求項15】 第一空間がその周りに形成された周囲
溝を有し、分配フィンガーが第一ガスを分配させるため
に前記溝に操作上結合されている、請求項14に記載の
装置。 - 【請求項16】 更に、第二ガスを第二空間へ導入する
ために、シャワーヘッドの中心近くにある第二空間に結
合されたガス入り口を具えた、請求項1に記載の装置。 - 【請求項17】 更に、第一ガスをシャワーヘッドの周
辺へ向けるために、シャワーヘッドの中心近くにある第
一空間に結合されたガス入り口と、前記入り口に結合さ
れた直径方向の通路を具えた、請求項1に記載の装置。 - 【請求項18】 更に、RFエネルギーを送るためのR
F電源を具え、シャワーヘッドが、反応物ガスの少なく
とも一つから反応室内でプラズマを発生するために前記
シャワーヘッドをバイアスするため前記電源に操作上結
合されたステムを有する、請求項1に記載の装置。 - 【請求項19】 ガス送り機構が、シャワーヘッドと反
応物供給部との間に結合されたプラズマサプレッサーを
有し、前記サプレッサーが、前記供給部から前記シャワ
ーヘッドへガスを送る通路を有し、一般にプラズマが前
記ガス供給部の方へ移動しないようにするため反応室内
で発生したプラズマを抑制する、請求項1に記載の装
置。 - 【請求項20】 プラズマサプレッサーが、シャワーヘ
ッドをガス供給部から電気的に絶縁するために、通路を
取り巻くセラミック体を有する、請求項19に記載の装
置。 - 【請求項21】 反応室の処理空間中に複数の反応物ガ
スを分散させ、それらガスが前記室内に分散する前にそ
れらの早期混合を防ぐためのガス分散装置において、 複数の反応物ガスを受けるため、ガス送り機構に結合さ
れるように構成したシャワーヘッドであって、第一反応
物ガスを受けて分散させる働きをする第一空間を中に有
し、前記第一ガス分散物から分離して第二反応物ガスを
受けて分散する働きをする、前記第一空間から一般に隔
離された、第二空間を中に有し、反応物ガスの隔離を維
持し、それらガスを処理空間中へ導入する前に一般に前
記ガスの早期混合を防ぐシャワーヘッド、 を具えたガス分散装置。 - 【請求項22】 第一及び第二空間が、シャワーヘッド
の前面と、そこから反応物ガスを分散させるために操作
上連通している、請求項21に記載の装置。 - 【請求項23】 シャワーヘッドが複数のガス分散通路
を有し、各通路がシャワーヘッドの前面の周りに間隔を
開けて配置されており、前記通路が、前記空間からガス
を分散させるために前記ガス空間の一つと連通してい
る、請求項21に記載の装置。 - 【請求項24】 第一及び第二シャワーヘッド空間が全
体的に平坦な形をしており、一般に前記第二空間が前記
第一空間の頂部の上に位置し、シャワーヘッド中で積み
重なった形に配列されている、請求項21に記載の装
置。 - 【請求項25】 更に、第二空間とシャワーヘッド前面
との間を連通するガス分散通路で、第一空間からの第一
ガスと混合することなくシャワーヘッドからの第二ガス
を処理空間中へ分散させるために、前記第一空間から分
離された通路を具えた、請求項21に記載の装置。 - 【請求項26】 第一空間がシャワーヘッド中で伸びる
長い通路を有し、前記シャワーヘッドが、前記第一空間
からの第一ガスを分散させるための前記長い通路と連通
した複数のガス分散通路を更に具えている、請求項21
記載の装置。 - 【請求項27】 ガス分散通路が、シャワーヘッドから
の第一ガスを均一に分散させるために、全体的に長い通
路の長手方向に沿って配列されている、請求項26に記
載の装置。 - 【請求項28】 第一空間が、第一ガスを分散させるた
めにシャワーヘッド中で一般に横に並んで伸びる複数の
長い通路を更に有する、請求項26に記載の装置。 - 【請求項29】 長い通路の各々が、第一ガスを均一に
分散させるために、シャワーヘッドの一方の側からその
シャワーヘッドの反対側まで伸びている、請求項28に
記載の装置。 - 【請求項30】 長い通路の各々が、その端部でガス送
り機構と連通するように構成されている、請求項28に
記載の装置。 - 【請求項31】 更に、第一ガス通路をガス送り機構へ
結合し、同時に長い通路の各々の端に第一ガスを分配さ
せるための少なくとも一つの結合器を具えている、請求
項28に記載の装置。 - 【請求項32】 更に、長い通路にその両端から第一ガ
スを与えるために、前記長い通路の各々の反対の端に結
合される別の結合器を具えている請求項31に記載の装
置。 - 【請求項33】 第一空間が、シャワーヘッドの周辺の
周りに第一ガスを分配させるための周囲溝を有する、請
求項21に記載の装置。 - 【請求項34】 第一空間が、シャワーヘッドの周りに
第一ガスを分配させるために、シャワーヘッドの周りに
その周辺から伸びる複数のガス分配フィンガーを有す
る、請求項21に記載の装置。 - 【請求項35】 第一空間がその周りに形成された周囲
溝を有し、分配フィンガーが第一ガスを分配させるため
に前記溝に操作上結合されている、請求項21に記載の
装置。 - 【請求項36】 更に、第二ガスを第二空間へ導入する
ために、シャワーヘッドの中心近くにある第二空間に結
合されたガス入り口を具えた、請求項21に記載の装
置。 - 【請求項37】 更に、第一ガスをシャワーヘッドの周
辺へ送るために、シャワーヘッドの中心近くにある第一
空間に結合されたガス入り口と、前記入り口に結合され
た直径方向の通路を具えた、請求項21に記載の装置。 - 【請求項38】 更に、シャワーヘッドが、RFエネル
ギーで前記シャワーヘッドをバイアスするためRF電源
に操作上結合するように構成されたステムを有する、請
求項21に記載の装置。 - 【請求項39】 複数の反応物ガスを反応室の処理空間
中へ分散するための方法において、 反応室中に位置し、第一ガス空間を有するシャワーヘッ
ドへ第一ガスを送り、 前記シャワーヘッドの第二ガス空間へ第二ガスを送り、
然も前記第二空間は前記シャワーヘッド内で前記第一空
間から物理的に隔離されており、 前記第一ガス及び前記第二ガスをシャワーヘッド内で互
いに隔離して維持し、 前記シャワーヘッドから前記第一ガス及び前記第二ガス
を夫々複数の分散通路を通って前記室の処理空間中へ分
散し、然も前記複数の通路の各々は夫々のガス空間と連
通し、他の複数の通路から隔離されており、 それによって前記ガスが処理空間内で反応するように分
散され、それらが前記処理空間内に入る前に、一般に反
応しないように防止されている、ことからなる分散方
法。 - 【請求項40】 更に、シャワーヘッドの共通全面を通
ってガスを分散させることを含む、請求項39に記載の
方法。 - 【請求項41】 第一ガスの均一な分配を得るために処
理空間全体に前記ガスを散布するために、シャワーヘッ
ド中に形成された長い通路を通って第一ガスを送ること
を含む、請求項39に記載の方法。 - 【請求項42】 複数の分散通路の少なくとも一つが、
シャワーヘッドから第一ガスを均一に分散させるため、
長い通路と連通している、請求項41に記載の方法。 - 【請求項43】 更に、シャワーヘッドに形成された複
数の長い通路を通って第一ガスを送ることを含む、請求
項41に記載の方法。 - 【請求項44】 更に、長い通路の各々に単一の入り口
を通って同時に第一ガスを送ることを含む、請求項43
に記載の方法。 - 【請求項45】 更に、シャワーヘッドの周辺の周りに
第一ガスを分配するため、シャワーヘッドの周辺溝中に
第一ガスを送ることを含む、請求項39に記載の方法。 - 【請求項46】 更に、シャワーヘッドの周りに第一ガ
スを分配するため、シャワーヘッド内に伸びる複数のガ
ス分配フィンガー中に、その周辺から第一ガスを送るこ
とを含む、請求項39に記載の方法。 - 【請求項47】 第一ガス及び第二ガスの一方からプラ
ズマを形成するため、RFエネルギーでシャワーヘッド
をバイアスすることを含む、請求項39に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US940779 | 1997-09-30 | ||
US08/940,779 US6161500A (en) | 1997-09-30 | 1997-09-30 | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH11158633A true JPH11158633A (ja) | 1999-06-15 |
JP3502548B2 JP3502548B2 (ja) | 2004-03-02 |
Family
ID=25475408
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP27817798A Expired - Fee Related JP3502548B2 (ja) | 1997-09-30 | 1998-09-30 | Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法 |
Country Status (2)
Country | Link |
---|---|
US (2) | US6161500A (ja) |
JP (1) | JP3502548B2 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1134789A2 (en) * | 2000-03-16 | 2001-09-19 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100402332B1 (ko) * | 2001-09-07 | 2003-10-22 | 주식회사 시스넥스 | 균일하게 가스분사가 이루어지는 샤워헤드와유도가열방식에 의해 서셉터 상부의 온도를 균일하게가열하는 수직형 화학기상증착 반응기 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
WO2011024995A1 (ja) * | 2009-08-28 | 2011-03-03 | 京セラ株式会社 | 堆積膜形成装置および堆積膜形成方法 |
US20110135843A1 (en) * | 2008-07-30 | 2011-06-09 | Kyocera Corporation | Deposited Film Forming Device and Deposited Film Forming Method |
JP2013541182A (ja) * | 2010-08-16 | 2013-11-07 | アプライド マテリアルズ インコーポレイテッド | ガス注入分散デバイスを備えるシャワーヘッドアセンブリ |
Families Citing this family (544)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6161500A (en) * | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6892669B2 (en) * | 1998-02-26 | 2005-05-17 | Anelva Corporation | CVD apparatus |
JP4230596B2 (ja) | 1999-03-12 | 2009-02-25 | 東京エレクトロン株式会社 | 薄膜形成方法 |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US7554829B2 (en) | 1999-07-30 | 2009-06-30 | Micron Technology, Inc. | Transmission lines for CMOS integrated circuits |
JP4220075B2 (ja) * | 1999-08-20 | 2009-02-04 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
KR20010062209A (ko) * | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
KR100419756B1 (ko) * | 2000-06-23 | 2004-02-21 | 아넬바 가부시기가이샤 | 박막 형성 장치 |
KR100413145B1 (ko) * | 2001-01-11 | 2003-12-31 | 삼성전자주식회사 | 가스 인젝터 및 이를 갖는 식각 장치 |
WO2002058126A1 (fr) * | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement |
JP4791637B2 (ja) * | 2001-01-22 | 2011-10-12 | キヤノンアネルバ株式会社 | Cvd装置とこれを用いた処理方法 |
KR101004222B1 (ko) * | 2001-02-09 | 2010-12-24 | 도쿄엘렉트론가부시키가이샤 | 성막 장치 |
US6852167B2 (en) * | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
WO2003023835A1 (en) * | 2001-08-06 | 2003-03-20 | Genitech Co., Ltd. | Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof |
US6820570B2 (en) * | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
JP3886424B2 (ja) * | 2001-08-28 | 2007-02-28 | 鹿児島日本電気株式会社 | 基板処理装置及び方法 |
US6844203B2 (en) * | 2001-08-30 | 2005-01-18 | Micron Technology, Inc. | Gate oxides, and methods of forming |
US8026161B2 (en) | 2001-08-30 | 2011-09-27 | Micron Technology, Inc. | Highly reliable amorphous high-K gate oxide ZrO2 |
KR100760291B1 (ko) * | 2001-11-08 | 2007-09-19 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US7017514B1 (en) * | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6953730B2 (en) | 2001-12-20 | 2005-10-11 | Micron Technology, Inc. | Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics |
US20030124842A1 (en) * | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US20040250763A1 (en) * | 2002-01-11 | 2004-12-16 | Ovshinsky Stanford R. | Fountain cathode for large area plasma deposition |
US6767795B2 (en) | 2002-01-17 | 2004-07-27 | Micron Technology, Inc. | Highly reliable amorphous high-k gate dielectric ZrOXNY |
US6812100B2 (en) * | 2002-03-13 | 2004-11-02 | Micron Technology, Inc. | Evaporation of Y-Si-O films for medium-k dielectrics |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US7205218B2 (en) | 2002-06-05 | 2007-04-17 | Micron Technology, Inc. | Method including forming gate dielectrics having multiple lanthanide oxide layers |
US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
US7154140B2 (en) | 2002-06-21 | 2006-12-26 | Micron Technology, Inc. | Write once read only memory with large work function floating gates |
US6804136B2 (en) | 2002-06-21 | 2004-10-12 | Micron Technology, Inc. | Write once read only memory employing charge trapping in insulators |
US7221586B2 (en) | 2002-07-08 | 2007-05-22 | Micron Technology, Inc. | Memory utilizing oxide nanolaminates |
US7221017B2 (en) | 2002-07-08 | 2007-05-22 | Micron Technology, Inc. | Memory utilizing oxide-conductor nanolaminates |
US6921702B2 (en) | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US6884739B2 (en) | 2002-08-15 | 2005-04-26 | Micron Technology Inc. | Lanthanide doped TiOx dielectric films by plasma oxidation |
US20040036129A1 (en) | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
US6967154B2 (en) * | 2002-08-26 | 2005-11-22 | Micron Technology, Inc. | Enhanced atomic layer deposition |
US7199023B2 (en) | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US6798519B2 (en) * | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
KR100772740B1 (ko) | 2002-11-28 | 2007-11-01 | 동경 엘렉트론 주식회사 | 플라즈마 처리 용기 내부재 |
US7101813B2 (en) | 2002-12-04 | 2006-09-05 | Micron Technology Inc. | Atomic layer deposited Zr-Sn-Ti-O films |
US6958302B2 (en) | 2002-12-04 | 2005-10-25 | Micron Technology, Inc. | Atomic layer deposited Zr-Sn-Ti-O films using TiI4 |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
CN100495413C (zh) | 2003-03-31 | 2009-06-03 | 东京毅力科创株式会社 | 用于邻接在处理元件上的相邻覆层的方法 |
JP4532479B2 (ja) * | 2003-03-31 | 2010-08-25 | 東京エレクトロン株式会社 | 処理部材のためのバリア層およびそれと同じものを形成する方法。 |
US7537662B2 (en) | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US6921437B1 (en) * | 2003-05-30 | 2005-07-26 | Aviza Technology, Inc. | Gas distribution system |
US7647886B2 (en) | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US20050233477A1 (en) * | 2004-03-05 | 2005-10-20 | Tokyo Electron Limited | Substrate processing apparatus, substrate processing method, and program for implementing the method |
JP4542807B2 (ja) * | 2004-03-31 | 2010-09-15 | 東京エレクトロン株式会社 | 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法 |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
JP2006022354A (ja) * | 2004-07-06 | 2006-01-26 | Tokyo Electron Ltd | 成膜方法 |
KR100614648B1 (ko) * | 2004-07-15 | 2006-08-23 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 기판 처리 장치 |
US20060025247A1 (en) * | 2004-07-29 | 2006-02-02 | Hayden Mark X | One Piece LaCrosse Stick |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) * | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US20060162661A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US20060225654A1 (en) * | 2005-03-29 | 2006-10-12 | Fink Steven T | Disposable plasma reactor materials and methods |
CN100595974C (zh) * | 2005-03-30 | 2010-03-24 | 松下电器产业株式会社 | 传输线 |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US20070022959A1 (en) * | 2005-07-29 | 2007-02-01 | Craig Bercaw | Deposition apparatus for semiconductor processing |
KR101046902B1 (ko) * | 2005-11-08 | 2011-07-06 | 도쿄엘렉트론가부시키가이샤 | 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치 |
JP2007146252A (ja) * | 2005-11-29 | 2007-06-14 | Tokyo Electron Ltd | 熱処理方法、熱処理装置及び記憶媒体 |
US20070264427A1 (en) * | 2005-12-21 | 2007-11-15 | Asm Japan K.K. | Thin film formation by atomic layer growth and chemical vapor deposition |
US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
US20070234955A1 (en) * | 2006-03-29 | 2007-10-11 | Tokyo Electron Limited | Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system |
US20070227659A1 (en) * | 2006-03-31 | 2007-10-04 | Tokyo Electron Limited | Plasma etching apparatus |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US20080241387A1 (en) * | 2007-03-29 | 2008-10-02 | Asm International N.V. | Atomic layer deposition reactor |
US20090035946A1 (en) * | 2007-07-31 | 2009-02-05 | Asm International N.V. | In situ deposition of different metal-containing films using cyclopentadienyl metal precursors |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
US8383525B2 (en) * | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
CN102308368B (zh) * | 2008-12-04 | 2014-02-12 | 威科仪器有限公司 | 用于化学气相沉积的进气口元件及其制造方法 |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20100266765A1 (en) * | 2009-04-21 | 2010-10-21 | White Carl L | Method and apparatus for growing a thin film onto a substrate |
TWI490366B (zh) * | 2009-07-15 | 2015-07-01 | Applied Materials Inc | Cvd腔室之流體控制特徵結構 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
DE102009043840A1 (de) * | 2009-08-24 | 2011-03-03 | Aixtron Ag | CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor |
US9206513B2 (en) * | 2009-11-20 | 2015-12-08 | Kyocera Corporation | Apparatus for forming deposited film |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9175391B2 (en) * | 2011-05-26 | 2015-11-03 | Intermolecular, Inc. | Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
JP6038618B2 (ja) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9029253B2 (en) | 2012-05-02 | 2015-05-12 | Asm Ip Holding B.V. | Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
GB2516267B (en) * | 2013-07-17 | 2016-08-17 | Edwards Ltd | Head assembly |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
CN110724938B (zh) * | 2014-05-16 | 2022-02-22 | 应用材料公司 | 喷头设计 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US20200003937A1 (en) * | 2018-06-29 | 2020-01-02 | Applied Materials, Inc. | Using flowable cvd to gap fill micro/nano structures for optical components |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
CN112368802A (zh) * | 2018-07-31 | 2021-02-12 | 应用材料公司 | 用于ald工艺的方法和设备 |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
CN111223736B (zh) * | 2018-11-26 | 2021-12-28 | 江苏鲁汶仪器有限公司 | 一种等离子体处理设备的进气装置 |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
DE102020123076A1 (de) | 2020-09-03 | 2022-03-03 | Aixtron Se | Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (49)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5391664A (en) * | 1977-01-24 | 1978-08-11 | Hitachi Ltd | Plasma cvd device |
US4078167A (en) * | 1977-02-09 | 1978-03-07 | United Technologies Corporation | Welding shield and plasma suppressor apparatus |
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
JPS6098629A (ja) * | 1983-11-02 | 1985-06-01 | Hitachi Ltd | プラズマ処理装置 |
JPS60116126A (ja) * | 1983-11-28 | 1985-06-22 | Ricoh Co Ltd | プラズマcvd装置 |
JPS6141763A (ja) * | 1984-04-24 | 1986-02-28 | Anelva Corp | 薄膜作成装置 |
US4534816A (en) * | 1984-06-22 | 1985-08-13 | International Business Machines Corporation | Single wafer plasma etch reactor |
US4759947A (en) * | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US4728528A (en) * | 1985-02-18 | 1988-03-01 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4784874A (en) * | 1985-02-20 | 1988-11-15 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4778692A (en) * | 1985-02-20 | 1988-10-18 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4818563A (en) * | 1985-02-21 | 1989-04-04 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4853251A (en) * | 1985-02-22 | 1989-08-01 | Canon Kabushiki Kaisha | Process for forming deposited film including carbon as a constituent element |
US4801468A (en) * | 1985-02-25 | 1989-01-31 | Canon Kabushiki Kaisha | Process for forming deposited film |
NL8602356A (nl) * | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan. |
US4798165A (en) * | 1985-10-07 | 1989-01-17 | Epsilon | Apparatus for chemical vapor deposition using an axially symmetric gas flow |
US4800105A (en) * | 1986-07-22 | 1989-01-24 | Nihon Shinku Gijutsu Kabushiki Kaisha | Method of forming a thin film by chemical vapor deposition |
DE3742110C2 (de) * | 1986-12-12 | 1996-02-22 | Canon Kk | Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren |
JPS63187619A (ja) * | 1987-01-30 | 1988-08-03 | Fuji Xerox Co Ltd | プラズマcvd装置 |
US5018479A (en) * | 1987-09-24 | 1991-05-28 | Reserach Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer |
US5180435A (en) * | 1987-09-24 | 1993-01-19 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer |
JPH0192375A (ja) * | 1987-10-05 | 1989-04-11 | Canon Inc | マイクロ波プラズマcvd法による機能性堆積膜形成装置 |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
US4908330A (en) * | 1988-02-01 | 1990-03-13 | Canon Kabushiki Kaisha | Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process |
JPH01198481A (ja) * | 1988-02-01 | 1989-08-10 | Canon Inc | マイクロ波プラズマcvd法による堆積膜形成法 |
JP2776826B2 (ja) * | 1988-04-15 | 1998-07-16 | 株式会社日立製作所 | 半導体装置およびその製造方法 |
JPH02114530A (ja) * | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
US5178905A (en) * | 1988-11-24 | 1993-01-12 | Canon Kabushiki Kaisha | Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state |
JPH02234419A (ja) * | 1989-03-07 | 1990-09-17 | Koujiyundo Kagaku Kenkyusho:Kk | プラズマ電極 |
US4979465A (en) * | 1989-04-03 | 1990-12-25 | Daidousanso Co., Ltd. | Apparatus for producing semiconductors |
US4987856A (en) * | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US5238499A (en) * | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5052339A (en) * | 1990-10-16 | 1991-10-01 | Air Products And Chemicals, Inc. | Radio frequency plasma enhanced chemical vapor deposition process and reactor |
JP2939355B2 (ja) * | 1991-04-22 | 1999-08-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5173327A (en) * | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5268034A (en) * | 1991-06-25 | 1993-12-07 | Lsi Logic Corporation | Fluid dispersion head for CVD appratus |
JPH05152208A (ja) * | 1991-11-29 | 1993-06-18 | Fujitsu Ltd | 半導体製造装置 |
JP2989063B2 (ja) * | 1991-12-12 | 1999-12-13 | キヤノン株式会社 | 薄膜形成装置および薄膜形成方法 |
JPH05310055A (ja) * | 1992-05-08 | 1993-11-22 | Nifco Inc | シフトセレクターのポジションインジケーター |
US5356476A (en) * | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
US5434110A (en) * | 1992-06-15 | 1995-07-18 | Materials Research Corporation | Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates |
US5273588A (en) * | 1992-06-15 | 1993-12-28 | Materials Research Corporation | Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means |
US5370739A (en) * | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JP3224629B2 (ja) * | 1993-03-22 | 2001-11-05 | 日本碍子株式会社 | ガス供給用部材及び成膜装置 |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5480678A (en) * | 1994-11-16 | 1996-01-02 | The B. F. Goodrich Company | Apparatus for use with CVI/CVD processes |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US6161500A (en) * | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
-
1997
- 1997-09-30 US US08/940,779 patent/US6161500A/en not_active Expired - Fee Related
-
1998
- 1998-09-30 JP JP27817798A patent/JP3502548B2/ja not_active Expired - Fee Related
-
2000
- 2000-06-06 US US09/587,916 patent/US6368987B1/en not_active Expired - Fee Related
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1134789A2 (en) * | 2000-03-16 | 2001-09-19 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP2001323377A (ja) * | 2000-03-16 | 2001-11-22 | Applied Materials Inc | 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート |
EP1134789A3 (en) * | 2000-03-16 | 2007-08-29 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100402332B1 (ko) * | 2001-09-07 | 2003-10-22 | 주식회사 시스넥스 | 균일하게 가스분사가 이루어지는 샤워헤드와유도가열방식에 의해 서셉터 상부의 온도를 균일하게가열하는 수직형 화학기상증착 반응기 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
US20110135843A1 (en) * | 2008-07-30 | 2011-06-09 | Kyocera Corporation | Deposited Film Forming Device and Deposited Film Forming Method |
WO2011024995A1 (ja) * | 2009-08-28 | 2011-03-03 | 京セラ株式会社 | 堆積膜形成装置および堆積膜形成方法 |
JP5430662B2 (ja) * | 2009-08-28 | 2014-03-05 | 京セラ株式会社 | 堆積膜形成装置および堆積膜形成方法 |
JP2013541182A (ja) * | 2010-08-16 | 2013-11-07 | アプライド マテリアルズ インコーポレイテッド | ガス注入分散デバイスを備えるシャワーヘッドアセンブリ |
Also Published As
Publication number | Publication date |
---|---|
US6368987B1 (en) | 2002-04-09 |
JP3502548B2 (ja) | 2004-03-02 |
US6161500A (en) | 2000-12-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH11158633A (ja) | Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法 | |
KR102299994B1 (ko) | 대칭적인 플라즈마 프로세스 챔버 | |
US6495233B1 (en) | Apparatus for distributing gases in a chemical vapor deposition system | |
US5792272A (en) | Plasma enhanced chemical processing reactor and method | |
US6553932B2 (en) | Reduction of plasma edge effect on plasma enhanced CVD processes | |
US5882414A (en) | Method and apparatus for self-cleaning a blocker plate | |
US5895530A (en) | Method and apparatus for directing fluid through a semiconductor processing chamber | |
US7622005B2 (en) | Uniformity control for low flow process and chamber to chamber matching | |
US4590042A (en) | Plasma reactor having slotted manifold | |
US7572337B2 (en) | Blocker plate bypass to distribute gases in a chemical vapor deposition system | |
US5997649A (en) | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber | |
US8968514B2 (en) | Gas distributing device and substrate processing apparatus including the same | |
US20020078893A1 (en) | Plasma enhanced chemical processing reactor and method | |
JPH0521393A (ja) | プラズマ処理装置 | |
US20110214812A1 (en) | Gas distributing means and substrate processing apparatus including the same | |
US7217326B2 (en) | Chemical vapor deposition apparatus | |
JP2000091250A (ja) | プラズマ強化式化学蒸着による蒸着装置および方法 | |
US5948167A (en) | Thin film deposition apparatus | |
JP2848755B2 (ja) | プラズマcvd装置 | |
KR20180134809A (ko) | 기판 처리 장치 및 기판 처리 방법 | |
CN117594481A (zh) | 基板处理装置 | |
KR20240060998A (ko) | 기판 처리 장치의 가스 인젝터 및 이를 구비한 기판 처리 장치 | |
CN117730404A (zh) | 基板处理设备 | |
JP2001223203A (ja) | 半導体製造装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20031205 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |