[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/3123939.3124547acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article
Public Access

Xylem: enhancing vertical thermal conduction in 3D processor-memory stacks

Published: 14 October 2017 Publication History

Abstract

In upcoming architectures that stack processor and DRAM dies, temperatures are higher because of the increased transistor density and the high inter-layer thermal resistance. However, past research has underestimated the extent of the thermal bottleneck. Recent experimental work shows that the Die-to-Die (D2D) layers hinder effective heat transfer, likely leading to the capping of core frequencies.
To address this problem, in this paper, we first show how to create pillars of high thermal conduction from the processor die to the heat sink. We do this by aligning and shorting dummy D2D μbumps with thermal TSVs (TTSVs). This lowers processor temperatures substantially. We then improve application performance by boosting the processor frequency until we consume the available thermal headroom. Finally, these aligned and shorted dummy μbump-TTSV sites create die regions of higher vertical thermal conduction. Hence, we propose to leverage them with three new architectural techniques: conductivity-aware thread placement, frequency boosting, and thread migration. We evaluate our scheme, called Xylem, using simulations of an 8-core processor at 2.4 GHz and 8 DRAM dies on top. μBump-TTSV alignment and shorting in a generic and in a customized Xylem design enable an average increase in processor frequency of 400 MHz and 720 MHz, respectively, at an area overhead of 0.63% and 0.81%, and without exceeding acceptable temperatures. This improves average application performance by 11% and 18%, respectively. Moreover, applying Xylem's conductivity-aware techniques enables further gains.

References

[1]
K. Athikulwongse, A. Chakraborty, J. S. Yang, D. Z. Pan, and S. K. Lim. 2010. Stress-Driven 3D-IC Placement with TSV Keep-Out Zone and Regularity Study. In IEEE International Conference on Computer-Aided Design.
[2]
K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat. 2001. 3-D ICs: A Novel Chip Design for Improving Deep-Submicrometer Interconnect Performance and Systems-on-Chip Integration. Proc. IEEE (May 2001).
[3]
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Sadasivan, J. Shen, and C. Webb. 2006. Die Stacking (3D) Microarchitecture. In IEEE International Symposium on Microarchitecture.
[4]
S. Borkar. 2011. 3D Integration for Energy Efficient System Design. In IEEE Design Automation Conference.
[5]
Erh-Hao Chen, Tzu-Chien Hsu, Cha-Hsin Lin, Pei-Jer Tzeng, Chung-Chih Wang, Shang-Chun Chen, Jui-Chin Chen, Chien-Chou Chen, Yu-Chen Hsin, Po-Chih Chang, Yiu-Hsiang Chang, Shin-Chiang Chen, Yu ming Lin, Sue-Chen Liao, and Tzu-Kun Ku. 2013. Fine-pitch Backside Via-last TSV Process with Optimization on Temporary Glue and Bonding Conditions. In IEEE Electronic Components and Technology Conference.
[6]
K.N. Chen and C.S. Tan. 2011. Integration Schemes and Enabling Technologies for Three-Dimensional Integrated Circuits. IET Computers & Digital Techniques (May 2011).
[7]
Y. Chen, E. Kursun, D. Motschman, C. Johnson, and Y. Xie. 2011. Analysis and Mitigation of Lateral Thermal Blockage Effect of Through-Silicon-Via in 3D IC Designs. In International Symposium on Low Power Electronics and Design.
[8]
T. Y. Chiang, S. J. Souri, C. H. Chui, and K.C. Saraswat. 2001. Thermal Analysis of Heterogeneous 3D ICs with Various Integration Scenarios. In International Electron Devices Meeting.
[9]
E. G. Colgan, P. Andry, B. Dang, J. H. Magerlein, J. Maria, R. J. Polastre, and J. Wakil. 2012. Measurement of Microbump Thermal Resistance in 3D Chip Stacks. In IEEE Semiconductor Thermal Measurement and Management Symposium.
[10]
E. G. Colgan, R. J. Polastre, J. Knickerbocker, J. Wakil, J. Gambino, and K. Tallman. 2013. Measurement of Back End of Line Thermal Resistance for 3D Chip Stacks. In IEEE Semiconductor Thermal Measurement and Management Symposium.
[11]
E. G. Colgan and J. Wakil. 2013. Measured Thermal Resistance of Microbumps in 3D Chip Stacks. (March 2013). http://www.electronics-cooling.com/2013/03/measured-thermal-resistance-of-microbumps-in-3d-chip-stacks/
[12]
J. Cong and Y. Zhang. 2005. Thermal Via Planning for 3-D ICs. In IEEE International Conference on Computer-Aided Design.
[13]
DDR2 SDRAM Standard. 2009. http://www.jedec.org/standards-documents/docs/jesd-79-2e. (2009).
[14]
DDR3 SDRAM Standard. 2012. http://www.jedec.org/standards-documents/docs/jesd-79-3d. (2012).
[15]
R. G. Dreslinski, D. Fick, B. Giridhar, G. Kim, S. Seo, M. Fojtik, S. Satpathy, Y. Lee, D. Kim, N. Liu, M. Wieckowski, G. Chen, D. Sylvester, D. Blaauw, and T. Mudge. 2013. Centip3De: A 64-Core, 3D Stacked Near-Threshold System. IEEE Micro (Mar. 2013).
[16]
P. Emma, A. Buyuktosunoglu, M. Healy, K. Kailas, V. Puente, R. Yu, A. Hartstein, P. Bose, and J. Moreno. 2014. 3D Stacking of High-Performance Processors. In IEEE International Symposium on High-Performance Computer Architecture.
[17]
G. G. Faust, R. Zhang, K. Skadron, M.R. Stan, and B.H. Meyer. 2012. ArchFP: Rapid Prototyping of pre-RTL Floorplans. In IEEE International Conference on VLSI and System-on-Chip. http://lava.cs.virginia.edu/archfp/
[18]
K. Ganeshpure and S. Kundu. 2012. Reducing Temperature Variation in 3D Integrated Circuits Using Heat Pipes. In IEEE Symposium on VLSI.
[19]
M. Ghosh and H.-H. Lee. 2007. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. In IEEE International Symposium on Microarchitecture.
[20]
R. Golla and P. Jordan. 2011. T4: A Highly Threaded Server-on-a-Chip with Native Support for Heterogeneous Computing. In Hot Chips: A Symposium on High Performance Chips.
[21]
M. Gomaa, M. D. Powell, and T. N. Vijaykumar. 2004. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System. In International Conference on Architectural Support for Programming Languages and Operating Systems.
[22]
B. Goplen and S. S. Sapatnekar. 2005. Thermal Via Placement in 3D ICs. In International Symposium on Physical Design.
[23]
B. Goplen and S. S. Sapatnekar. 2006. Placement of Thermal Vias in 3-D ICs Using Various Thermal Objectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Apr. 2006).
[24]
S. Heo, K. Barr, and K. Asanovic. 2003. Reducing Power Density through Activity Migration. In International Symposium on Low Power Electronics and Design.
[25]
High Bandwidth Memory (HBM) Standard. 2013. http://www.jedec.org/standards-documents/results/jesd235. (2013).
[26]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M.R. Stan. 2006. HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2006). http://lava.cs.virginia.edu/HotSpot/index.htm
[27]
Hybrid Memory Cube Consortium. 2012. http://hybridmemorycube.org/. (2012).
[28]
International Technology Roadmap for Semiconductors (ITRS). 2012. http://www.itrs2.net. (2012).
[29]
S. C. Johnson. 2009. Via first, middle, last, or after? 3D Packaging Newsletter on 3D IC, TSV, WLP & Embedded Technologies (Dec. 2009). http://www.i-micronews.com/upload%5Cnewsletter%5C3DNov09.pdf
[30]
R. Kalla. 2009. POWER7: IBM's Next Generation POWER Microprocessor. In Hot Chips: A Symposium on High Performance Chips.
[31]
S. Kikuchi, M. Suwada, H. Onuki, Y. Iwakiri, and N. Nakamura. 2015. Thermal Characterization and Modeling of BEOL for 3D Integration. In IEEE CPMT Symposium Japan.
[32]
D. H. Kim, K. Athikulwongse, M. Healy, M. Hossain, M. Jung, I. Khorosh, G. Kumar, Y.-J. Lee, D. Lewis, T.-W. Lin, C. Liu, S. Panth, M. Pathak, M. Ren, G. Shen, T. Song, D. H. Woo, X. Zhao, J. Kim, H. Choi, G. Loh, H. H. Lee, and S. K. Lim. 2012. 3D-MAPS: 3D Massively Parallel Processor with Stacked Memory. In IEEE International Solid-State Circuits Conference.
[33]
Jung-Sik Kim, Chi Sung Oh, Hocheol Lee, Donghyuk Lee, Hyong-Ryol Hwang, Sooman Hwang, Byongwook Na, Joungwook Moon, Jin-Guk Kim, Hanna Park, Jang-Woo Ryu, Kiwon Park, Sang-Kyu Kang, So-Young Kim, Hoyoung Kim, Jong-Min Bang, Hyunyoon Cho, Minsoo Jang, Cheolmin Han, Jung-Bae Lee, Kyehyun Kyung, Joo-Sun Choi, and Young-Hyun Jun. 2011. A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking. In IEEE International Solid-State Circuits Conference.
[34]
M. Koyanagi, H. Kurino, K.-W. Lee, K. Sakuma, N. Miyakawa, and H. Itani. 1998. Future System-On-Silicon LSI Chips. IEEE Micro (Jul. 1998).
[35]
Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures. In International Symposium on Microarchitecture.
[36]
G.H. Loh. 2008. 3D-Stacked Memory Architectures for Multi-core Processors. In International Symposium on Computer Architecture.
[37]
G.L. Loi, B. Agrawal, N. Srivastava, S.-C. Lin, T. Sherwood, and K. Banerjee. 2006. A Thermally-Aware Performance Analysis of Vertically Integrated (3-D) Processor-Memory Hierarchy. In Design Automation Conference.
[38]
Low Power DDR3 SDRAM Standard. 2013. http://www.jedec.org/standards-documents/results/jesd209-3. (2013).
[39]
K. Matsumoto, S. Ibaraki, K. Sakuma, K. Sueoka, H. Kikuchi, Y. Orii, and F. Yamada. 2010. Thermal Resistance Evaluation of a Three-dimensional (3D) Chip Stack. In Electronics Packaging Technology Conference.
[40]
S. Melamed, K. Kikuchi, and M. Aoyagi. 2015. Sensitivity of the Thermal Profile of Bump-Bonded 3D Systems to Inter-Die Bonding Layer Properties. In IEEE CPMT Symposium Japan.
[41]
J. Meng, K. Kawakami, and A. K. Coskun. 2012. Optimizing Energy Efficiency of 3-D Multicore Systems with Stacked DRAM under Power and Thermal Constraints. In IEEE Design Automation Conference.
[42]
D. Milojevic, S. Idgunji, D. Jevdjic, E. Ozer, P. Lotfi-Kamran, A. Panteli, A. Prodromou, C. Nicopoulos, D. Hardy, B. Falsafi, and Y. Sazeides. 2012. Thermal Characterization of Cloud Workloads on a Power-Efficient Server-on-Chip. In International Conference on Computer Design.
[43]
N. Nakamura, Y. Iwakiri, H. Onuki, M. Suwada, and S. Kikuchi. 2015. Thermal Modeling and Experimental Study of 3D Stack Package with Hot Spot Consideration. In IEEE Electronic Components and Technology Conference.
[44]
Dave Noice and Vassilios Gerousis. 2010. Physical Design Implementation for 3D IC: Methodology and Tools. International Symposium on Physical Design (Mar. 2010). http://www.ispd.cc/slides/slides10/4_02.pdf Invited talk from Cadence.
[45]
H. Oprins, V. Cherman, T. Webers, A. Salahouelhadj, S. W. Kim, Lan Peng, G. Van der Plas, and E. Beyne. 2016. Thermal Characterization of the Inter-Die Thermal Resistance of Hybrid Cu/Dielectric Wafer-to-Wafer Bonding. In IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.
[46]
H. Oprins, B. Vandevelde, M. Badaroglu, M. Gonzalez, G. Van der Plas, and E. Beyne. 2013. Numerical Comparison of the Thermal Performance of 3D Stacking and Si Interposer Based Packaging Concepts. In IEEE Electronic Components and Technology Conference.
[47]
K. Puttaswamy and G.H. Loh. 2007. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. In IEEE International Symposium on High Performance Computer Architecture.
[48]
Kiran Puttaswamy and Gabriel H. Loh. 2006. Thermal Analysis of a 3D Die-Stacked High-Performance Microprocessor. In ACM Great Lakes Symposium on VLSI.
[49]
Jose Renau, Basilio Fraguela, James Tuck, Wei Liu, Milos Prvulovic, Luis Ceze, Smruti Sarangi, Paul Sack, Karin Strauss, and Pablo Montesinos. 2005. SESC simulator. (Jan. 2005). http://sesc.sourceforge.net
[50]
P. Rosenfeld, E. Cooper-Balis, and B. Jacob. 2011. DRAM-Sim2: A Cycle Accurate Memory System Simulator. Computer Architecture Letters (Jan. 2011). http://www.eng.umd.edu/~blj/dramsim/
[51]
E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann. 2012. Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge. IEEE Micro (Mar. 2012).
[52]
E.C. Samson, S.V. Machiroutu, J.-Y. Chang, I. Santos, J. Hermerding, A. Dani, R. Prasher, and D.W.Song. 2005. Interface Material Selection and a Thermal Management Technique in Second-Generation Platforms Built on Intel Centrino Mobile Technology. In Intel Technology Journal.
[53]
Manjunath Shevgoor, Jung-Sik Kim, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, and Aniruddha N. Udipi. 2013. Quantifying the Relationship Between the Power Delivery Network and Architectural Policies in a 3D-stacked Memory Device. In IEEE/ACM International Symposium on Microarchitecture.
[54]
S.G. Singh and C. S. Tan. 2009. Impact of Thermal Through Silicon Via (TTSV) on the Temperature Profile of Multi-Layer 3-D Device Stack. In International Conference on 3D System Integration.
[55]
D. Skarlatos, R. Thomas, A. Agrawal, S. Qin, R. Pilawa-Podgurski, U. R. Karpuzcu, R. Teodorescu, N. S. Kim, and J. Torrellas. 2016. Snatch: Opportunistically Reassigning Power Allocation between Processor and Memory in 3D Stacks. In IEEE International Conference on Microarchitecture.
[56]
J. Stuecheli. 2013. Next Generation POWER microprocessor. In Hot Chips: A Symposium on High Performance Chips.
[57]
S. Turullols and R. Sivaramakrishnan. 2012. SPARC T5: 16-core CMT Processor with Glueless 1-Hop Scaling to 8-Sockets. In Hot Chips: A Symposium on High Performance Chips.
[58]
S. Undy. 2011. Poulson: An 8 Core 32 nm Next Generation Intel Itanium Processor. In Hot Chips: A Symposium on High Performance Chips.
[59]
G. Van der Plas, P. Limaye, A. Mercha, H. Oprins, C. Torregiani, S. Thijs, D. Linten, M. Stucchi, K. Guruprasad, D. Velenis, D. Shinichi, V. Cherman, B. Vandevelde, V. Simons, I. De Wolf, R. Labie, D. Perry, S. Bronckers, N. Minas, M. Cupac, W. Ruythooren, J. Van Olmen, A. Phommahaxay, M. de Potter de ten Broeck, A. Opdebeeck, M. Rakowski, B. De Wachter, M. Dehan, M. Nelis, R. Agarwal, W. Dehaene, Y. Travaly, P. Marchal, and E. Beyne. 2010. Design Issues and Considerations for Low-Cost 3D TSV IC Technology. In IEEE International Solid-State Circuits Conference.
[60]
S. White. 2011. High Performance Power-Efficient x86-64 Server & Desktop Processors: using Bulldozer core. In Hot Chips: A Symposium on High Performance Chips.
[61]
Wide I/O 2 Standard. 2014. http://www.jedec.org/standards-documents/results/jesd229-2. (2014).
[62]
Wide I/O SDR Standard. 2011. http://www.jedec.org/standards-documents/results/jesd229. (2011).
[63]
D. Zhao, H. Homayoun, and A.V. Veidenbaum. 2013. Temperature Aware Thread Migration in 3D Architecture with Stacked DRAM. In International Symposium on Quality Electronic Design.
[64]
Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, and Jun Yang. 2008. Thermal Management for 3D Processors via Task Scheduling. In International Conference on Parallel Processing.

Cited By

View all
  • (2024)Investigation of heat dissipation structure embedded in substrate of power chip based on grid-type thermal through silicon viasIEICE Electronics Express10.1587/elex.21.2024018521:11(20240185-20240185)Online publication date: 10-Jun-2024
  • (2023)Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core ProcessorsIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2023.324476313:1(58-72)Online publication date: Mar-2023
  • (2022)Data ConvectionProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/35080276:1(1-25)Online publication date: 28-Feb-2022
  • Show More Cited By

Index Terms

  1. Xylem: enhancing vertical thermal conduction in 3D processor-memory stacks

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MICRO-50 '17: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture
      October 2017
      850 pages
      ISBN:9781450349529
      DOI:10.1145/3123939
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 14 October 2017

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D chip
      2. processor-memory integration
      3. thermal management

      Qualifiers

      • Research-article

      Funding Sources

      Conference

      MICRO-50
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 484 of 2,242 submissions, 22%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)109
      • Downloads (Last 6 weeks)15
      Reflects downloads up to 23 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Investigation of heat dissipation structure embedded in substrate of power chip based on grid-type thermal through silicon viasIEICE Electronics Express10.1587/elex.21.2024018521:11(20240185-20240185)Online publication date: 10-Jun-2024
      • (2023)Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core ProcessorsIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2023.324476313:1(58-72)Online publication date: Mar-2023
      • (2022)Data ConvectionProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/35080276:1(1-25)Online publication date: 28-Feb-2022
      • (2021)Characterizing the Thermal Feasibility of Monolithic 3D MicroprocessorsIEEE Access10.1109/ACCESS.2021.31086289(120715-120729)Online publication date: 2021
      • (2020)Thermal TSV Optimization and Hierarchical Floorplanning for 3-D Integrated CircuitsIEEE Transactions on Components, Packaging and Manufacturing Technology10.1109/TCPMT.2020.297038210:4(599-610)Online publication date: Apr-2020
      • (2020)Temperature-Aware DRAM Cache Management—Relaxing Thermal Constraints in 3-D SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.292752839:10(1973-1986)Online publication date: Oct-2020
      • (2019)Thermal-Aware Design and Management for Search-based In-Memory AccelerationProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317923(1-6)Online publication date: 2-Jun-2019
      • (2019)Fine-grained warm water cooling for improving datacenter economyProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322236(474-486)Online publication date: 22-Jun-2019
      • (2019)Designing vertical processors in monolithic 3DProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322233(643-656)Online publication date: 22-Jun-2019
      • (2019) HR 3 AM: A Heat Resilient Design for RRAM-based Neuromorphic Computing 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)10.1109/ISLPED.2019.8824926(1-6)Online publication date: Jul-2019
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media