[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
article

Exploiting Resonant Behavior to Reduce Inductive Noise

Published: 02 March 2004 Publication History

Abstract

Inductive noise in high-performance microprocessors is a reliabilityissue caused by variations in processor current (di/dt)which are converted to supply-voltage glitches by impedances inthe power-supply network. Inductive noise has been addressed byusing decoupling capacitors to maintain low impedance in thepower supply over a wide range of frequencies. However, evenwell-designed power supplies exhibit (a few) peaks of high impedanceat resonant frequencies caused by RLC resonant loops. Previousarchitectural proposals adjust current variations bycontrolling instruction fetch and issue, trading off performanceand energy for noise reduction. However, the proposals do notconsider some conceptual issues and have implementation challenges.The issues include requiring fast response, responding tovariations that do not threaten the noise margins, or respondingto variations only at the resonant frequency while the range ofhigh impedance extends to a resonance band around the resonantfrequency. While previous schemes reduce the magnitude of variations,our proposal, called resonance tuning, changes the frequencyof current variations away from the resonance band to anon-resonant frequency to be absorbed by the power supply.Because inductive noise is a resonance problem, resonance tuningreacts only to repeated variations in the resonance band, andnot to isolated variations. Reacting after a few repetitions allowsmore time for the response and reduces unnecessary responses,decreasing performance and energy loss.

References

[1]
{1} W. E. Boyce and R. C. DiPrima. Elementary Differential Equations and Boundary Value Problems. John Wiley and Sons, Inc., 1997.
[2]
{2} D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 83-94, June 2000.
[3]
{3} D. Burger and T. M. Austin. The Simple Scalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin-Madison, June 1997.
[4]
{4} R. A. DeCarlo and P.-M. Lin. Linear Circuit Analysis, volume 2. Prentice Hall, 1995.
[5]
{5} W. El-Essawy, D. H. Albonesi, and B. Sinharoy. A microarchitectural-level step-power analysis tool. In International Symposium on Low Power Electronics and Design, pages 263-266, Aug. 2002.
[6]
{6} B. Garben, M. F. McAllister, W. D. Becker, and R. Frech. Mid-frequency delta-i noise analysis of complex computer system boards with multiprocessor modules and verification by measurements. IEEE Transactions on Advanced Packaging , 24(3):294-302, 2001.
[7]
{7} M. K. Gowan, L. L. Biro, and D. B. Jackson. Power considerations in the design of the alpha 21264 microprocessor. In Design Automation Conference (DAC), pages 726-731, 1998.
[8]
{8} E. Grochowski, D. Ayers, and V. Tiwari. Microarchitectural simulation and control of di/dt-induced power supply voltage variation. In Eighth International Symposium on High Performance Computer Architecture (HPCA), pages 7-16, Feb. 2001.
[9]
{9} D. J. Herrell and B. Beker. Modeling of power distribution systems for high-performance microprocessors. IEEE Transactions on Advanced Packaging, 22(3):240-248, 1999.
[10]
{10} R. Joseph, D. Brooks, and M. Martonosi. Control techniques to eliminate voltage emergencies in high-performance processors. In Ninth International Symposium on High Performance Computer Architecture (HPCA), pages 79-90, Feb. 2003.
[11]
{11} R. Joseph, Z. Hu, and M. Martonosi. Wavelet analysis for microprocessor design: Experiences with wavelet-based dI/ dt characterization. In Tenth International Symposium on High Performance Computer Architecture (HPCA), Feb. 2004.
[12]
{12} H. Kim, D. M. H. Walker, and D. Colby. A practical built-in current sensor for IDDQ testing. In IEEE International Test Conference, pages 405-414, 2001.
[13]
{13} M. D. Pant, P. Pant, and D. S. Wills. On-chip decoupling capacitor optimization using architectural level prediction. IEEE Transactions on VLSI Systems, 10(3):319-326, 2002.
[14]
{14} M. D. Powell and T. N. Vijaykumar. Pipeline damping: A microarchitectural technique to reduce inductive noise. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA 30), June 2003.
[15]
{15} M. D. Powell and T. N. Vijaykumar. Pipeline muffling and a-priori current ramping: Architectural techniques to reduce high-frequency inductive noise. In Proceedings of the International Symposium on Low Power Electronics and Design, pages 223-228, Aug. 2003.
[16]
{16} J. M. Rabaey, A. Chandrakasan, and B. Nikolic. Digital Integrated Circuits. Prentice Hall, 2002.
[17]
{17} SIA. International Technology Roadmap for Semiconductors (ITRS). http://public.itrs.net/, 2003.
[18]
{18} M. Tsuk, R. Dame, D. Dvorscak, C. Houghton, and J. S. Laurent. Modeling and measurement of the alpha 21364 package. In IEEE 10th Topical Meeting on Electrical Performance of Electronic Packaging, pages 283-286, 2001.
[19]
{19} J. P. M. van Lammeren. Iccq: A test method for analogue vlsi based on current monitoring. In IEEE International Workshop on IDDQ Testing, pages 24-28, 1997.
[20]
{20} B. Wicht, D. Schmitt-Landsiedel, and S. Paul. A simple low voltage current sense amplifier with switchable input transistor. In 27th European Solid-State Circuits Conference, Sept. 2001.

Cited By

View all
  • (2014)Architecture implications of pads as a scarce resourceACM SIGARCH Computer Architecture News10.1145/2678373.266572842:3(373-384)Online publication date: 14-Jun-2014
  • (2013)Resilient Architecture Design for Voltage VariationSynthesis Lectures on Computer Architecture10.2200/S00486ED1V01Y201303CAC0228:2(1-138)Online publication date: 29-May-2013
  • (2013)On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on ChipIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2012.19324:4(767-777)Online publication date: 1-Apr-2013
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 32, Issue 2
ISCA 2004
March 2004
373 pages
ISSN:0163-5964
DOI:10.1145/1028176
Issue’s Table of Contents
  • cover image ACM Conferences
    ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture
    June 2004
    373 pages
    ISBN:0769521436

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 March 2004
Published in SIGARCH Volume 32, Issue 2

Check for updates

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)1
Reflects downloads up to 01 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2014)Architecture implications of pads as a scarce resourceACM SIGARCH Computer Architecture News10.1145/2678373.266572842:3(373-384)Online publication date: 14-Jun-2014
  • (2013)Resilient Architecture Design for Voltage VariationSynthesis Lectures on Computer Architecture10.2200/S00486ED1V01Y201303CAC0228:2(1-138)Online publication date: 29-May-2013
  • (2013)On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on ChipIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2012.19324:4(767-777)Online publication date: 1-Apr-2013
  • (2013)Automating Stressmark Generation for Testing Processor Voltage FluctuationsIEEE Micro10.1109/MM.2013.7033:4(66-75)Online publication date: 1-Jul-2013
  • (2010)Variation-Tolerant Microprocessor Architecture at Low PowerLow-Power Variation-Tolerant Design in Nanometer Silicon10.1007/978-1-4419-7418-1_7(211-247)Online publication date: 25-Oct-2010
  • (2018)Leveraging CPU electromagnetic emanations for voltage noise characterizationProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00053(573-585)Online publication date: 20-Oct-2018
  • (2014)Architecture implications of pads as a scarce resourceProceeding of the 41st annual international symposium on Computer architecuture10.5555/2665671.2665728(373-384)Online publication date: 14-Jun-2014
  • (2014)Architecture implications of pads as a scarce resourceACM SIGARCH Computer Architecture News10.1145/2678373.266572842:3(373-384)Online publication date: 14-Jun-2014
  • (2014)Voltage Noise in Multi-Core ProcessorsProceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2014.12(368-380)Online publication date: 13-Dec-2014
  • (2013)Eagle-eyeProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561915(437-443)Online publication date: 18-Nov-2013
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media