[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

KR20180075702A - 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향 - Google Patents

프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향 Download PDF

Info

Publication number
KR20180075702A
KR20180075702A KR1020187017868A KR20187017868A KR20180075702A KR 20180075702 A KR20180075702 A KR 20180075702A KR 1020187017868 A KR1020187017868 A KR 1020187017868A KR 20187017868 A KR20187017868 A KR 20187017868A KR 20180075702 A KR20180075702 A KR 20180075702A
Authority
KR
South Korea
Prior art keywords
metrology
housing
factory interface
substrate
coupled
Prior art date
Application number
KR1020187017868A
Other languages
English (en)
Other versions
KR102680059B1 (ko
Inventor
코칸 씨. 파울
제이 디. 2세 핀슨
주안 카를로스 로차-앨버레즈
하리 케이. 폰네칸티
루판카르 초우드리
셰카 아타니
산딥 쿰팔라
하니쉬 쿠마르 파나바랍필 쿠마란쿠티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180075702A publication Critical patent/KR20180075702A/ko
Application granted granted Critical
Publication of KR102680059B1 publication Critical patent/KR102680059B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/041Function-oriented details
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31459Library with metrology plan for different type of workpieces
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40066Stack and align identical layers, laminates, electronic substrate layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Human Computer Interaction (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 개시내용의 구현들은 일반적으로, 기판의 막 특성들을 측정하도록 구성된 온-보드 메트롤로지 하우징에 커플링되는 개선된 팩토리 인터페이스에 관한 것이다. 일 구현에서, 장치는 팩토리 인터페이스, 및 로드 포트를 통해 팩토리 인터페이스에 제거가능하게 커플링된 메트롤로지 하우징을 포함하며, 메트롤로지 하우징은 메트롤로지 하우징 내로 이송될 기판의 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함한다.

Description

프로세스 툴에서의 온-보드 메트롤로지(OBM) 설계 및 그 영향
[0001] 본 개시내용의 구현들은 일반적으로, 프로세싱 툴의 개선된 팩토리 인터페이스(factory interface)에 관한 것이다.
[0002] 플라즈마 프로세싱, 이를테면, 플라즈마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition)은 기판들 상에 재료들, 이를테면, 블랭킷 유전체 막들을 증착하는 데 사용된다. PECVD 막 프로세스에서, 전체적인 스루풋(throughput)에 영향을 미치는 2개의 양상들, 즉, 1) 계획된 유지보수 이후의 긴 챔버 작동불능시간(chamber downtime) ― 왜냐하면, 독립식 메트롤로지(standalone metrology)를 사용한 반복적인 프로세스 튜닝은 오랜 시간(통상적으로 18시간 초과)이 걸리기 때문임 ―; 2) 시간에 따른 막-두께 드리프트의 추세(tendency of film-thickness drift) ― 이는 정기적으로 모니터링 및 튜닝 프로세스를 요구함 ― 가 있다. 외부 독립식 메트롤로지를 사용시, 튜닝 프로세스는 시간 소모적이며, 생산 시간이 줄어든다. 이러한 영향들을 개선하기 위해서는, 툴과 통합된 신뢰적이고, 정확하고 그리고 지속가능한 메트롤로지를 위한 수단이 필요하다.
[0003] 본 개시내용의 구현들은 일반적으로, 기판의 막 특성들을 측정하도록 구성된 온-보드 메트롤로지 하우징(on-board metrology housing)에 커플링되는 개선된 팩토리 인터페이스에 관한 것이다. 일 구현에서, 장치는 팩토리 인터페이스, 및 로드 포트(load port)를 통해 팩토리 인터페이스에 제거가능하게 커플링된 메트롤로지 하우징을 포함하며, 메트롤로지 하우징은 메트롤로지 하우징 내로 이송될 기판의 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함한다.
[0004] 다른 구현에서, 장치는, 팩토리 인터페이스, 제1 로드 포트를 통해 팩토리 인터페이스의 제1 측에 커플링된 제1 저장 포드(storage pod) ― 제1 저장 포드는 하나 또는 그 초과의 기판 캐리어들을 포함함 ―, 제2 로드 포트를 통해 팩토리 인터페이스의 제1 측에 제거가능하게 커플링된 메트롤로지 하우징 ― 메트롤로지 하우징은 메트롤로지 하우징 내로 이송될 기판의 막 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함함 ―, 및 팩토리 인터페이스의 제2 측에 커플링되고 그리고 진공 환경에서 동작하는 로드 록 챔버(load lock chamber)를 포함하며, 팩토리 인터페이스는 메트롤로지 하우징 및 로드 록 챔버에 대한 액세스를 제공하도록 구성된 로봇을 갖는다.
[0005] 또 다른 구현에서, 프로세싱 툴이 제공된다. 프로세싱 툴은, 로봇식 아암(robotic arm)을 갖는 이송 챔버, 대기 로봇(atmospheric robot)을 갖는 팩토리 인터페이스, 팩토리 인터페이스에 커플링된 배치 경화 챔버(batch curing chamber), 이송 챔버에 커플링되는 제1 측 및 팩토리 인터페이스의 제1 측에 커플링되는 제2 측을 갖는 로드 록 챔버 ― 로드 록 챔버는 대기 로봇으로부터 하나 또는 그 초과의 기판들을 수용하도록 구성됨 ―, 이송 챔버에 커플링된 유동성 CVD 증착 챔버, 제1 로드 포트를 통해 팩토리 인터페이스의 제2 측에 커플링된 제1 저장 포드 ― 제1 저장 포드는 하나 또는 그 초과의 기판 캐리어들을 포함함 ―, 및 제2 로드 포트를 통해 팩토리 인터페이스의 제2 측에 커플링된 메트롤로지 하우징을 포함하며, 메트롤로지 하우징은 대기 로봇에 의해 메트롤로지 하우징 내로 이송될 기판의 막 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함한다.
[0006] 앞서 간략히 요약되고 하기에서 보다 상세히 논의되는 본 개시내용의 구현들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0007] 도 1은 본 개시내용의 구현들에 따라 반도체 기판을 프로세싱하는 데 사용될 수 있는 예시적인 프로세싱 툴의 상부 평면도를 예시한다.
[0008] 도 2는 파티셔닝된 플라즈마 생성 구역들을 갖는 유동성 화학 기상 증착 챔버의 일 구현의 단면도이다.
[0009] 도 3은 프로세싱 툴에서 수행될 수 있는 프로세스(300)의 일 구현의 흐름도를 예시한다.
[0010] 도 4a는 본원에서 설명되는 구현들에 따른 간략화된 버전의 인-시튜 메트롤로지 어셈블리를 예시한다.
[0011] 도 4b는 본원에서 설명되는 구현들에 따른 도 4a의 온-보드 메트롤로지 어셈블리의 일부분의 확대도를 예시한다.
[0012] 도 5a는 도 1의 온-보드 메트롤로지 하우징에 배치된 온-보드 메트롤로지 어셈블리의 예시적 셋업의 단면도를 예시한다.
[0013] 도 5b는 본 개시내용의 일 구현에 따른 온-보드 메트롤로지 어셈블리의 정렬기 모듈(aligner module)의 사시도를 예시한다.
[0014] 도 5c는 어댑터 플레이트(adapter plate)에 형성된 대응하는 개구를 통해 연장되는 콜리메이터(collimator)들의 단면도를 예시한다.
[0015] 도 5d는 정렬 메커니즘을 갖는 정렬기 플레이트(aligner plate)의 사시도를 예시한다.
[0016] 도 6a는 온-보드 메트롤로지 하우징과 맞물리기 전의 팩토리 인터페이스의 벽의 사시도를 예시한다.
[0017] 도 6b는 본 개시내용의 일 구현에 따른 지지 브래킷들의 사시도를 예시한다.
[0018] 도 6c는 온-보드 메트롤로지 하우징의 후방측을 도시하는 온-보드 메트롤로지 하우징의 사시도를 예시한다.
[0019] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 도면들은 실척대로 그려지지 않았으며, 명확성을 위해 단순화될 수 있다. 일 구현의 엘리먼트들 및 피처(feature)들이 추가의 언급없이 다른 구현들에 유리하게 통합될 수 있다는 것이 고려된다.
[0010] 본 개시내용의 구현들은 일반적으로, 팩토리 인터페이스의 벽에 커플링된 온-보드 메트롤로지 하우징을 갖는 개선된 팩토리 인터페이스에 관한 것이다. 온-보드 메트롤로지 하우징은 기판의 막 특성들을 측정하도록 구성된 메트롤로지 어셈블리를 갖는다. 팩토리 인터페이스는 기판들을, 팩토리 인터페이스에 커플링된 배치 경화 챔버와 온-보드 메트롤로지 하우징 사이로, 또는 팩토리 인터페이스에 커플링된 전방 개방 통합 포드(FOUP; front opening unified pod)와 온-보드 메트롤로지 하우징 사이로 이송하도록 구성된 적어도 하나의 대기 로봇(atmospheric robot)을 갖는다.
프로세싱 툴
[0011] 도 1은 본 개시내용의 구현들에 따라 반도체 기판을 프로세싱하는 데 사용될 수 있는 예시적인 프로세싱 툴(100)의 상부 평면도를 예시한다. 프로세싱 툴(100)은 일반적으로, 팩토리 인터페이스(105), 배치 경화 챔버(103), 이송 챔버(112), 대기 홀딩 스테이션(atmospheric holding station)(109), 및 복수의 트윈 프로세싱 챔버들(108a-b, 108c-d, 및 108e-f)을 포함한다. 팩토리 인터페이스(105)는 기판들을 저장 및 홀딩하기 위해 대기압(atmospheric pressure)에서 동작한다. 팩토리 인터페이스(105)는 적어도 하나의 대기 로봇(104), 이를테면, 듀얼 블레이드 대기 로봇을 포함하고, 기판들의 하나 또는 그 초과의 카세트들을 수용하도록 구성된다. 팩토리 인터페이스(105)의 제1 측 상에, 하나 또는 그 초과의 로드 포트들이 제공될 수 있다. 일 예시적 구현에서, 3개의 로드 포트들이 제공된다. 명확성을 위해, 도 1의 구현에서는 단지 2개의 로드 포트들(111, 113)만이 도시된다. 로드 포트(111, 113)는 프로세싱될 기판(예컨대, 300 mm 직경의 웨이퍼들)을 전방 개방 통합 포드("FOUP"; front opening unified pod)(102)로부터 수용하도록 적응된다. FOUP(102)는 기판들을 일시적으로 그리고 휴대가능하게 저장하도록 구성된 하나 또는 그 초과의 기판 캐리어들을 갖는다. 로드 록 챔버(106)는 팩토리 인터페이스(105)의 제2 측(제1 측에 대향함)에 커플링된다. 로드 록 챔버(106)는, 복수의 트윈 프로세싱 챔버들(108a-b, 108c-d 및 108e-f)이 로케이팅된 이송 챔버(112)에 커플링된다.
[0012] 기판은 대기 로봇(104)에 의해, FOUP들(102)로부터 로드 록 챔버(106)로 이송된다. 제2 로봇식 아암(110)은, 기판들을 로드 록 챔버들(106)로부터, 이송 챔버(112)에 커플링된 프로세싱 챔버들(108a-f)로 이송하기 위해, 로드 록 챔버들(106)에 커플링된 이송 챔버(112)에 배치된다. 따라서, 팩토리 인터페이스(105)는 팩토리 인터페이스의 대기 환경과 툴 또는 프로세싱 챔버들의 진공 환경 사이의 트랜지션(transition)을 제공한다.
[0013] 프로세싱 챔버들(108a-f)은 임의의 타입의 프로세싱 챔버들, 예컨대, 화학 기상 증착(CVD; chemical vapor deposition) 챔버들, 원자층 증착(ALD; atomic layer deposition) 챔버들, 물리 기상 증착(PVD; physical vapor deposition) 챔버들, 이온 금속 주입(IMP; ion metal implant) 챔버들, 플라즈마 에칭 챔버들, 어닐링 챔버들, 다른 노 챔버(furnace chamber)들 등일 수 있다. 일 구현에서, 프로세싱 챔버들(108a-f)은, 기판 상에 유동성 유전체 막(flowable dielectric film)을 증착, 어닐링, 경화, 및/또는 에칭하도록 구성된다. 일 구성에서, 프로세싱 챔버들의 3개의 쌍들(예컨대, 108a-b, 108c-d 및 108e-f)은, 기판 상에 유동성 유전체 재료를 증착하는 데 사용될 수 있다. 원하는 경우, 이들 프로세싱 챔버들(108a-b, 108c-d 및 108e-f) 중 임의의 프로세싱 챔버, 또는 하나 또는 그 초과의 추가의 프로세싱 챔버들은 이송 챔버(112)에 커플링되고, 애플리케이션에 따라 다른 종래의 반도체 디바이스 제조 프로세스, 이를테면, 산화, 막 증착, 에칭, 가열, 탈가스(degassing), 애싱(ashing), 이온 주입, 메트롤로지 등을 수행하도록 배열될 수 있다.
[0014] 일부 구현들에서, 배치 경화 챔버(103)는, 상부에 유동성 유전체 재료가 증착되어 있는 다수의 기판들에 대해 동시에 배치 경화 프로세스를 수행하도록 구성된다. 이러한 구현들에서, 배치 경화 챔버(103)는 일반적으로, 트윈 프로세싱 챔버들(108a-b, 108c-d 및 108e-f)에서 막 증착을 동시에 겪을 수 있는 다수의 기판들에 대해 경화 프로세스를 수행하도록 구성된다. 따라서, 도 1에 예시된 구성에서, 배치 경화 챔버(103)는 유리하게, 경화 프로세스 동안 한 번에 6개의 기판들을 수용하도록 사이즈가 정해진다. 결과적으로, 트윈 프로세싱 챔버들(108a-b, 108c-d 및 108e-f))에 의해 프로세싱된 모든 기판들은 동시에 경화 프로세싱을 겪을 수 있으며, 이에 의해, 프로세싱 툴(100)의 기판 스루풋이 최대화된다.
[0015] 게다가, 다수의 프로세싱 챔버들이 상이한 프로세싱 레시피(recipe) 시작 및 종료 시간들을 갖는 경우들에서, 현저하게 상이한 시간량들 동안 기판들이 배치 경화 챔버(103) 내에 잔류하는(remaining) 것을 방지하기 위해, 프로세싱 툴(100)은, 이미 프로세싱된 기판들을, 다른 후속 프로세싱되는 기판들에 대한 그들의 증착 프로세싱이 완료될 때까지, 홀딩하는 데 사용되는 대기 홀딩 스테이션(109)을 포함할 수 있다. 대기 홀딩 스테이션은, 기판들 모두가 한 번에 배치 경화 챔버(103) 내에 위치되는 것을 가능하게 하는 버퍼 스테이션으로서의 역할을 한다. 예컨대, 대기 홀딩 스테이션(109)은, 원하는 수의 기판들이 배치 경화 챔버(103) 내에서의 프로세싱에 대해 이용가능할 때까지, 배치 경화 챔버(103) 외부에 일시적으로 기판들을 저장하도록 구성된다. 이어서, 대기 로봇(104)은, 비교적 높은 온도의 배치 경화 챔버(103) 내에, 어떤 막-증착된 기판도 임의의 다른 막-증착된 기판보다 몇 초를 초과하여 더 오랫동안 잔류하지 않도록, 기판들을 연달아 배치 경화 챔버(103) 내에 로딩한다. 결과적으로, 경화 프로세스에서의 기판-대-기판 변동(substrate-to-substrate variation)이 최소화되거나 또는 감소될 수 있다.
[0016] 배치 경화 챔버(103)는 챔버 바디(chamber body)(103B) 및 슬릿 밸브(slit valve)(103A)를 포함할 수 있다. 슬릿 밸브(103A)는, 대기 로봇들(104)에 의해 기판들이 내부에 포지셔닝된 후에, 챔버 바디(103B)의 내부 구역을 밀봉(seal-off)하는 데 사용된다.
[0017] 본 개시내용의 다양한 구현들에서, 팩토리 인터페이스(105)는 FOUP들(102) 근처의 벽(107)의 기판 존재 측(substrate existing side)에 커플링된 온-보드 메트롤로지 하우징(133)을 갖는다. 도시된 바와 같은 일 구현에서, 온-보드 메트롤로지 하우징(133)은 FOUP들(102)의 쌍 사이에 배치된다. 2개의 FOUP들(102)이 도시되지만, 3개 또는 그 초과의 FOUP들이 로드 포트들(이를테면, 로드 포트들(111, 113))에 대응하도록 사용될 수 있다는 것이 고려된다. 일 예에서, 3개의 FOUP들이 사용되는데, 여기서 추가의 FOUP는 FOUP(102)의 어느 한쪽 옆에 배치된다. 온-보드 메트롤로지 하우징(133)은, 기판의 프로세싱 전에 그리고/또는 프로세싱 후에 막 특성들, 이를테면, 막 두께, 막 조성, 시트 저항(sheet resistance), 입자 수(particle count), 및 막 응력을 측정하도록 구성된 온-보드 메트롤로지 어셈블리(135)를 갖는다. 일 구현에서, 온-보드 메트롤로지 어셈블리(135)는 기판의 막 두께를 측정하도록 구성된다. 측정될 기판은 대기 로봇들(104)에 의해 로드 포트(115)(팩토리 인터페이스의 기판 수용 측 상에 배치됨)를 통해, 로드 록 챔버들(106) 또는 FOUP들(102) 중 임의의 FOUP(102)로부터 온-보드 메트롤로지 하우징(133)으로 이송될 수 있다. 메트롤로지 어셈블리가 이송 챔버(112), 로드 록 챔버들(106), 트윈 프로세싱 챔버들(108a-b, 108c-d 및 108e-f)에, 또는 이송 챔버(112)에 커플링된 별개의 메트롤로지 챔버(도시되지 않음) 내에 제공되는 종래의 시스템들과 달리, 막들의 두께를 측정할 수 있는 팩토리 인터페이스(105)는 계획된 유지보수 후의 챔버의 작동불능시간을 상당히 단축시키는데, 왜냐하면, 두께가 프로세스 직후에 측정될 수 있고, 피드백 제어를 통한 프로세스 튜닝을 위해 사용될 수 있기 때문이다. 또한, 팩토리 인터페이스(105) 상에 온-보드 메트롤로지 어셈블리(135)를 통합시키는 것은, 필요한 경우, 메트롤로지 어셈블리의 설치 및/또는 서비싱을 위한 용이한 액세스를 제공한다. 예시적인 온-보드 메트롤로지 어셈블리(135) 및 온-보드 메트롤로지 어셈블리(135)의 구조적 관계는 아래에서 도 4-6을 참조하여 추가로 설명된다.
유동성 CVD 챔버 및 증착 프로세스 예들
[0018] 도 2는 파티셔닝된 플라즈마 생성 구역들을 갖는 유동성 화학 기상 증착 챔버(200)의 일 구현의 단면도이다. 프로세스 챔버(200)는, 기판 상에 적어도 유동성 유전체 재료를 증착시키도록 구성되는 프로세싱 툴(100)의 프로세싱 챔버들(108a-f) 중 임의의 프로세싱 챔버일 수 있다. 일부 구현들에서, 프로세싱 툴(100)은, 프로세스 챔버(200) 대신 임의의 다른 적절한 화학 기상 증착 챔버를 포함할 수 있다.
[0019] 막 증착(예컨대, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시나이트라이드 또는 실리콘 옥시카바이드 증착) 동안, 가스 유입구 어셈블리(205)를 통해 프로세스 가스가 제1 플라즈마 구역(215) 내로 유동될 수 있다. 프로세스 가스는, 원격 플라즈마 시스템(RPS; remote plasma system)(201) 내의 제1 플라즈마 구역(215)에 진입하기 전에 여기될(excited) 수 있다. 프로세스 챔버(200)는 리드(lid)(212) 및 샤워헤드(showerhead)(225)를 포함한다. 리드(212)는 인가된 AC 전압 소스를 갖는 것으로 도시되고, 샤워헤드(225)는 접지되며, 이는 제1 플라즈마 구역(215)에서의 플라즈마 생성에 부합한다. 리드(212)와 샤워헤드(225) 사이에 절연 링(220)이 포지셔닝되어, 용량성 결합 플라즈마(CCP; capacitively coupled plasma)가 제1 플라즈마 구역(215)에 형성되는 것을 가능하게 한다. 리드(212)와 샤워헤드(225)는 그들 사이에 절연 링(220)을 갖는 것으로 도시되며, 절연 링(220)은 AC 전위가 샤워헤드(225)에 비해 리드(212)에 인가되게 한다.
[0020] 리드(212)는, 프로세싱 챔버에 사용하기 위한 듀얼-소스 리드일 수 있다. 가스 유입구 어셈블리(205) 내에서 2개의 별개의 가스 공급 채널들을 볼 수 있다. 제1 채널(202)은 원격 플라즈마 시스템(RPS)(201)을 통과하는 가스를 전달하는 한편, 제2 채널(204)은 RPS(201)를 우회(bypass)한다. 제1 채널(202)은 프로세스 가스를 위해 사용될 수 있고, 제2 채널(204)은 처리 가스를 위해 사용될 수 있다. 제1 플라즈마 구역(215) 내로 유동하는 가스들은 배플(baffle)(206)에 의해 분산될 수 있다.
[0021] 유체, 이를테면, 전구체는, 샤워헤드(225)를 통해 프로세스 챔버(200)의 제2 플라즈마 구역(233) 내로 유동될 수 있다. 제1 플라즈마 구역(215) 내의 전구체로부터 유도된(derived) 여기된 종은 샤워헤드(225)의 애퍼처(aperture)(214)를 통해 이동하고, 샤워헤드(225)로부터 제2 플라즈마 구역(233) 내로 유동하는 전구체와 반응한다. 제2 플라즈마 구역(233)에는 플라즈마가 거의 존재하지 않거나 어떤 플라즈마도 존재하지 않는다. 전구체의 여기된 유도체(derivative)들은 제2 플라즈마 구역(233)에서 결합되어 기판 상에 유동성 유전체 재료를 형성한다. 유전체 재료가 성장함에 따라, 더 최근에 부가된 재료는 기저 재료보다 더 높은 이동도(mobility)를 보유한다. 증발에 의해 유기 함유물(organic content)이 감소됨에 따라, 이동도가 감소한다. 이러한 기법을 사용하여, 증착이 완료된 후에 유전체 재료 내에 종래의 밀도들의 유기 함유물을 남기지 않으면서, 유동성 유전체 재료에 의해 갭들이 충전될(filled) 수 있다.
[0022] 단독으로 또는 원격 플라즈마 시스템(RPS)(201)과의 결합으로, 제1 플라즈마 구역(215)에서 전구체를 여기시키는 것은 몇몇 이익들을 제공한다. 제1 플라즈마 구역(215)에서의 플라즈마로 인해 전구체로부터 유도된 여기된 종의 농도가 제2 플라즈마 구역(233) 내에서 증가될 수 있다. 이러한 증가는 제1 플라즈마 구역(215)에서의 플라즈마의 위치에 기인할 수 있다. 제2 플라즈마 구역(233)이 원격 플라즈마 시스템(RPS)(201)보다 제1 플라즈마 구역(215)에 더 가깝게 로케이팅되어, 여기된 종이 다른 가스 분자들, 챔버의 벽들 및 샤워헤드의 표면들과의 충돌들을 통해 여기된 상태들에서 벗어날 시간이 더 줄게 된다.
[0023] 전구체로부터 유도되는 여기된 종의 농도의 균일성이 또한 제2 플라즈마 구역(233) 내에서 증가될 수 있다. 이는, 제2 플라즈마 구역(233)의 형상과 더 유사한 제1 플라즈마 구역(215)의 형상에 기인할 수 있다. 원격 플라즈마 시스템(RPS)(201)에서 생성된 여기된 종들은, 샤워헤드(225)의 중심 근처의 애퍼처들(214)을 통과하는 종에 비하여 샤워헤드(225)의 에지들 근처의 애퍼처들(214)을 통과하기 위해 더 먼 거리들을 이동한다. 더 먼 거리는, 여기된 종의 감소된 여기를 초래하고, 예컨대, 기판의 에지 근처에서 더 느린 성장률을 초래할 수 있다. 제1 플라즈마 구역(215)에서 전구체를 여기시키는 것은 이러한 변동을 완화시킨다.
[0024] 전구체들에 부가하여, 다양한 목적들을 위해 다양한 시간들에서 도입되는 다른 가스들이 존재할 수 있다. 챔버 벽들, 기판, 증착된 막 및/또는 증착 동안의 막으로부터 원하지 않는 종을 제거하기 위해 처리 가스가 도입될 수 있다. 처리 가스는 H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2 및 수증기로 이루어진 그룹으로부터의 가스들 중 적어도 하나를 포함할 수 있다. 처리 가스는 플라즈마로 여기될 수 있고, 이어서, 증착된 막으로부터 잔여 유기 함유물을 감소시키거나 또는 제거하기 위해 사용될 수 있다. 다른 구현들에서, 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스가 수증기를 포함하는 경우, 질량 유량계(MFM; mass flow meter) 및 주입 밸브(injection valve)를 사용하여 또는 다른 적절한 수증기 발생기들에 의해 전달이 달성될 수 있다.
[0025] 일 구현에서, 유전체 층은, 유전체 재료 전구체들, 예컨대 실리콘 함유 전구체를 도입하고 그리고 제2 플라즈마 구역(233)에서 프로세싱 전구체들과 반응시킴으로써 증착될 수 있다. 유전체 재료 전구체들의 예들은, 실란, 디실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 테트라에톡시실란(TEOS), 트리에톡시실란(TES), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸-디실록산(TMDSO), 테트라메틸시클로테트라실록산(TMCTS), 테트라메틸-디에톡실-디실록산(TMDDSO), 디메틸-디메톡실-실란(DMDMS), 또는 이들의 결합들을 포함하는 실리콘-함유 전구체들이다. 실리콘 질화물의 증착을 위한 부가적인 전구체들은, SixNyHz-함유 전구체들, 이를테면, 실릴-아민, 및 트리실릴아민(TSA) 및 디실릴아민(DSA)을 포함하는 그 실릴-아민의 유도체들, SixNyHzOzz-함유 전구체들, SixNyHzClzz-함유 전구체들, 또는 이들의 결합들을 포함한다.
[0026] 프로세싱 전구체들은, 수소-함유 화합물들, 산소-함유 화합물들, 질소-함유 화합물들, 또는 이들의 결합들을 포함한다. 적절한 프로세싱 전구체들의 예들은 H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기를 포함한 NxHy 화합물들, NO, N2O, NO2, 수증기, 또는 이들의 결합들로 이루어진 그룹으로부터 선택된 화합물들 중 하나 또는 그 초과를 포함한다. 프로세싱 전구체들은, N* 및/또는 H* 및/또는 O*-함유 라디칼(radical)들 또는 플라즈마, 예컨대, NH3, NH2*, NH*, N*, H*, O*, N*O*, 또는 이들의 결합들을 포함하도록, 이를테면, RPS 유닛에서 플라즈마 여기될 수 있다. 프로세스 전구체들은 대안적으로, 본원에서 설명되는 전구체들 중 하나 또는 그 초과를 포함할 수 있다.
[0027] 프로세싱 전구체들은, N* 및/또는 H* 및/또는 O* 함유 라디칼들 또는 플라즈마, 예컨대 NH3, NH2*, NH*, N*, H*, O*, N*O*, 또는 이들의 결합들을 포함하는 프로세스 가스 플라즈마 및 라디칼들을 생성하도록, 제1 플라즈마 구역(215)에서 플라즈마 여기될 수 있다. 대안적으로, 프로세싱 전구체들은, 제1 플라즈마 구역(215)으로의 도입 전에, 원격 플라즈마 시스템을 통과한 후에 이미 플라즈마 상태에 있을 수 있다.
[0028] 이어서, 여기된 프로세싱 전구체(290)는, 전구체들과의 반응을 위해 애퍼처들(214)을 통해 제2 플라즈마 구역(233)으로 전달된다. 일단 프로세싱 볼륨 내에 있으면, 프로세싱 전구체는 혼합되고 반응하여 유전체 재료들을 증착할 수 있다.
[0029] 일 구현에서, 프로세스 챔버(200)에서 수행되는 유동성 CVD 프로세스는, 폴리실라잔 계 실리콘 함유 막(PSZ-형 막)으로서 유전체 재료들을 증착할 수 있으며, 이는 트렌치(trench)들, 피처들, 비아(via)들, 또는 폴리실라잔 계 실리콘 함유 막이 증착된 기판에 정의된 다른 애퍼처들 내에서 재유동가능하고(reflowable) 충전가능할 수 있다.
[0030] 유전체 재료 전구체들 및 프로세싱 전구체들에 부가하여, 다양한 목적들을 위해 다양한 시간들에서 도입되는 다른 가스들이 존재할 수 있다. 챔버 벽들, 기판, 증착된 막, 및/또는 증착 동안의 막으로부터 원하지 않는 종을 제거하기 위해, 처리 가스, 이를테면, 수소, 탄소, 및 불소가 도입될 수 있다. 프로세싱 전구체 및/또는 처리 가스는 H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기, NO, N2O, NO2, 수증기, 또는 이들의 결합들을 포함하는 그룹으로부터의 가스들 중 적어도 하나를 포함할 수 있다. 처리 가스는 플라즈마로 여기될 수 있고, 이어서, 증착된 막으로부터 잔여 유기 함유물을 감소시키거나 또는 제거하기 위해 사용될 수 있다. 다른 구현들에서, 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스는, RPS 유닛을 통하거나 또는 RPS 유닛을 우회하여 제1 프로세싱 구역 내로 도입될 수 있고, 제1 플라즈마 구역에서 추가로 여기될 수 있다.
[0031] 실리콘 질화물 재료들은, 실리콘 질화물(SixNy), 수소-함유 실리콘 질화물들(SixNyHz), 수소-함유 실리콘 옥시나이트라이드들(SixNyHzOzz)을 포함하는 실리콘 옥시나이트라이드들, 및 염소화 실리콘 질화물들(SixNyHzClzz)을 포함한 할로겐-함유 실리콘 질화물들을 포함한다. 이어서, 증착된 유전체 재료는 실리콘 산화물과 같은 재료로 변환될 수 있다.
프로세싱 시퀀스
[0032] 도 3은 프로세싱 툴(100)에서 수행될 수 있는 프로세스(300)의 일 구현의 흐름도이다. 프로세스는 블록(302)에서 시작되며, 블록(302)에서, 하나 또는 그 초과의 기판들이 대기 로봇(104)의 아암들에 의해 FOUP들(102)의 쌍으로부터 로드 록 챔버들(106)로 이송된다.
[0033] 블록(304)에서, 기판들이 FOUP들(102)로부터 로드 록 챔버들(106)로 이송되기 전에, (예컨대, 베어 실리콘 기판 캘리브레이션(bare silicon substrate calibration)을 수행하기 위해) 기판에 대한 사전-캘리브레이션이 필요한 일부 구현들에서, 대기 로봇(104)은 선택적으로, 임의의 특정 제조 프로세스(들)가 기판 상에 렌더링되기 전에 막 특성들, 이를테면, 막 두께가 획득되도록, 기판들을 FOUP들(102)로부터 대기 홀딩 스테이션(109)으로 그리고 이어서 온-보드 메트롤로지 하우징(133)으로 이동시킬 수 있다. 일단 원하는 막 특성들이 획득되면, 기판들은 대기 로봇(104)의 아암들에 의해 온-보드 메트롤로지 하우징(133)으로부터 로드 록 챔버들(106)로 이송될 수 있다.
[0034] 블록(306)에서, 일단 모든 기판들 또는 원하는 수의 기판들이 측정이 완료되면, 기판들은 대기 로봇(104)에 의해 온-보드 메트롤로지 하우징(133)으로부터 대기 홀딩 스테이션(109)으로 이송된다.
[0035] 기판은, 구조, 이를테면, 얕은 트렌치 격리(STI; shallow trench isolation) 구조를 형성하기 위해 활용되는 층 또는 층들이 상부에 형성되어 있는 실리콘 기판일 수 있다. 일 구현에서, 기판은, 상이한 패턴들 및/또는 피처들을 형성하기 위해 활용되는 다수의 층들, 예컨대, 막 스택을 갖는 실리콘 기판이다. 기판은, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼 SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 실리콘 상에 배치된 금속 층들 등과 같은 재료일 수 있다. 기판은, 200 mm, 300 mm, 또는 450 mm 직경 웨이퍼들 또는 장방형 또는 정방형 패널들과 같이 다양한 형상들 및 치수들 중 임의의 형상 및 치수를 가질 수 있다.
[0036] 블록(308)에서, 이송 챔버(112)의 로봇식 아암(110)은 선택적으로, 하나 또는 그 초과의 기판들을 로드 록 챔버들(106)로부터, 도 2와 관련하여 위에서 설명된 유동성 CVD 프로세스와 같이 기판들을 프로세싱하기 위한 프로세싱 챔버들(108a-f)로 이송한다. 일 구현에서, 기판은 증착 프로세스 챔버, 이를테면, 도 2에 도시된 유동성 화학 기상 증착(CVD) 챔버(200)로 이송된다.
[0037] 블록(310)에서, 일단 기판들이 프로세싱 챔버들(108a-f)에서 프로세싱되면, 로봇식 아암(110)은 프로세싱된 기판들을 프로세싱 챔버들(108a-f)로부터 로드 록 챔버들(106)로 이송한다.
[0038] 블록(312)에서, 대기 로봇(104)은, 다른 후속적으로 프로세싱되는 기판들이 그들의 증착 프로세싱이 완료될 때까지, 또는 원하는 수의 기판들이 배치 경화 챔버(103)에서의 프로세싱을 위해 이용가능할 때까지, 프로세싱된 기판들을 로드 록 챔버들(106)로부터 대기 홀딩 스테이션(109)으로 이송한다. 일 예에서, 프로세싱된 기판들은 대략 40초 내지 대략 120초, 예컨대, 대략 60초 동안 대기 홀딩 스테이션(109)에서 냉각되고 홀딩된다.
[0039] 블록(314)에서, 대기 로봇(104)은, 비교적 높은 온도의 배치 경화 챔버(103) 내에, 어떤 막-증착된 기판도 임의의 다른 막-증착된 기판보다 몇 초를 초과하여 더 오랫동안 잔류하지 않도록, 기판들을 대기 홀딩 스테이션(109)으로부터 배치 경화 챔버(103)로 이동시킨다.
[0040] 유동성 화학 기상 증착 프로세스에 의해 기판이 유전체 재료로 증착되는 경우들에서, 배치 경화 챔버(103)는 고체상 유전체 재료를 형성하기 위해 수분 및 다른 휘발성 성분들을 증착된 유전체 재료로부터 효과적으로 제거하기 위하여 기판을 경화시키고 그리고/또는 열적으로 프로세싱할 수 있다. 결과적으로, 유동성 CVD 프로세스를 통해 형성된 막은, 높은 종횡비 피처들을 갖는 기판 상에 형성되는 경우라 하더라도, 공극들이 거의 없거나 어떤 공극들도 없는 조밀한 고체 유전체 막으로 변환될 수 있다.
[0041] 블록(316)에서, 경화된 또는 열적으로 프로세싱된 기판들은, 기판들을 냉각시키기 위해, 대기 로봇(104)에 의해 배치 경화 챔버(103)로부터 대기 홀딩 스테이션(109)으로 이송된다. 일 예에서, 경화된 또는 열적으로 프로세싱된 기판들은 대략 40초 내지 대략 120초, 예컨대, 대략 60초 동안 대기 홀딩 스테이션(109)에서 홀딩된다.
[0042] 블록(318)에서, 경화된 또는 열적으로 프로세싱된 기판들은 대기 로봇(104)의 아암들에 의해 대기 홀딩 스테이션(109)으로부터, 팩토리 인터페이스(105)에 커플링된 온-보드 메트롤로지 하우징(133)으로 이송된다. 이어서, 온-보드 메트롤로지 하우징(133)의 온-보드 메트롤로지 어셈블리(135/500)는 기판의 막 특성들, 이를테면, 막 두께를 측정한다.
[0043] 블록(320)에서, 일단 모든 기판들 또는 원하는 수의 기판들이 측정이 완료되면, 기판들은 대기 로봇(104)에 의해 온-보드 메트롤로지 하우징(133)으로부터 대기 홀딩 스테이션(109)으로 이송된다.
[0044] 블록(322)에서, 대기 로봇(104)은 기판들을 대기 홀딩 스테이션(109)으로부터 FOUP들(102)의 쌍의 로드 포트로 이동시킨다. 블록들(302 내지 322)에서 열거된 동작들은, FOUP들(102)의 모든 기판들 또는 FOUP들(102)의 원하는 수의 기판들이 프로세싱될 때까지 반복될 수 있다.
온-보드 메트롤로지 어셈블리
[0045] 도 4a는 본원에서 설명되는 구현들에 따른 간략화된 버전의 인-시튜 메트롤로지 어셈블리를 예시한다. 온-보드 메트롤로지 어셈블리(135)는 유사한 동작 원리들에 따라 재-엔지니어링된 설계이다. 간략성을 위해, 인-시튜 메트롤로지 모델이, 메트롤로지 하드웨어의 일반적 양상들을 설명하는 데 사용된다. 온-보드 메트롤로지 어셈블리(135)는 일반적으로, 광 소스(424), 광섬유 다발(fiber-optic bundle)들(426) 및 분광기(spectrograph)(428)를 포함한다. 온-보드 메트롤로지 어셈블리(135)는 또한, 아래에서 도 5a 및 5b에서 논의될 정렬기 모듈(500)을 포함한다.
[0046] 광 소스(424) 및 분광기(428)는 지지 프레임(402)에 의해 고정된다. 지지 프레임(402)은, 분광기(428) 및 광 소스(424)의 기계적 안정성을 개선하기 위해(이는 결국 신호 안정성을 개선함), 온-보드 메트롤로지 하우징(133) 및/또는 팩토리 인터페이스(105)의 벽(107)(도 1에 도시됨)에 직접적으로 또는 간접적으로 커플링될 수 있는 장착 브래킷(450)(도 4b에 도시됨)에 의해 지지된다. 각각의 광섬유 다발(426)은 하나 또는 그 초과의 광섬유 케이블들(429)을 포함할 수 있다. 각각의 광섬유 케이블(429)은 대략 200 미크론의 내부 직경을 가질 수 있으며, 이 내부 직경은 더 작은 내부 직경을 갖는 광섬유 케이블과 비교할 때 신호 세기를 증가시키고 정렬 감도(alignment sensitivity)를 개선한다. 200 미크론 광섬유 케이블들에 있어서, 색채 신호 드리프트(chromatic signal drift)는 중요하지 않다. 6개의 광섬유 다발들(426)이 도시되지만, 프로세스 요건에 따라 더 많은 또는 더 적은 광섬유 다발들(426)이 사용될 수 있다는 것이 고려되어야 한다.
[0047] 도시된 바와 같은 일 구현에서, 각각의 광-섬유 다발(426)은, (광 소스(424)로부터 기판으로의) 소스 신호를 위한 하나 및 (기판으로부터 반사된) 신호를 수신하기 위한 하나인 2개의 광-섬유 케이블들(429)을 포함하고, 2개의 광-섬유 케이블들(429) 각각은 대략 200 미크론의 내부 직경을 가지며, 이는, 광 소스(424)와의 록킹 배향과 무관하게, 광-섬유 케이블 내로 주입되는 광의 무감도(insensitivity)를 보장하고 최대 강도를 위한 단일 포인트를 가능하게 한다. 하나 또는 그 초과의 광섬유 다발들(426) 중 일부는 광 소스(424)에 광학적으로 연결될 수 있으며, 하나 또는 그 초과의 광섬유 다발들(426) 중 일부는 분광기(428)에 광학적으로 연결될 수 있다. 온-보드 메트롤로지 어셈블리(135)는 또한, 제1 단부와 제2 단부 사이에 위치될 수 있는 광섬유 케이블 마운트(404)를 포함할 수 있다. 각각의 광섬유 다발(426)은 광을 광 소스(424)로부터 기판(도시되지 않음) 상의 측정 포인트를 향해 수직 입사로 송신하도록 배열된다. 이어서, 광-섬유 다발(426)은 수직 입사에서의 기판으로부터의 광의 반사를 캡처하고, 이어서, 그 반사를 분광기(428)를 향해 송신한다. 각각의 광-섬유 다발(426)은, 측정 포인트에서, 예컨대, 대략 2 mm의 직경으로 조명하기 위해, 광 소스(424)로부터의 광을 콜리메이팅(collimate)하기 위해 콜리메이터(434)에 커플링된다. 도시된 바와 같은 일 구현에서, 6개의 광섬유 다발들(426)(즉, 광섬유 다발들(426a-f), 이는 도 4b에서 더 양호하게 확인됨)은 각각의 콜리메이터(434a-f)에 각각 커플링된다. 이 구성은, 2개의 프로세싱 챔버들이 하나의 광 소스 및 하나의 분광기를 공유하기 위해 사용되는 경우에 유리할 수 있다. 이러한 경우, 각각의 프로세싱 챔버는, 3개의 광섬유 다발들 및 3개의 콜리메이터들이 사용되는 하나의 프로세싱 구역을 포함할 수 있다. 6개의 콜리메이터들이 도 4a에 도시되지만, 프로세싱 챔버의 구성 및 프로세스 요건에 따라 더 많은 또는 더 적은 콜리메이터들이 사용될 수 있다는 것이 고려된다.
[0048] 광 소스(424)는 짧은 지속기간들로 펄스 광(pulsed light)을 확산시킬 수 있는 플래시 광 소스(flash light source)일 수 있다. 광 소스(424)는 백색 광 소스일 수 있다. 일 구현에서, 광 소스(424)는 크세논 플래시-램프(Xenon flash-lamp)일 수 있다. 광 소스(424)는 확산기(diffuser)를 포함할 수 있어서, 생성된 광이 다수의 광-섬유 다발들, 이를테면, 광-섬유 다발들(426) 및 기준 광-섬유 다발(도시되지 않음)을 통해 균질하게 분배된다. 기준 광-섬유 다발은, 플래시-투-플래시 변동들을 상쇄(cancel out)시키기 위해 또는 광 소스(424)의 시간의 경과에 따른 임의의 변동들/드리프트들을 보상하기 위해, 기준 채널을 제공하도록, 광 소스(424)와 분광기(428) 사이에 연결될 수 있다. 분광기(428)는 CCD(charged-coupled device) 어레이 광 검출기를 포함할 수 있다. 일 구현에서, 분광기(428)는 대략 200 nm 내지 대략 2500 nm, 이를테면, 대략 200 nm 내지 대략 800 nm의 파장 범위를 갖는 비편광(unpolarized light)을 측정할 수 있다. 일부 구현들에서, 광 소스(424)는 자외선(UV; ultraviolet) 광을 생성할 수 있다. 일부 구현들에서, 더 많은 심자외선(DUV; deep ultraviolet) 함량(content)을 갖는 광을 생성하는 광 소스가 사용될 수 있다. 더 많은 DUV 함량을 갖는 광을 생성하기 위한 광 소스의 예들은 플라즈마 구동(plasma driven) 광 소스들 또는 레이저들이다. 일부 구현에서, 적외선 범위(IR; infrared range)의 파장을 갖는 광이 사용될 수 있다.
[0049] 도 4b는 본원에서 설명되는 구현들에 따른 도 4a의 온-보드 메트롤로지 어셈블리(135)의 일부분의 확대도를 예시한다. 도 4b에 도시된 바와 같이, 광 소스(424) 및 분광기(428)는, 분광기(428) 및 광 소스(424)의 기계적 안정성을 개선하기 위해, 지지 프레임(402)에 의해 장착 브래킷(450)에 고정된다. 섬유 SMA 리테이너(fiber SMA retainer)(406)는 신호 안정성을 개선하기 위해, 광-섬유 다발들(426)을 단단히 유지하기 위하여 분광기(428)에 커플링될 수 있다. 섬유 SMA 리테이너(406)가 없는 경우, 광-섬유 다발들(426)은 툴 진동, 수동 터치 및 다른 간섭들로 인해 느슨해지기 쉽다. 유사하게, 섬유 SMA 리테이너(408)는 광 소스(424)에 커플링될 수 있다. 분광기(428) 및 광 소스(424) 상의 섬유 SMA 리테이너들(406, 408)은, 각각, 신호 안정성을 개선하는 것을 돕는다. 광-섬유 케이블 마운트(404)는 광-섬유 다발들(426) 및 기준 광-섬유 다발(440)을 고정시키기 위해 장착 브래킷(450) 상에 배치될 수 있다. 도 4b에 도시된 바와 같이, 광 소스(424)에 커플링된 6개의 광섬유 다발들(426a-f) 및 하나의 기준 광섬유 다발(426g)이 존재하며, 6개의 광섬유 다발들(426a-f) 및 하나의 기준 광섬유 다발(426g)은 분광기(428)에 커플링된다.
[0050] 도 5a는 본 개시내용의 일 구현에 따른, 도 1의 온-보드 메트롤로지 하우징(133)에 배치된 온-보드 메트롤로지 어셈블리(135)의 예시적 셋업의 단면도를 예시한다. 도 5b는 본 개시내용의 일 구현에 따른 온-보드 메트롤로지 어셈블리(135)의 정렬기 모듈(500)의 사시도를 예시한다.
[0051] 정렬기 모듈(500)은 일반적으로, 콜리메이터들(534a-534e) 및 광섬유 다발들(526a-526e)을 포함한다. 온-보드 메트롤로지 어셈블리(135)의 정렬기 모듈(500)은 장착 브래킷(550) 상에 배치된다. 장착 브래킷(550)은, 일단 온-보드 메트롤로지 하우징(133)이 팩토리 인터페이스(105)의 벽(107)과 맞물리면, 하나 또는 그 초과의 강성 지지 브래킷들(523)에 의해 지지된다. 하나 또는 그 초과의 강성 지지 브래킷들(523)은 팩토리 인터페이스(105)의 벽(107) 상에 배치된 기준 데이텀 플레이트(reference datum plate)(602)(도 6a 참조) 상에 장착된다. 온-보드 메트롤로지 하우징(133)의 케이싱은 환기 목적들을 위해 천공(527)될 수 있다. 콜리메이터들과의 간섭 없이 온-보드 메트롤로지 하우징(133) 내로 그리고 온-보드 메트롤로지 하우징(133) 밖으로 기판들의 이송을 가능하게 하기 위해, 온-보드 메트롤로지 어셈블리(135)의 정렬기 모듈(500)은 로봇 블레이드(104)의 이동에 대응하는 높이에 배치된다. 온-보드 메트롤로지 어셈블리(135)의 다른 부분들, 이를테면, 도 4a 및 4b에 도시된 광 소스(424), 광섬유 다발들(426) 및 분광기(428)는 장착 브래킷(550) 아래의 구역에 포지셔닝될 수 있다. 온-보드 메트롤로지 어셈블리(135)를 위한 전자기기, 이를테면, AC 박스가 또한 장착 브래킷(550) 아래에 포지셔닝될 수 있다.
[0052] 온-보드 메트롤로지 하우징(133)은 온-보드 메트롤로지 어셈블리(135) 및 전자기기의 액세스 및 서비스를 가능하게 하기 위해 도어(525)를 갖는다. 온-보드 메트롤로지 어셈블리(135) 및 정렬기 모듈(500)은 제거가능하며, 임의의 적절한 메커니즘, 이를테면, 랙(rack)을 사용하여 온-보드 메트롤로지 하우징(133) 내로 수평으로 슬라이딩될 수 있다.
[0053] 이제 도 5b를 참조하면, 정렬기 모듈(500)은 장착 브래킷(550) 상에 배치된 지지 프레임(502)을 가질 수 있다. 정렬기 모듈(500)은 지지 프레임(502)의 최하부로부터 반경방향으로 연장되는 정렬기 플레이트(507)를 갖는다. 정렬기 플레이트(507)는 자신의 후방측을 가지며, 그 후방측은, 장착 브래킷(550)에 장착된 지지 블록(580)에 의해 지지된다. 장착 브래킷(550)은, 위에서 논의된 바와 같이, 일단 온-보드 메트롤로지 하우징(133)이 팩토리 인터페이스(105)의 벽(107)과 맞물리면, 하나 또는 그 초과의 강성 지지 브래킷들(523)에 의해 지지된다(도 5a 및 6b 참조). 정렬기 플레이트(507)는 기판(511)을 회전시키기 위한 정렬 메커니즘(509)을 갖는다. 도 5d는 정렬기 플레이트(507) 상에 배치된 정렬 메커니즘(509)의 사시도를 예시한다. 동작 동안, 팩토리 인터페이스(105)의 대기 로봇(104)은 대기 홀딩 스테이션으로부터 기판을 픽업하고, 그 기판을 온-보드 메트롤로지 하우징(133) 내의 정렬 메커니즘(509) 상에 위치시킨다. 이어서, 기판(511)은, 정렬기 모듈(500)을 사용하여 기판의 반경들을 따른 다양한 포인트들에서의 두께 측정을 가능하게 하기 위해 정렬 메커니즘(509)에 의해 회전된다.
[0054] 정렬기 모듈(500)은 일반적으로, 복수의 광섬유 다발들(526a, 526b, 526c, 526d, 및 526e) 및 콜리메이터들(534a, 534b, 534c, 534d, 및 534e)을 포함한다. 광섬유 다발들(526a-526e) 각각은 대응하는 콜리메이터(534a-534e)에 각각 커플링된다. 콜리메이터들(534a-534e) 및 광섬유 다발들(526a-526e)은 또한, 측정 데이터를 송신하기 위해, 도 4a에 도시된 광 소스(424), 광섬유 다발들(426) 및 분광기(428)와 전기 통신한다. 콜리메이터들(534a, 534b, 534c, 534d, 및 534e)은 미리 결정된 위치들에서 어댑터 플레이트(503) 상에 장착된다. 도시된 바와 같은 일 구현에서, 콜리메이터(534b)는, 그것의 센서가 기판(511)의 중심에 포커싱되도록, 정렬기 플레이트(507)의 중심에 배치된다. 다른 4개의 콜리메이터들(534a, 534c, 534d, 및 534e)은, 기판의 4개의 상이한 반경방향 구역들, 예컨대, R 49.33 mm, R 98.67 mm, R 147 mm, 및 R 148 mm에 대응하는 위치들에 배치되어, 그러한 포지션들에서의 막 두께를 측정할 수 있다. 프로세스 요건 및/또는 기판의 사이즈에 따라, 상이한 반경들이 고려된다. 기판은, 반경들을 따라 다양한 포인트들의 두께를 측정하고, 그에 따라, 기판 상의 막 두께를 맵핑하기 위해, 임의의 각도만큼 회전될 수 있다. 도시된 바와 같은 일 구현에서, 콜리메이터들(534b, 534c, 및 534d)은 어댑터 플레이트(503)의 반경을 따라 정렬된다. 더 많은 또는 더 적은 콜리메이터들이 고려된다는 것이 고려된다. 일 구현에서, (콜리메이터들(534a, 534b, 534c, 534d, 및 534e) 중 임의의 콜리메이터들로부터 선택된) 단지 4개의 콜리메이터들이 온-보드 메트롤로지 어셈블리(135)에서 사용된다.
[0055] 어댑터 플레이트(503)는 레벨링 스터드/너트(leveling stud/nut)(508, 510, 512)를 통해 정렬기 플레이트(507)에 의해 지지될 수 있다. 레벨링 스터드/너트(508, 510, 512)는 어댑터 플레이트를 레벨링하기 위한 임의의 적절한 메커니즘, 이를테면, 구형 베어링(spherical bearing)일 수 있다. 레벨링 스터드/너트(508, 510, 512)는 상이한 위치들에서 장착 브래킷(550)에 대해 어댑터 플레이트(503)의 수직 및/또는 수평 레벨링을 독립적으로 조정하도록 구성된다. 어댑터 플레이트(503)는 레벨링 스터드/너트(508, 510, 및 512)를 사용하여 장착 브래킷(550)과 평행하게(for parallelism with) 레벨링될 수 있다. 3개의 포인트 레벨링 메커니즘이 유리할 수 있는데, 왜냐하면, 로봇 블레이드, 이를테면, 도 1 및 5a에 도시된 대기 로봇(104)과의 어떤 간섭도 없기 때문이다.
[0056] 콜리메이터들(534a-534e) 각각은, 도 5c에 도시된 바와 같이, 어댑터 플레이트(503)에 형성된 대응하는 개구(540)를 통해 연장될 수 있다. 개구(540)는 콜리메이터들(534)의 폭보다 약간 더 넓을 수 있어서, 콜리메이터들은, 콜리메이터들을 개구(540)에 위치시킬 때의 약간의 오정렬(misalignment)을 용인할 수 있다.
[0057] 도시되지 않았지만, 팩토리 인터페이스(105)로부터의 압축된 공기가 재순환 없이 원활하게 온-보드 메트롤로지 하우징(133)에 진입하고 그리고 온-보드 메트롤로지 하우징(133)을 떠나도록, 천공된 시트들을 갖는 배기 덕트/채널이 온-보드 메트롤로지 하우징(133) 내부에 제공될 수 있다. 예컨대, 배기 덕트/채널은 장착 브래킷(550) 근처의 위치들에 그리고/또는 온-보드 메트롤로지 하우징(133)을 따라 제공될 수 있다. 배기 덕트/채널은, 층류(laminar flow)(582)가 팩토리 인터페이스(105)로부터 온-보드 메트롤로지 하우징(133) 내로 도입되도록, 제공된다. 층류(582)는, 측정 및/또는 최종 칩에 영향을 미칠 수 있는 어떤 입자들도 기판 상에 축적되지 않도록, 기판(511) 위에 유지된다. 온-보드 메트롤로지 하우징(133) 내부에 층류를 유지함으로써, 기판(511)으로부터 임의의 아웃개싱(outgassing)이 배기되고, 이에 의해, 콜리메이터들(534a-534e)(도 5b)의 열화가 방지될 수 있다. 이어서, 층류(582)는 펌프(584)를 통해 온-보드 메트롤로지 하우징(133) 외부로 펌핑된다. 층류(582)는 임의의 적절한 불활성 가스, 이를테면, 아르곤 또는 헬륨을 포함할 수 있다는 것이 고려된다.
[0058] 온-보드 메트롤로지 하우징(133)을 팩토리 인터페이스(105)와 어셈블링하기 위해, 메커니즘, 이를테면, 지지 브래킷들(523)이 온-보드 메트롤로지 하우징(133)을 팩토리 인터페이스(105)에 대해 정확하게 정렬하는 데 사용될 수 있다. 그 메커니즘은 매번 동일한 정확도로, 온-보드 메트롤로지 하우징(133)을 벽(107) 상에 로케이팅할 수 있다. 도 6a는 온-보드 메트롤로지 하우징(133)과 맞물리기 전의 팩토리 인터페이스(105)의 벽(107)의 사시도를 예시한다. 벽(107)에는 기준 데이텀 플레이트(602)가 제공된다. 하나 또는 그 초과의 강성 지지 브래킷들(523)은 기준 데이텀 플레이트(602) 상에 장착된다.
[0059] 도 6b는 본 개시내용의 일 구현에 따른 지지 브래킷들(523)의 사시도를 예시한다. 하나 또는 그 초과의 지지 브래킷들(523)은 플레이트(606)를 통해 서로 연결될 수 있다. 플레이트(606)는, 작업자가 온-보드 메트롤로지 하우징(133)을 원하는 정밀도로 팩토리 인터페이스(105)를 향해 푸시하는 것을 가능하게 하기 위해 배열된 하나 또는 그 초과의 핀(pin)들(604)(단지 하나만 도시됨)을 가질 수 있다. 지지 브래킷들(523)은 또한, 일단 온-보드 메트롤로지 하우징(133)이 벽(107)과 맞물리면, 온-보드 메트롤로지 하우징(133)을 견고하게 홀딩하기 위한 2개 또는 그 초과의 정렬 메커니즘들(605)을 가질 수 있다.
[0060] 도 6c는 후방측을 도시하는 온-보드 메트롤로지 하우징(133)의 사시도를 예시한다. 온-보드 메트롤로지 하우징(133)의 후방측(즉, 기준 데이텀 플레이트(602)를 향하는 측)은 핀(들)(604)의 통과를 가능하게 하도록 사이즈가 정해진 하나 또는 그 초과의 슬롯들(608)(단지 하나만 도시됨)을 가질 수 있다. 온-보드 메트롤로지 하우징(133)은 또한, 지지 브래킷들(523)의 정렬 메커니즘들(605)을 수용하기 위한 하나 또는 그 초과의 메이팅 위치들(610)을 가져서, 온-보드 메트롤로지 어셈블리(135)를 팩토리 인터페이스(105)의 벽(107)과 함께 어셈블링할 수 있다. 수직 슬롯들(608) 및 메이팅 위치들(610)은 한 명의 단일 작업자에 의한 어셈블리의 용이성을 보장하기 위해 제공된다. 온-보드 메트롤로지 하우징(133)은 또한, 작업자가 온-보드 메트롤로지 하우징(133)을 팩토리 인터페이스(105)와 함께 어셈블링 및/또는 정렬하는 동안 수평 축들을 중심으로 온-보드 메트롤로지 하우징(133)의 회전을 방지하기 위해 3개 또는 그 초과의 캐스터 휠(castor wheel)들(612)을 포함할 수 있다. 원하는 경우, 온-보드 메트롤로지 하우징(133)을 상승시키거나 또는 하강시키기 위해, 하나 또는 그 초과의 레벨링 피트(leveling feet)(614)가 온-보드 메트롤로지 하우징(133)의 최하부에 배치될 수 있다. 일단 온-보드 메트롤로지 하우징(133)이 작업자에 의해 정렬되고 푸시되면, 온-보드 메트롤로지 하우징(133)은 지지 브래킷들(523)에 대해 놓인다.
[0061] 동작에서, 로봇 블레이드(예컨대, 도 1에 도시된 대기 로봇(104))는 기판을 대기 홀딩 스테이션(109)으로부터, 온-보드 메트롤로지 어셈블리(135)를 포함하는 온-보드 메트롤로지 하우징(133)으로 이동시킬 수 있다. 광 소스는 광 소스로부터의 광 신호를 안정화시키기 전에 대략 60초 동안 턴온될 수 있다. 대안적으로, 광 소스는 막 두께를 측정하기 위해, 두께 측정의 종료까지의 시간들에서 항상 온(on)되어 있을 수 있다. 로봇 블레이드는 기판을 정렬 메커니즘(509) 상에 위치시키며, 여기서, 기판이 온-보드 메트롤로지 하우징(133) 내에 적절하게 배향되고 그리고 측정을 위해 준비되는 것을 가능하게 하기 위해, 기판 상의 정렬 마킹, 이를테면, 정렬 노치(alignment notch)를 발견하기 위해 기판이 회전된다. 배향 또는 기판의 배향이라는 용어는, 기판의 중심 대칭 축을 중심으로 한 기판의 회전 포지션을 나타낸다.
[0062] 일단 정렬 마킹이 식별되고 기판이 안정화되면, 사전-턴온된 광 소스는, 측정을 위해 기판 표면을 조명하기 위하여, 광을 광섬유 케이블들을 통해 광섬유 다발들(426a-f) 및 광섬유 다발(526a-e)로, 그리고 이어서, 콜리메이터들(534a-e)로 균질하게 분배한다. 광섬유 케이블들은 200-800 nm의 범위의 광대역 광을 콜리메이팅함으로써, 기판 표면으로부터 반사된 신호를 수집한다. 두께 측정의 동작 동안, 기판은 대략 1.5초 동안 조명될 수 있다. 이어서, 기판은 기판에 대한 다음 측정을 수행하기 위해 정렬 메커니즘(509)에 의해 반시계방향으로 또는 시계방향으로 회전된다. 일 구현에서, 기판의 360° 회전이 완료될 때까지, 각각의 측정에 대해 기판을 60° 회전시킴으로써, 6개의 독립적인 측정들이 수행된다. 기판 안정성을 위해, 각각의 회전 후에 그리고 다음 측정이 수행되기 전에, (진동 정보 및 툴 테스트에 기반하여) 미리 결정된 대기 기간이 있을 수 있다.
[0063] 원하는 경우, 콜리메이터 당 평균 파장-종속적 배경 신호를 획득하기 위해, 시간 기간, 이를테면, 대략 10초 동안 모든 콜리메이터들에 대한 배경 신호들이 수집될 수 있다. 베어 실리콘 기판 캘리브레이션이 요구되는 일부 구현들에서, 모든 콜리메이터를 캘리브레이팅하기 위해, 베어 실리콘 기판 신호들이 수집될 수 있다. 콜리메이터 당 평균 파장-종속적 신호를 획득하기 위해, 시간 기간, 이를테면, 대략 30초 동안, 베어 실리콘 기판으로부터 반사된 신호가 수집될 수 있다. 회전에 따른 기판의 흔들림(wobbliness)으로 인한 임의의 잠재적 에러를 상쇄시키기 위해, 이 캘리브레이션은, 정렬기 플레이트(507)의 중심에 있는 것을 제외한, 각각의 콜리메이터에 대해, 타겟 기판 두께 회전에 대응하는 수의 회전까지 연장될 수 있다. 이어서, 알려진 베어 실리콘 특성들에 기반하여, 타겟 프로세싱된 기판의 반사율이 측정되어, 막 두께 계산에 사용될 수 있다. 일부 구현들에서, 반사된 신호 및 측정된 두께를 수집하기 위해, FOUP들(102)(도 1) 중 임의의 FOUP(102)의 기판은 온-보드 메트롤로지 하우징(133) 내의 정렬 메커니즘(509) 상으로 이송될 수 있다.
[0064] 반사된 신호의 수집 및 기판의 특정 배향에 대한 두께의 대응하는 측정은, 웨이퍼 상의 그 특정 위치에 대한 모든 데이터-포인트들 또는 원하는 수의 데이터-포인트들을 사용하여 막의 평균된 두께를 획득하기 위해, 시간 기간 동안 계속될 수 있는데, 이를테면, 15 데이터-포인트들의 두께에 대해 대략 1.5초 동안 계속될 수 있다. 이어서, 기판은 다음 위치들에 대한 두께 측정을 위해 임의의 원하는 각도, 이를테면, 60°만큼 회전될 수 있다. 신호 수집 및 두께 측정은, 타겟 기판이 회전하고 안정화되는 기간 동안 중지될 수 있다. 타겟 기판의 회전 및 측정은, 원하는 회전수들, 이를테면, 5회전이 완료되고 대응하는 두께들이 획득될 때까지 계속될 수 있다. 기판 상의 막 두께 맵은 이러한 모든 회전들을 통해 측정된 두께를 사용하여 생성될 수 있다.
[0065] 일단 측정이 완료되면, 기판은 대기 로봇(104)에 의해 온-보드 메트롤로지 하우징(133)으로부터 다시(back) 대기 홀딩 스테이션(109)으로, 그리고 이어서, FOUP들(102)의 쌍(도 1)의 로드 포트로 이송된다. 이러한 측정 절차는, 모든 또는 원하는 수의 기판들이 프로세싱될 때까지, 온-보드 메트롤로지 하우징(133) 내에 수용된 다음 기판에 대해 반복될 수 있다. 막의 반사율의 측정 및 분석은, 두께 정보, 막 모폴로지, 및/또는 막의 다른 파라미터들이 실시간으로 모니터링되는 서버에서 동시적으로 수행될 수 있다. 측정 데이터는, 두께 균일성이 드리프트하도록 야기하는 시스템 결함이 있는지를 결정하기 위해 분석된다. 일단 결함이 식별되면, 결함의 원인(들)이 식별되거나 수정될 때까지, 추가 기판 프로세싱을 방지하도록 프로세싱 툴이 셋업될 수 있다. 이어서, 기판은 두께 균일성을 튜닝하기 위해 튜닝된 컨디션들을 사용하는 프로세스로 진행된다.
[0066] 온-보드 메트롤로지 어셈블리(135) 및 정렬기 모듈(500)은, 측정된 두께의 결과적인 드리프트가 중요하지 않은 포인트에 대한 색채 신호 드리프트를 감소시킨다. 증착 후에 온-보드 메트롤로지 어셈블리(135) 및 정렬기 모듈(500)을 사용한 연속 측정을 위한 두께 드리프트는 거의 무시가능하다. 온-보드 메트롤로지 어셈블리(135) 및 정렬기 모듈(500)은 견고하고, 높은 정확도(옹스트롬-미만(sub-angstrom) 수준)로 두께를 측정할 수 있다.
[0067] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 구현들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 장치로서,
    팩토리 인터페이스(factory interface); 및
    로드 포트(load port)를 통해 상기 팩토리 인터페이스에 제거가능하게 커플링된 메트롤로지 하우징(metrology housing)을 포함하며,
    상기 메트롤로지 하우징은 상기 메트롤로지 하우징 내로 이송될 기판의 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리(on-board metrology assembly)를 포함하는,
    장치.
  2. 제1 항에 있어서,
    상기 온-보드 메트롤로지 어셈블리는,
    광 소스;
    분광기(spectrograph);
    상기 광 소스 및 상기 분광기를 고정하는 지지 프레임 ― 상기 지지 프레임은 상기 팩토리 인터페이스의 벽에 제거가능하게 커플링됨 ―;
    기판 회전 메커니즘을 갖는 정렬기 플레이트(aligner plate) ― 상기 정렬기 플레이트는 상기 팩토리 인터페이스의 벽에 제거가능하게 커플링된 장착 브래킷(mounting bracket)에 의해 지지됨 ―;
    상기 광 소스 및 상기 분광기에 광학적으로 연결된 광섬유 다발(fiber-optic bundle); 및
    상기 광섬유 다발에 커플링된 콜리메이터(collimator)를 포함하며,
    상기 콜리메이터는 상기 정렬기 플레이트의 중심에 배치되는,
    장치.
  3. 제2 항에 있어서,
    상기 콜리메이터는 상기 회전 메커니즘 상에 위치될 기판의 상이한 반경방향 구역들에 대응하는 위치들에 배치되는,
    장치.
  4. 장치로서,
    팩토리 인터페이스;
    제1 로드 포트를 통해 상기 팩토리 인터페이스의 제1 측에 커플링된 제1 저장 포드(storage pod) ― 상기 제1 저장 포드는 하나 또는 그 초과의 기판 캐리어들을 포함함 ―;
    제2 로드 포트를 통해 상기 팩토리 인터페이스의 제1 측에 제거가능하게 커플링된 메트롤로지 하우징 ― 상기 메트롤로지 하우징은 상기 메트롤로지 하우징 내로 이송될 기판의 막 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함함 ―; 및
    상기 팩토리 인터페이스의 제2 측에 커플링되고 그리고 진공 환경에서 동작하는 로드 록 챔버(load lock chamber)를 포함하며,
    상기 팩토리 인터페이스는 상기 메트롤로지 하우징 및 상기 로드 록 챔버에 대한 액세스를 제공하도록 구성된 로봇을 갖는,
    장치.
  5. 제4 항에 있어서,
    상기 온-보드 메트롤로지 어셈블리는,
    광 소스;
    분광기;
    상기 광 소스 및 상기 분광기를 고정하는 지지 프레임;
    상기 광 소스 및 상기 분광기에 광학적으로 연결된 제1 세트의 광섬유 다발들; 및
    상기 제1 세트의 광섬유 다발들에 커플링된 제1 세트의 콜리메이터들을 포함하는,
    장치.
  6. 제5 항에 있어서,
    상기 온-보드 메트롤로지 어셈블리는 정렬기 모듈(aligner module)을 더 포함하며,
    상기 정렬기 모듈은,
    회전 메커니즘을 갖는 정렬기 플레이트;
    상기 제1 세트의 광섬유 다발들과 전기 통신하는 제2 세트의 광섬유 다발들; 및
    상기 제1 세트의 콜리메이터들과 전기 통신하는 제2 세트의 콜리메이터들을 포함하며,
    상기 제2 세트의 광섬유 다발들은 상기 제2 세트의 콜리메이터들에 커플링되는,
    장치.
  7. 제6 항에 있어서,
    상기 정렬기 모듈은 장착 브래킷 상에 배치되고, 그리고 상기 장착 브래킷은 하나 또는 그 초과의 지지 브래킷들에 의해 지지되는,
    장치.
  8. 제7 항에 있어서,
    상기 하나 또는 그 초과의 지지 브래킷들은 상기 팩토리 인터페이스의 벽 상에 배치된 기준 데이텀 플레이트(reference datum plate) 상에 장착되고, 그리고
    상기 지지 브래킷들은 플레이트를 통해 서로 연결되고, 그리고 상기 플레이트는 하나 또는 그 초과의 안내 핀들을 갖는,
    장치.
  9. 제8 항에 있어서,
    상기 메트롤로지 하우징은 상기 하나 또는 그 초과의 안내 핀들의 통과를 가능하게 하기 위해 상기 메트롤로지 하우징의 후방측 상에 슬롯을 갖는,
    장치.
  10. 제6 항에 있어서,
    상기 온-보드 메트롤로지 어셈블리는 어댑터 플레이트를 더 포함하며, 상기 제2 세트의 콜리메이터들은 상기 어댑터 플레이트 상에 장착되는,
    장치.
  11. 제10 항에 있어서,
    상기 제2 세트의 콜리메이터들 중 제1 콜리메이터는 상기 정렬기 플레이트의 중심에 배치되고, 그리고 상기 제2 세트의 콜리메이터들 중 제2 콜리메이터, 제3 콜리메이터, 제4 콜리메이터, 및 제5 콜리메이터는 상기 메트롤로지 하우징 내로 이송될 기판의 4개의 상이한 반경방향 구역들에 대응하는 위치들에 배치되는,
    장치.
  12. 프로세싱 툴로서,
    로봇식 아암(robotic arm)을 갖는 이송 챔버;
    대기 로봇(atmospheric robot)을 갖는 팩토리 인터페이스;
    상기 팩토리 인터페이스에 커플링된 배치 경화 챔버(batch curing chamber);
    상기 이송 챔버에 커플링되는 제1 측 및 상기 팩토리 인터페이스의 제1 측에 커플링되는 제2 측을 갖는 로드 록 챔버 ― 상기 로드 록 챔버는 상기 대기 로봇으로부터 하나 또는 그 초과의 기판들을 수용하도록 구성됨 ―;
    상기 이송 챔버에 커플링된 유동성 CVD 증착 챔버;
    제1 로드 포트를 통해 상기 팩토리 인터페이스의 제2 측에 커플링된 제1 저장 포드 ― 상기 제1 저장 포드는 하나 또는 그 초과의 기판 캐리어들을 포함함 ―; 및
    제2 로드 포트를 통해 상기 팩토리 인터페이스의 제2 측에 커플링된 메트롤로지 하우징을 포함하며,
    상기 메트롤로지 하우징은 상기 대기 로봇에 의해 상기 메트롤로지 하우징 내로 이송될 기판의 막 특성들을 측정하기 위한 온-보드 메트롤로지 어셈블리를 포함하는,
    프로세싱 툴.
  13. 제12 항에 있어서,
    상기 팩토리 인터페이스는,
    상기 제2 측 상의 상기 팩토리 인터페이스의 벽 상에 배치된 기준 데이텀 플레이트; 및
    상기 기준 데이텀 플레이트 상에 장착된 하나 또는 그 초과의 지지 브래킷들을 더 포함하며,
    상기 하나 또는 그 초과의 지지 브래킷들은 적어도 하나의 안내 핀을 갖는,
    프로세싱 툴.
  14. 제13 항에 있어서,
    상기 메트롤로지 하우징은 상기 메트롤로지 하우징의 제1 측 상에 배치된 도어 및 상기 메트롤로지 하우징의 제2 측 상에 배치된 슬롯을 포함하며, 상기 제2 측은 상기 제1 측에 대향하고, 그리고 상기 슬롯은 상기 안내 핀의 통과를 가능하게 하도록 사이즈가 정해지는,
    프로세싱 툴.
  15. 제14 항에 있어서,
    상기 온-보드 메트롤로지 어셈블리는 회전 메커니즘을 갖는 정렬기 플레이트를 포함하고, 그리고 상기 회전 메커니즘은 상기 기판을 지지하고 그리고 회전시키는,
    프로세싱 툴.
KR1020187017868A 2015-11-23 2016-11-01 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향 KR102680059B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562258894P 2015-11-23 2015-11-23
US62/258,894 2015-11-23
PCT/US2016/059944 WO2017091331A1 (en) 2015-11-23 2016-11-01 On-board metrology (obm) design and implication in process tool

Publications (2)

Publication Number Publication Date
KR20180075702A true KR20180075702A (ko) 2018-07-04
KR102680059B1 KR102680059B1 (ko) 2024-06-28

Family

ID=58721061

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187017868A KR102680059B1 (ko) 2015-11-23 2016-11-01 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향

Country Status (5)

Country Link
US (1) US10388549B2 (ko)
KR (1) KR102680059B1 (ko)
CN (1) CN108292589B (ko)
TW (1) TWI676872B (ko)
WO (1) WO2017091331A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020149916A1 (en) * 2019-01-16 2020-07-23 Applied Materials, Inc. Optical stack deposition and on-board metrology

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018031193A1 (en) * 2016-08-12 2018-02-15 Applied Materials, Inc. Critical methodology in vacuum chambers to determine gap and leveling between wafer and hardware components
US11482434B2 (en) * 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US20180366354A1 (en) 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
WO2020033046A1 (en) 2018-08-08 2020-02-13 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
US11177183B2 (en) * 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
KR102721977B1 (ko) * 2019-10-07 2024-10-28 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
JP7511380B2 (ja) * 2020-05-01 2024-07-05 東京エレクトロン株式会社 処理システム
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20230054584A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Factory interface with redundancy
US12216455B2 (en) 2022-01-25 2025-02-04 Applied Materials, Inc. Chamber component condition estimation using substrate measurements
US12148647B2 (en) 2022-01-25 2024-11-19 Applied Materials, Inc. Integrated substrate measurement system
CN116121862B (zh) * 2022-02-15 2023-07-07 北京大学 垂直式光辅助金属有机物化学气相沉积装置及其沉积方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062625A (ko) * 1999-12-23 2001-07-07 조셉 제이. 스위니 로봇을 사용하여 기판을 정렬시키는 장치 및 방법
KR20030051784A (ko) * 2001-09-19 2003-06-25 올림파스 고가꾸 고교 가부시키가이샤 반도체웨이퍼검사장치
KR20050094543A (ko) * 2004-03-23 2005-09-28 삼성전자주식회사 반도체 웨이퍼를 검사하는 검사 시스템 및 검사 방법
JP2007149903A (ja) * 2005-11-28 2007-06-14 Tokyo Electron Ltd 欠損基板の検出方法及びその検出装置
US20080187413A1 (en) * 2007-02-05 2008-08-07 Tokyo Electron Limited Vacuum processing apparatus and method, and storage medium for executing the method
JP2012508454A (ja) * 2008-11-07 2012-04-05 アプライド マテリアルズ インコーポレイテッド インラインウェハ厚さ感知
KR20140040046A (ko) * 2012-09-25 2014-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치
JP2014060395A (ja) * 2012-09-14 2014-04-03 St Microelectron Inc 一様性及び表面電荷の完全なウエハマップを得るためのインライン計測

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5311286A (en) * 1992-04-01 1994-05-10 Materials Technologies Corporation Apparatus and method for optically measuring a surface
US7177019B2 (en) * 1999-02-01 2007-02-13 Tokyo Electron Limited Apparatus for imaging metrology
EP1139390A1 (en) * 2000-03-28 2001-10-04 Infineon Technologies AG Semiconductor wafer pod
US6579149B2 (en) * 2001-02-06 2003-06-17 International Business Machines Corporation Support and alignment device for enabling chemical mechanical polishing rinse and film measurements
US7243003B2 (en) 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US8698327B2 (en) * 2003-07-14 2014-04-15 Rudolph Technologies, Inc. Substrate handler
JP2008521261A (ja) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド バッチ処理チャンバを用いた基板処理装置
US7566900B2 (en) 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US7746089B2 (en) * 2006-09-29 2010-06-29 Formfactor, Inc. Method and apparatus for indirect planarization
US7485869B2 (en) * 2007-02-27 2009-02-03 Metrosol, Inc. Prism spectrometer
TWI398930B (zh) * 2007-08-01 2013-06-11 Applied Materials Inc 識別基板上薄膜的方法和設備
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
CN103346116B (zh) * 2008-10-07 2016-01-13 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US8989890B2 (en) * 2008-11-07 2015-03-24 Applied Materials, Inc. GST film thickness monitoring
PL2251454T3 (pl) * 2009-05-13 2014-12-31 Sio2 Medical Products Inc Powlekanie i kontrola pojemnika
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8546449B2 (en) * 2011-03-24 2013-10-01 Enviro Tech Chemical Services, Inc. Methods and compositions for the generation of peracetic acid on site at the point-of-use
JP6118030B2 (ja) * 2011-04-05 2017-04-19 キヤノン株式会社 測定装置、露光装置及びデバイスの製造方法
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6842300B2 (ja) * 2013-03-15 2021-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板位置アライナ
NL2012432A (en) * 2013-04-30 2014-11-03 Asml Netherlands Bv Lithography cluster, method and control unit for automatic rework of exposed substrates.
KR102049445B1 (ko) * 2013-05-31 2019-11-28 삼성디스플레이 주식회사 레이저 빔 조사 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
JP2016539489A (ja) * 2013-09-20 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 集積静電チャックを備えた基板キャリア
KR101566383B1 (ko) * 2014-04-07 2015-11-05 한국표준과학연구원 기하학적 두께와 굴절률 측정을 위한 반사형 광섬유 간섭 장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062625A (ko) * 1999-12-23 2001-07-07 조셉 제이. 스위니 로봇을 사용하여 기판을 정렬시키는 장치 및 방법
KR20030051784A (ko) * 2001-09-19 2003-06-25 올림파스 고가꾸 고교 가부시키가이샤 반도체웨이퍼검사장치
KR20050094543A (ko) * 2004-03-23 2005-09-28 삼성전자주식회사 반도체 웨이퍼를 검사하는 검사 시스템 및 검사 방법
JP2007149903A (ja) * 2005-11-28 2007-06-14 Tokyo Electron Ltd 欠損基板の検出方法及びその検出装置
US20080187413A1 (en) * 2007-02-05 2008-08-07 Tokyo Electron Limited Vacuum processing apparatus and method, and storage medium for executing the method
JP2012508454A (ja) * 2008-11-07 2012-04-05 アプライド マテリアルズ インコーポレイテッド インラインウェハ厚さ感知
JP2014060395A (ja) * 2012-09-14 2014-04-03 St Microelectron Inc 一様性及び表面電荷の完全なウエハマップを得るためのインライン計測
KR20140040046A (ko) * 2012-09-25 2014-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020149916A1 (en) * 2019-01-16 2020-07-23 Applied Materials, Inc. Optical stack deposition and on-board metrology
US10886155B2 (en) 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology

Also Published As

Publication number Publication date
CN108292589B (zh) 2023-05-16
US10388549B2 (en) 2019-08-20
TW201729018A (zh) 2017-08-16
KR102680059B1 (ko) 2024-06-28
WO2017091331A1 (en) 2017-06-01
US20170148654A1 (en) 2017-05-25
CN108292589A (zh) 2018-07-17
TWI676872B (zh) 2019-11-11

Similar Documents

Publication Publication Date Title
KR102680059B1 (ko) 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향
US12203171B2 (en) Batch curing chamber with gas distribution and individual pumping
US9997372B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20180330985A1 (en) Staircase encapsulation in 3d nand fabrication
CN102543831B (zh) 用于半导体制造中的可流动沉积的系统和装置
JP3901265B2 (ja) 薄板状基体の搬送方法及び搬送装置
KR20150102738A (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
US20090016406A1 (en) Novel method for monitoring and calibrating temperature in semiconductor processing chambers
CN101484973A (zh) 用于先进前段工艺的群集设备
KR102660983B1 (ko) Pecvd 프로세스들 동안 두께 측정을 위한 인-시츄 계측 방법
TWI490912B (zh) Pattern forming method and manufacturing method of semiconductor device
CN105023856A (zh) 间隙充填技术中的准确薄膜厚度控制
US8021513B2 (en) Substrate carrying apparatus and substrate carrying method
US20080090310A1 (en) Substrate processing apparatus and substrate processing termination detection method
US20240355683A1 (en) Method of metrology on pattern wafer using reflectometry
US10672642B2 (en) Systems and methods for pedestal configuration
CN117120663A (zh) 处理基板的方法及设备
US20230290653A1 (en) Etching method and etching apparatus
TW202314206A (zh) 用於增強光譜資料收集的終點偵測系統

Legal Events

Date Code Title Description
PA0105 International application

Patent event date: 20180622

Patent event code: PA01051R01D

Comment text: International Patent Application

PG1501 Laying open of application
A201 Request for examination
PA0201 Request for examination

Patent event code: PA02012R01D

Patent event date: 20211018

Comment text: Request for Examination of Application

E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20240327

PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20240626

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20240626

End annual number: 3

Start annual number: 1

PG1601 Publication of registration