CN117352374A - 半导体器件的制造方法 - Google Patents
半导体器件的制造方法 Download PDFInfo
- Publication number
- CN117352374A CN117352374A CN202310531991.5A CN202310531991A CN117352374A CN 117352374 A CN117352374 A CN 117352374A CN 202310531991 A CN202310531991 A CN 202310531991A CN 117352374 A CN117352374 A CN 117352374A
- Authority
- CN
- China
- Prior art keywords
- layer
- group
- silicon
- groups
- substituent
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 42
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 22
- 238000000034 method Methods 0.000 title claims description 85
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 162
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 159
- 239000010703 silicon Substances 0.000 claims abstract description 159
- 239000000178 monomer Substances 0.000 claims abstract description 110
- 230000005855 radiation Effects 0.000 claims abstract description 104
- 239000000463 material Substances 0.000 claims abstract description 86
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical group [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims abstract description 68
- 239000000654 additive Substances 0.000 claims abstract description 60
- 230000000996 additive effect Effects 0.000 claims abstract description 59
- 239000000758 substrate Substances 0.000 claims abstract description 51
- 239000011368 organic material Substances 0.000 claims abstract description 6
- 239000000203 mixture Substances 0.000 claims description 121
- -1 sulfonium cation Chemical class 0.000 claims description 57
- 238000010438 heat treatment Methods 0.000 claims description 27
- 150000001450 anions Chemical class 0.000 claims description 24
- 238000004132 cross linking Methods 0.000 claims description 24
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N phenol group Chemical group C1(=CC=CC=C1)O ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 claims description 22
- 125000005073 adamantyl group Chemical group C12(CC3CC(CC(C1)C3)C2)* 0.000 claims description 18
- 150000001768 cations Chemical class 0.000 claims description 18
- 125000006736 (C6-C20) aryl group Chemical group 0.000 claims description 17
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 14
- 238000004528 spin coating Methods 0.000 claims description 13
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 claims description 11
- 125000004183 alkoxy alkyl group Chemical group 0.000 claims description 11
- 125000005157 alkyl carboxy group Chemical group 0.000 claims description 11
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims description 11
- 239000011521 glass Substances 0.000 claims description 11
- 125000000008 (C1-C10) alkyl group Chemical group 0.000 claims description 10
- 125000006376 (C3-C10) cycloalkyl group Chemical group 0.000 claims description 10
- 239000006117 anti-reflective coating Substances 0.000 claims description 10
- 125000002768 hydroxyalkyl group Chemical group 0.000 claims description 10
- 125000002339 acetoacetyl group Chemical group O=C([*])C([H])([H])C(=O)C([H])([H])[H] 0.000 claims description 9
- 125000003837 (C1-C20) alkyl group Chemical group 0.000 claims description 8
- 125000000041 C6-C10 aryl group Chemical group 0.000 claims description 8
- 125000003710 aryl alkyl group Chemical group 0.000 claims description 8
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 7
- 125000002346 iodo group Chemical group I* 0.000 claims description 6
- 238000000059 patterning Methods 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 540
- 229920002120 photoresistant polymer Polymers 0.000 description 112
- 229920000642 polymer Polymers 0.000 description 53
- 239000002904 solvent Substances 0.000 description 42
- 230000008569 process Effects 0.000 description 41
- 239000002253 acid Substances 0.000 description 26
- 229910052751 metal Inorganic materials 0.000 description 24
- 239000002184 metal Substances 0.000 description 24
- 239000003431 cross linking reagent Substances 0.000 description 20
- 239000011229 interlayer Substances 0.000 description 20
- 239000003446 ligand Substances 0.000 description 19
- 239000004971 Cross linker Substances 0.000 description 17
- 150000002430 hydrocarbons Chemical group 0.000 description 17
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 16
- 238000005530 etching Methods 0.000 description 15
- 230000004888 barrier function Effects 0.000 description 13
- 229910052799 carbon Inorganic materials 0.000 description 13
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 12
- 239000007822 coupling agent Substances 0.000 description 12
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 12
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 12
- 239000002923 metal particle Substances 0.000 description 11
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 10
- 125000001424 substituent group Chemical group 0.000 description 10
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 9
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical group CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 9
- 238000004380 ashing Methods 0.000 description 9
- 150000001875 compounds Chemical class 0.000 description 9
- 229920001296 polysiloxane Polymers 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 8
- 125000000217 alkyl group Chemical group 0.000 description 8
- 239000007864 aqueous solution Substances 0.000 description 8
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 8
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 8
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 8
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 7
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 7
- 125000003545 alkoxy group Chemical group 0.000 description 7
- 239000000872 buffer Substances 0.000 description 7
- 238000000576 coating method Methods 0.000 description 7
- 230000007547 defect Effects 0.000 description 7
- 230000005669 field effect Effects 0.000 description 7
- XLLIQLLCWZCATF-UHFFFAOYSA-N 2-methoxyethyl acetate Chemical compound COCCOC(C)=O XLLIQLLCWZCATF-UHFFFAOYSA-N 0.000 description 6
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000011161 development Methods 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 6
- 239000002082 metal nanoparticle Substances 0.000 description 6
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 6
- 239000002105 nanoparticle Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 238000001039 wet etching Methods 0.000 description 6
- WVYWICLMDOOCFB-UHFFFAOYSA-N 4-methyl-2-pentanol Chemical compound CC(C)CC(C)O WVYWICLMDOOCFB-UHFFFAOYSA-N 0.000 description 5
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 5
- 229940022663 acetate Drugs 0.000 description 5
- 125000004448 alkyl carbonyl group Chemical group 0.000 description 5
- 125000004390 alkyl sulfonyl group Chemical group 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 239000007795 chemical reaction product Substances 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 229920001577 copolymer Polymers 0.000 description 5
- 229910052740 iodine Inorganic materials 0.000 description 5
- 235000013675 iodine Nutrition 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 150000003254 radicals Chemical class 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- XJLDYKIEURAVBW-UHFFFAOYSA-N 3-decanone Chemical compound CCCCCCCC(=O)CC XJLDYKIEURAVBW-UHFFFAOYSA-N 0.000 description 4
- FUGYGGDSWSUORM-UHFFFAOYSA-N 4-hydroxystyrene Chemical compound OC1=CC=C(C=C)C=C1 FUGYGGDSWSUORM-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 4
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 4
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 4
- 125000003118 aryl group Chemical group 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 229940116333 ethyl lactate Drugs 0.000 description 4
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 4
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 4
- 150000004694 iodide salts Chemical class 0.000 description 4
- 239000011630 iodine Substances 0.000 description 4
- 239000003960 organic solvent Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 238000006303 photolysis reaction Methods 0.000 description 4
- 239000002952 polymeric resin Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 150000003871 sulfonates Chemical class 0.000 description 4
- 229920003002 synthetic resin Polymers 0.000 description 4
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 3
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 3
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 3
- SVONRAPFKPVNKG-UHFFFAOYSA-N 2-ethoxyethyl acetate Chemical compound CCOCCOC(C)=O SVONRAPFKPVNKG-UHFFFAOYSA-N 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical compound CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 150000007513 acids Chemical class 0.000 description 3
- 230000004913 activation Effects 0.000 description 3
- 125000003158 alcohol group Chemical group 0.000 description 3
- 125000005910 alkyl carbonate group Chemical group 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 239000003795 chemical substances by application Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 239000011651 chromium Substances 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 239000008367 deionised water Substances 0.000 description 3
- 229910021641 deionized water Inorganic materials 0.000 description 3
- 239000012954 diazonium Substances 0.000 description 3
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 3
- 150000002148 esters Chemical class 0.000 description 3
- DXVYLFHTJZWTRF-UHFFFAOYSA-N ethyl iso-butyl ketone Natural products CCC(=O)CC(C)C DXVYLFHTJZWTRF-UHFFFAOYSA-N 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 239000003292 glue Substances 0.000 description 3
- 125000001841 imino group Chemical group [H]N=* 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 125000000686 lactone group Chemical group 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- BDJSOPWXYLFTNW-UHFFFAOYSA-N methyl 3-methoxypropanoate Chemical compound COCCC(=O)OC BDJSOPWXYLFTNW-UHFFFAOYSA-N 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- 125000002950 monocyclic group Chemical group 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 229920000620 organic polymer Polymers 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- PNJWIWWMYCMZRO-UHFFFAOYSA-N pent‐4‐en‐2‐one Natural products CC(=O)CC=C PNJWIWWMYCMZRO-UHFFFAOYSA-N 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- 125000006701 (C1-C7) alkyl group Chemical group 0.000 description 2
- YFSUTJLHUFNCNZ-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-heptadecafluorooctane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-M 0.000 description 2
- KBPLFHHGFOOTCA-UHFFFAOYSA-N 1-Octanol Chemical compound CCCCCCCCO KBPLFHHGFOOTCA-UHFFFAOYSA-N 0.000 description 2
- UZKWTJUDCOPSNM-UHFFFAOYSA-N 1-ethenoxybutane Chemical compound CCCCOC=C UZKWTJUDCOPSNM-UHFFFAOYSA-N 0.000 description 2
- LIPRQQHINVWJCH-UHFFFAOYSA-N 1-ethoxypropan-2-yl acetate Chemical compound CCOCC(C)OC(C)=O LIPRQQHINVWJCH-UHFFFAOYSA-N 0.000 description 2
- OJVAMHKKJGICOG-UHFFFAOYSA-N 2,5-hexanedione Chemical compound CC(=O)CCC(C)=O OJVAMHKKJGICOG-UHFFFAOYSA-N 0.000 description 2
- QQZOPKMRPOGIEB-UHFFFAOYSA-N 2-Oxohexane Chemical compound CCCCC(C)=O QQZOPKMRPOGIEB-UHFFFAOYSA-N 0.000 description 2
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 2
- ZNQVEEAIQZEUHB-UHFFFAOYSA-N 2-ethoxyethanol Chemical compound CCOCCO ZNQVEEAIQZEUHB-UHFFFAOYSA-N 0.000 description 2
- YXYJVFYWCLAXHO-UHFFFAOYSA-N 2-methoxyethyl 2-methylprop-2-enoate Chemical compound COCCOC(=O)C(C)=C YXYJVFYWCLAXHO-UHFFFAOYSA-N 0.000 description 2
- ZIXLDMFVRPABBX-UHFFFAOYSA-N 2-methylcyclopentan-1-one Chemical compound CC1CCCC1=O ZIXLDMFVRPABBX-UHFFFAOYSA-N 0.000 description 2
- ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 2-octanone Chemical compound CCCCCCC(C)=O ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 0.000 description 2
- XLLXMBCBJGATSP-UHFFFAOYSA-N 2-phenylethenol Chemical compound OC=CC1=CC=CC=C1 XLLXMBCBJGATSP-UHFFFAOYSA-N 0.000 description 2
- SYBYTAAJFKOIEJ-UHFFFAOYSA-N 3-Methylbutan-2-one Chemical compound CC(C)C(C)=O SYBYTAAJFKOIEJ-UHFFFAOYSA-N 0.000 description 2
- QOXOZONBQWIKDA-UHFFFAOYSA-N 3-hydroxypropyl Chemical group [CH2]CCO QOXOZONBQWIKDA-UHFFFAOYSA-N 0.000 description 2
- UJBOOUHRTQVGRU-UHFFFAOYSA-N 3-methylcyclohexan-1-one Chemical compound CC1CCCC(=O)C1 UJBOOUHRTQVGRU-UHFFFAOYSA-N 0.000 description 2
- RHLVCLIPMVJYKS-UHFFFAOYSA-N 3-octanone Chemical compound CCCCCC(=O)CC RHLVCLIPMVJYKS-UHFFFAOYSA-N 0.000 description 2
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 2
- HCFAJYNVAYBARA-UHFFFAOYSA-N 4-heptanone Chemical compound CCCC(=O)CCC HCFAJYNVAYBARA-UHFFFAOYSA-N 0.000 description 2
- FFWSICBKRCICMR-UHFFFAOYSA-N 5-methyl-2-hexanone Chemical compound CC(C)CCC(C)=O FFWSICBKRCICMR-UHFFFAOYSA-N 0.000 description 2
- IYTXKIXETAELAV-UHFFFAOYSA-N Aethyl-n-hexyl-keton Natural products CCCCCCC(=O)CC IYTXKIXETAELAV-UHFFFAOYSA-N 0.000 description 2
- SOGAXMICEFXMKE-UHFFFAOYSA-N Butylmethacrylate Chemical compound CCCCOC(=O)C(C)=C SOGAXMICEFXMKE-UHFFFAOYSA-N 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- RGSFGYAAUTVSQA-UHFFFAOYSA-N Cyclopentane Chemical compound C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 2
- IEPRKVQEAMIZSS-UHFFFAOYSA-N Di-Et ester-Fumaric acid Natural products CCOC(=O)C=CC(=O)OCC IEPRKVQEAMIZSS-UHFFFAOYSA-N 0.000 description 2
- IEPRKVQEAMIZSS-WAYWQWQTSA-N Diethyl maleate Chemical compound CCOC(=O)\C=C/C(=O)OCC IEPRKVQEAMIZSS-WAYWQWQTSA-N 0.000 description 2
- XTHFKEDIFFGKHM-UHFFFAOYSA-N Dimethoxyethane Chemical compound COCCOC XTHFKEDIFFGKHM-UHFFFAOYSA-N 0.000 description 2
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 description 2
- HYTRYEXINDDXJK-UHFFFAOYSA-N Ethyl isopropyl ketone Chemical compound CCC(=O)C(C)C HYTRYEXINDDXJK-UHFFFAOYSA-N 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- BAPJBEWLBFYGME-UHFFFAOYSA-N Methyl acrylate Chemical compound COC(=O)C=C BAPJBEWLBFYGME-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 2
- ATHHXGZTWNVVOU-UHFFFAOYSA-N N-methylformamide Chemical compound CNC=O ATHHXGZTWNVVOU-UHFFFAOYSA-N 0.000 description 2
- 244000028419 Styrax benzoin Species 0.000 description 2
- 235000000126 Styrax benzoin Nutrition 0.000 description 2
- 235000008411 Sumatra benzointree Nutrition 0.000 description 2
- 229910008482 TiSiN Inorganic materials 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 150000001252 acrylic acid derivatives Chemical class 0.000 description 2
- 125000002015 acyclic group Chemical group 0.000 description 2
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 description 2
- 125000001931 aliphatic group Chemical group 0.000 description 2
- 125000003342 alkenyl group Chemical group 0.000 description 2
- 150000005215 alkyl ethers Chemical class 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 125000003277 amino group Chemical group 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- ISAOCJYIOMOJEB-UHFFFAOYSA-N benzoin Chemical compound C=1C=CC=CC=1C(O)C(=O)C1=CC=CC=C1 ISAOCJYIOMOJEB-UHFFFAOYSA-N 0.000 description 2
- QUKGYYKBILRGFE-UHFFFAOYSA-N benzyl acetate Chemical compound CC(=O)OCC1=CC=CC=C1 QUKGYYKBILRGFE-UHFFFAOYSA-N 0.000 description 2
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 2
- 125000002843 carboxylic acid group Chemical group 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 125000002091 cationic group Chemical group 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 239000008199 coating composition Substances 0.000 description 2
- 239000003086 colorant Substances 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 125000000753 cycloalkyl group Chemical group 0.000 description 2
- BGTOWKSIORTVQH-UHFFFAOYSA-N cyclopentanone Chemical compound O=C1CCCC1 BGTOWKSIORTVQH-UHFFFAOYSA-N 0.000 description 2
- ZAJNGDIORYACQU-UHFFFAOYSA-N decan-2-one Chemical compound CCCCCCCCC(C)=O ZAJNGDIORYACQU-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- SWXVUIWOUIDPGS-UHFFFAOYSA-N diacetone alcohol Chemical compound CC(=O)CC(C)(C)O SWXVUIWOUIDPGS-UHFFFAOYSA-N 0.000 description 2
- 239000012955 diaryliodonium Substances 0.000 description 2
- 125000005520 diaryliodonium group Chemical group 0.000 description 2
- OGVXYCDTRMDYOG-UHFFFAOYSA-N dibutyl 2-methylidenebutanedioate Chemical compound CCCCOC(=O)CC(=C)C(=O)OCCCC OGVXYCDTRMDYOG-UHFFFAOYSA-N 0.000 description 2
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 2
- 238000004090 dissolution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- BHXIWUJLHYHGSJ-UHFFFAOYSA-N ethyl 3-ethoxypropanoate Chemical compound CCOCCC(=O)OCC BHXIWUJLHYHGSJ-UHFFFAOYSA-N 0.000 description 2
- IJUHLFUALMUWOM-UHFFFAOYSA-N ethyl 3-methoxypropanoate Chemical compound CCOC(=O)CCOC IJUHLFUALMUWOM-UHFFFAOYSA-N 0.000 description 2
- MTZQAGJQAFMTAQ-UHFFFAOYSA-N ethyl benzoate Chemical compound CCOC(=O)C1=CC=CC=C1 MTZQAGJQAFMTAQ-UHFFFAOYSA-N 0.000 description 2
- 238000007667 floating Methods 0.000 description 2
- 125000003709 fluoroalkyl group Chemical group 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- JBFHTYHTHYHCDJ-UHFFFAOYSA-N gamma-caprolactone Chemical compound CCC1CCC(=O)O1 JBFHTYHTHYHCDJ-UHFFFAOYSA-N 0.000 description 2
- GAEKPEKOJKCEMS-UHFFFAOYSA-N gamma-valerolactone Chemical compound CC1CCC(=O)O1 GAEKPEKOJKCEMS-UHFFFAOYSA-N 0.000 description 2
- 235000019382 gum benzoic Nutrition 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- NGAZZOYFWWSOGK-UHFFFAOYSA-N heptan-3-one Chemical compound CCCCC(=O)CC NGAZZOYFWWSOGK-UHFFFAOYSA-N 0.000 description 2
- 125000000623 heterocyclic group Chemical group 0.000 description 2
- FUZZWVXGSFPDMH-UHFFFAOYSA-N hexanoic acid Chemical compound CCCCCC(O)=O FUZZWVXGSFPDMH-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 2
- HJOVHMDZYOCNQW-UHFFFAOYSA-N isophorone Chemical compound CC1=CC(=O)CC(C)(C)C1 HJOVHMDZYOCNQW-UHFFFAOYSA-N 0.000 description 2
- 150000003903 lactic acid esters Chemical class 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- FQPSGWSUVKBHSU-UHFFFAOYSA-N methacrylamide Chemical compound CC(=C)C(N)=O FQPSGWSUVKBHSU-UHFFFAOYSA-N 0.000 description 2
- HSDFKDZBJMDHFF-UHFFFAOYSA-N methyl 3-ethoxypropanoate Chemical compound CCOCCC(=O)OC HSDFKDZBJMDHFF-UHFFFAOYSA-N 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- JESXATFQYMPTNL-UHFFFAOYSA-N mono-hydroxyphenyl-ethylene Natural products OC1=CC=CC=C1C=C JESXATFQYMPTNL-UHFFFAOYSA-N 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- ZWRUINPWMLAQRD-UHFFFAOYSA-N nonan-1-ol Chemical compound CCCCCCCCCO ZWRUINPWMLAQRD-UHFFFAOYSA-N 0.000 description 2
- VKCYHJWLYTUGCC-UHFFFAOYSA-N nonan-2-one Chemical compound CCCCCCCC(C)=O VKCYHJWLYTUGCC-UHFFFAOYSA-N 0.000 description 2
- 125000002868 norbornyl group Chemical group C12(CCC(CC1)C2)* 0.000 description 2
- WWZKQHOCKIZLMA-UHFFFAOYSA-N octanoic acid Chemical compound CCCCCCCC(O)=O WWZKQHOCKIZLMA-UHFFFAOYSA-N 0.000 description 2
- 239000013110 organic ligand Substances 0.000 description 2
- XNLICIUVMPYHGG-UHFFFAOYSA-N pentan-2-one Chemical compound CCCC(C)=O XNLICIUVMPYHGG-UHFFFAOYSA-N 0.000 description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- WQGWDDDVZFFDIG-UHFFFAOYSA-N pyrogallol Chemical class OC1=CC=CC(O)=C1O WQGWDDDVZFFDIG-UHFFFAOYSA-N 0.000 description 2
- 125000001453 quaternary ammonium group Chemical group 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 229920005573 silicon-containing polymer Polymers 0.000 description 2
- LSNNMFCWUKXFEE-UHFFFAOYSA-L sulfite Chemical compound [O-]S([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-L 0.000 description 2
- RWSOTUBLDIXVET-UHFFFAOYSA-O sulfonium Chemical compound [SH3+] RWSOTUBLDIXVET-UHFFFAOYSA-O 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 125000003396 thiol group Chemical group [H]S* 0.000 description 2
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 2
- 150000008648 triflates Chemical class 0.000 description 2
- 229920001567 vinyl ester resin Polymers 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- DTGKSKDOIYIVQL-WEDXCCLWSA-N (+)-borneol Chemical group C1C[C@@]2(C)[C@@H](O)C[C@@H]1C2(C)C DTGKSKDOIYIVQL-WEDXCCLWSA-N 0.000 description 1
- DLDWUFCUUXXYTB-UHFFFAOYSA-N (2-oxo-1,2-diphenylethyl) 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OC(C=1C=CC=CC=1)C(=O)C1=CC=CC=C1 DLDWUFCUUXXYTB-UHFFFAOYSA-N 0.000 description 1
- LABTWGUMFABVFG-ONEGZZNKSA-N (3E)-pent-3-en-2-one Chemical compound C\C=C\C(C)=O LABTWGUMFABVFG-ONEGZZNKSA-N 0.000 description 1
- 125000004400 (C1-C12) alkyl group Chemical group 0.000 description 1
- 125000006527 (C1-C5) alkyl group Chemical group 0.000 description 1
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 1
- ULPMRIXXHGUZFA-UHFFFAOYSA-N (R)-4-Methyl-3-hexanone Natural products CCC(C)C(=O)CC ULPMRIXXHGUZFA-UHFFFAOYSA-N 0.000 description 1
- MPUZDPBYKVEHNH-BQYQJAHWSA-N (e)-2-methyl-3-phenylprop-2-enamide Chemical compound NC(=O)C(/C)=C/C1=CC=CC=C1 MPUZDPBYKVEHNH-BQYQJAHWSA-N 0.000 description 1
- QYGBYAQGBVHMDD-XQRVVYSFSA-N (z)-2-cyano-3-thiophen-2-ylprop-2-enoic acid Chemical compound OC(=O)C(\C#N)=C/C1=CC=CS1 QYGBYAQGBVHMDD-XQRVVYSFSA-N 0.000 description 1
- ZPVOLGVTNLDBFI-UHFFFAOYSA-N (±)-2,2,6-trimethylcyclohexanone Chemical compound CC1CCCC(C)(C)C1=O ZPVOLGVTNLDBFI-UHFFFAOYSA-N 0.000 description 1
- BYEAHWXPCBROCE-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropan-2-ol Chemical group FC(F)(F)C(O)C(F)(F)F BYEAHWXPCBROCE-UHFFFAOYSA-N 0.000 description 1
- ACEKLXZRZOWKRY-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,5-undecafluoropentane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F ACEKLXZRZOWKRY-UHFFFAOYSA-M 0.000 description 1
- ZZXUZKXVROWEIF-UHFFFAOYSA-N 1,2-butylene carbonate Chemical compound CCC1COC(=O)O1 ZZXUZKXVROWEIF-UHFFFAOYSA-N 0.000 description 1
- QWUWMCYKGHVNAV-UHFFFAOYSA-N 1,2-dihydrostilbene Chemical group C=1C=CC=CC=1CCC1=CC=CC=C1 QWUWMCYKGHVNAV-UHFFFAOYSA-N 0.000 description 1
- MSAHTMIQULFMRG-UHFFFAOYSA-N 1,2-diphenyl-2-propan-2-yloxyethanone Chemical compound C=1C=CC=CC=1C(OC(C)C)C(=O)C1=CC=CC=C1 MSAHTMIQULFMRG-UHFFFAOYSA-N 0.000 description 1
- JIHQDMXYYFUGFV-UHFFFAOYSA-N 1,3,5-triazine Chemical class C1=NC=NC=N1 JIHQDMXYYFUGFV-UHFFFAOYSA-N 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- OCJBOOLMMGQPQU-UHFFFAOYSA-N 1,4-dichlorobenzene Chemical compound ClC1=CC=C(Cl)C=C1 OCJBOOLMMGQPQU-UHFFFAOYSA-N 0.000 description 1
- WGYZMNBUZFHYRX-UHFFFAOYSA-N 1-(1-methoxypropan-2-yloxy)propan-2-ol Chemical compound COCC(C)OCC(C)O WGYZMNBUZFHYRX-UHFFFAOYSA-N 0.000 description 1
- LMGYOBQJBQAZKC-UHFFFAOYSA-N 1-(2-ethylphenyl)-2-hydroxy-2-phenylethanone Chemical compound CCC1=CC=CC=C1C(=O)C(O)C1=CC=CC=C1 LMGYOBQJBQAZKC-UHFFFAOYSA-N 0.000 description 1
- VMCRQYHCDSXNLW-UHFFFAOYSA-N 1-(4-tert-butylphenyl)-2,2-dichloroethanone Chemical compound CC(C)(C)C1=CC=C(C(=O)C(Cl)Cl)C=C1 VMCRQYHCDSXNLW-UHFFFAOYSA-N 0.000 description 1
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical compound CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- RWNUSVWFHDHRCJ-UHFFFAOYSA-N 1-butoxypropan-2-ol Chemical compound CCCCOCC(C)O RWNUSVWFHDHRCJ-UHFFFAOYSA-N 0.000 description 1
- FUWDFGKRNIDKAE-UHFFFAOYSA-N 1-butoxypropan-2-yl acetate Chemical compound CCCCOCC(C)OC(C)=O FUWDFGKRNIDKAE-UHFFFAOYSA-N 0.000 description 1
- GXZPMXGRNUXGHN-UHFFFAOYSA-N 1-ethenoxy-2-methoxyethane Chemical compound COCCOC=C GXZPMXGRNUXGHN-UHFFFAOYSA-N 0.000 description 1
- YAOJJEJGPZRYJF-UHFFFAOYSA-N 1-ethenoxyhexane Chemical compound CCCCCCOC=C YAOJJEJGPZRYJF-UHFFFAOYSA-N 0.000 description 1
- RRQYJINTUHWNHW-UHFFFAOYSA-N 1-ethoxy-2-(2-ethoxyethoxy)ethane Chemical compound CCOCCOCCOCC RRQYJINTUHWNHW-UHFFFAOYSA-N 0.000 description 1
- ODDDCGGSPAPBOS-UHFFFAOYSA-N 1-ethoxypropan-2-yl propanoate Chemical compound CCOCC(C)OC(=O)CC ODDDCGGSPAPBOS-UHFFFAOYSA-N 0.000 description 1
- BPIUIOXAFBGMNB-UHFFFAOYSA-N 1-hexoxyhexane Chemical compound CCCCCCOCCCCCC BPIUIOXAFBGMNB-UHFFFAOYSA-N 0.000 description 1
- DOVZUKKPYKRVIK-UHFFFAOYSA-N 1-methoxypropan-2-yl propanoate Chemical compound CCC(=O)OC(C)COC DOVZUKKPYKRVIK-UHFFFAOYSA-N 0.000 description 1
- JHGGYGMFCRSWIZ-UHFFFAOYSA-N 2,2-dichloro-1-(4-phenoxyphenyl)ethanone Chemical compound C1=CC(C(=O)C(Cl)Cl)=CC=C1OC1=CC=CC=C1 JHGGYGMFCRSWIZ-UHFFFAOYSA-N 0.000 description 1
- CERJZAHSUZVMCH-UHFFFAOYSA-N 2,2-dichloro-1-phenylethanone Chemical compound ClC(Cl)C(=O)C1=CC=CC=C1 CERJZAHSUZVMCH-UHFFFAOYSA-N 0.000 description 1
- CWWYEELVMRNKHZ-UHFFFAOYSA-N 2,3-dimethylbut-2-enamide Chemical compound CC(C)=C(C)C(N)=O CWWYEELVMRNKHZ-UHFFFAOYSA-N 0.000 description 1
- QJUCCGSXGKTYBT-UHFFFAOYSA-N 2,4,4-trimethylpent-2-enamide Chemical compound NC(=O)C(C)=CC(C)(C)C QJUCCGSXGKTYBT-UHFFFAOYSA-N 0.000 description 1
- BTJPUDCSZVCXFQ-UHFFFAOYSA-N 2,4-diethylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(CC)=CC(CC)=C3SC2=C1 BTJPUDCSZVCXFQ-UHFFFAOYSA-N 0.000 description 1
- LCHAFMWSFCONOO-UHFFFAOYSA-N 2,4-dimethylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(C)=CC(C)=C3SC2=C1 LCHAFMWSFCONOO-UHFFFAOYSA-N 0.000 description 1
- MDKSQNHUHMMKPP-UHFFFAOYSA-N 2,5-bis(4-methoxyphenyl)-4-phenyl-1h-imidazole Chemical class C1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC(OC)=CC=2)N1 MDKSQNHUHMMKPP-UHFFFAOYSA-N 0.000 description 1
- AILVYPLQKCQNJC-UHFFFAOYSA-N 2,6-dimethylcyclohexan-1-one Chemical compound CC1CCCC(C)C1=O AILVYPLQKCQNJC-UHFFFAOYSA-N 0.000 description 1
- CTWRMVAKUSJNBK-UHFFFAOYSA-N 2-(2,4-dimethoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical class COC1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 CTWRMVAKUSJNBK-UHFFFAOYSA-N 0.000 description 1
- RXAYEPUDXSKVHS-UHFFFAOYSA-N 2-(2-chlorophenyl)-4,5-bis(3-methoxyphenyl)-1h-imidazole Chemical class COC1=CC=CC(C2=C(NC(=N2)C=2C(=CC=CC=2)Cl)C=2C=C(OC)C=CC=2)=C1 RXAYEPUDXSKVHS-UHFFFAOYSA-N 0.000 description 1
- NSWNXQGJAPQOID-UHFFFAOYSA-N 2-(2-chlorophenyl)-4,5-diphenyl-1h-imidazole Chemical class ClC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 NSWNXQGJAPQOID-UHFFFAOYSA-N 0.000 description 1
- PQYXUTSAPLQVTB-UHFFFAOYSA-N 2-(2-ethoxyethoxy)ethanol 1-ethoxy-2-methoxyethane Chemical compound CCOCCOC.CCOCCOCCO PQYXUTSAPLQVTB-UHFFFAOYSA-N 0.000 description 1
- NFSJJHVWUGRIHQ-UHFFFAOYSA-N 2-(2-ethoxyethoxy)ethyl acetate Chemical compound [CH2]COCCOCCOC(C)=O NFSJJHVWUGRIHQ-UHFFFAOYSA-N 0.000 description 1
- UIHRWPYOTGCOJP-UHFFFAOYSA-N 2-(2-fluorophenyl)-4,5-diphenyl-1h-imidazole Chemical class FC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 UIHRWPYOTGCOJP-UHFFFAOYSA-N 0.000 description 1
- XXXFZKQPYACQLD-UHFFFAOYSA-N 2-(2-hydroxyethoxy)ethyl acetate Chemical compound CC(=O)OCCOCCO XXXFZKQPYACQLD-UHFFFAOYSA-N 0.000 description 1
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 1
- SBASXUCJHJRPEV-UHFFFAOYSA-N 2-(2-methoxyethoxy)ethanol Chemical compound COCCOCCO SBASXUCJHJRPEV-UHFFFAOYSA-N 0.000 description 1
- HZMXJTJBSWOCQB-UHFFFAOYSA-N 2-(2-methoxyethoxy)ethyl prop-2-enoate Chemical compound COCCOCCOC(=O)C=C HZMXJTJBSWOCQB-UHFFFAOYSA-N 0.000 description 1
- XIOGJAPOAUEYJO-UHFFFAOYSA-N 2-(2-methoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical class COC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 XIOGJAPOAUEYJO-UHFFFAOYSA-N 0.000 description 1
- SNFCQJAJPFWBDJ-UHFFFAOYSA-N 2-(4-methoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical class C1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 SNFCQJAJPFWBDJ-UHFFFAOYSA-N 0.000 description 1
- GOXQRTZXKQZDDN-UHFFFAOYSA-N 2-Ethylhexyl acrylate Chemical compound CCCCC(CC)COC(=O)C=C GOXQRTZXKQZDDN-UHFFFAOYSA-N 0.000 description 1
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 description 1
- PTTPXKJBFFKCEK-UHFFFAOYSA-N 2-Methyl-4-heptanone Chemical compound CC(C)CC(=O)CC(C)C PTTPXKJBFFKCEK-UHFFFAOYSA-N 0.000 description 1
- ZTJNPDLOIVDEEL-UHFFFAOYSA-N 2-acetyloxyethyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCCOC(C)=O ZTJNPDLOIVDEEL-UHFFFAOYSA-N 0.000 description 1
- UFIOPCXETLAGLR-UHFFFAOYSA-N 2-acetyloxyethyl prop-2-enoate Chemical compound CC(=O)OCCOC(=O)C=C UFIOPCXETLAGLR-UHFFFAOYSA-N 0.000 description 1
- ICGLGDINCXDWJB-UHFFFAOYSA-N 2-benzylprop-2-enamide Chemical compound NC(=O)C(=C)CC1=CC=CC=C1 ICGLGDINCXDWJB-UHFFFAOYSA-N 0.000 description 1
- DZZAHLOABNWIFA-UHFFFAOYSA-N 2-butoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OCCCC)C(=O)C1=CC=CC=C1 DZZAHLOABNWIFA-UHFFFAOYSA-N 0.000 description 1
- HXLLCROMVONRRO-UHFFFAOYSA-N 2-butoxyethenylbenzene Chemical compound CCCCOC=CC1=CC=CC=C1 HXLLCROMVONRRO-UHFFFAOYSA-N 0.000 description 1
- SBYMUDUGTIKLCR-UHFFFAOYSA-N 2-chloroethenylbenzene Chemical compound ClC=CC1=CC=CC=C1 SBYMUDUGTIKLCR-UHFFFAOYSA-N 0.000 description 1
- ZCDADJXRUCOCJE-UHFFFAOYSA-N 2-chlorothioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(Cl)=CC=C3SC2=C1 ZCDADJXRUCOCJE-UHFFFAOYSA-N 0.000 description 1
- XUOKWZRAWBZOQM-UHFFFAOYSA-N 2-cyclohexylprop-2-enamide Chemical compound NC(=O)C(=C)C1CCCCC1 XUOKWZRAWBZOQM-UHFFFAOYSA-N 0.000 description 1
- JWCDUUFOAZFFMX-UHFFFAOYSA-N 2-ethenoxy-n,n-dimethylethanamine Chemical compound CN(C)CCOC=C JWCDUUFOAZFFMX-UHFFFAOYSA-N 0.000 description 1
- 229940093475 2-ethoxyethanol Drugs 0.000 description 1
- FWWXYLGCHHIKNY-UHFFFAOYSA-N 2-ethoxyethyl prop-2-enoate Chemical compound CCOCCOC(=O)C=C FWWXYLGCHHIKNY-UHFFFAOYSA-N 0.000 description 1
- SJEBAWHUJDUKQK-UHFFFAOYSA-N 2-ethylanthraquinone Chemical compound C1=CC=C2C(=O)C3=CC(CC)=CC=C3C(=O)C2=C1 SJEBAWHUJDUKQK-UHFFFAOYSA-N 0.000 description 1
- WDQMWEYDKDCEHT-UHFFFAOYSA-N 2-ethylhexyl 2-methylprop-2-enoate Chemical compound CCCCC(CC)COC(=O)C(C)=C WDQMWEYDKDCEHT-UHFFFAOYSA-N 0.000 description 1
- VZMLJEYQUZKERO-UHFFFAOYSA-N 2-hydroxy-1-(2-methylphenyl)-2-phenylethanone Chemical compound CC1=CC=CC=C1C(=O)C(O)C1=CC=CC=C1 VZMLJEYQUZKERO-UHFFFAOYSA-N 0.000 description 1
- KTWCUGUUDHJVIH-UHFFFAOYSA-N 2-hydroxybenzo[de]isoquinoline-1,3-dione Chemical compound C1=CC(C(N(O)C2=O)=O)=C3C2=CC=CC3=C1 KTWCUGUUDHJVIH-UHFFFAOYSA-N 0.000 description 1
- HXDLWJWIAHWIKI-UHFFFAOYSA-N 2-hydroxyethyl acetate Chemical compound CC(=O)OCCO HXDLWJWIAHWIKI-UHFFFAOYSA-N 0.000 description 1
- OMIGHNLMNHATMP-UHFFFAOYSA-N 2-hydroxyethyl prop-2-enoate Chemical compound OCCOC(=O)C=C OMIGHNLMNHATMP-UHFFFAOYSA-N 0.000 description 1
- PPPFYBPQAPISCT-UHFFFAOYSA-N 2-hydroxypropyl acetate Chemical compound CC(O)COC(C)=O PPPFYBPQAPISCT-UHFFFAOYSA-N 0.000 description 1
- BQZJOQXSCSZQPS-UHFFFAOYSA-N 2-methoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OC)C(=O)C1=CC=CC=C1 BQZJOQXSCSZQPS-UHFFFAOYSA-N 0.000 description 1
- YDNVDUXXZZLBPG-UHFFFAOYSA-N 2-methoxyethenyl acetate Chemical compound COC=COC(C)=O YDNVDUXXZZLBPG-UHFFFAOYSA-N 0.000 description 1
- CTHJQRHPNQEPAB-UHFFFAOYSA-N 2-methoxyethenylbenzene Chemical compound COC=CC1=CC=CC=C1 CTHJQRHPNQEPAB-UHFFFAOYSA-N 0.000 description 1
- HFCUBKYHMMPGBY-UHFFFAOYSA-N 2-methoxyethyl prop-2-enoate Chemical compound COCCOC(=O)C=C HFCUBKYHMMPGBY-UHFFFAOYSA-N 0.000 description 1
- AEBNPEXFDZBTIB-UHFFFAOYSA-N 2-methyl-4-phenylbut-2-enamide Chemical compound NC(=O)C(C)=CCC1=CC=CC=C1 AEBNPEXFDZBTIB-UHFFFAOYSA-N 0.000 description 1
- FDMAFOTXGNYBFG-UHFFFAOYSA-N 2-methylcycloheptan-1-one Chemical compound CC1CCCCCC1=O FDMAFOTXGNYBFG-UHFFFAOYSA-N 0.000 description 1
- XYYMFUCZDNNGFS-UHFFFAOYSA-N 2-methylheptan-3-one Chemical compound CCCCC(=O)C(C)C XYYMFUCZDNNGFS-UHFFFAOYSA-N 0.000 description 1
- ZXQOBTQMLMZFOW-UHFFFAOYSA-N 2-methylhex-2-enamide Chemical compound CCCC=C(C)C(N)=O ZXQOBTQMLMZFOW-UHFFFAOYSA-N 0.000 description 1
- LPNSCOVIJFIXTJ-UHFFFAOYSA-N 2-methylidenebutanamide Chemical compound CCC(=C)C(N)=O LPNSCOVIJFIXTJ-UHFFFAOYSA-N 0.000 description 1
- YICILWNDMQTUIY-UHFFFAOYSA-N 2-methylidenepentanamide Chemical compound CCCC(=C)C(N)=O YICILWNDMQTUIY-UHFFFAOYSA-N 0.000 description 1
- PGTISPYIJZXZSE-UHFFFAOYSA-N 2-methylpent-2-enamide Chemical compound CCC=C(C)C(N)=O PGTISPYIJZXZSE-UHFFFAOYSA-N 0.000 description 1
- BTOVVHWKPVSLBI-UHFFFAOYSA-N 2-methylprop-1-enylbenzene Chemical compound CC(C)=CC1=CC=CC=C1 BTOVVHWKPVSLBI-UHFFFAOYSA-N 0.000 description 1
- RUMACXVDVNRZJZ-UHFFFAOYSA-N 2-methylpropyl 2-methylprop-2-enoate Chemical compound CC(C)COC(=O)C(C)=C RUMACXVDVNRZJZ-UHFFFAOYSA-N 0.000 description 1
- CFVWNXQPGQOHRJ-UHFFFAOYSA-N 2-methylpropyl prop-2-enoate Chemical compound CC(C)COC(=O)C=C CFVWNXQPGQOHRJ-UHFFFAOYSA-N 0.000 description 1
- MYISVPVWAQRUTL-UHFFFAOYSA-N 2-methylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(C)=CC=C3SC2=C1 MYISVPVWAQRUTL-UHFFFAOYSA-N 0.000 description 1
- AXYQEGMSGMXGGK-UHFFFAOYSA-N 2-phenoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(=O)C(C=1C=CC=CC=1)OC1=CC=CC=C1 AXYQEGMSGMXGGK-UHFFFAOYSA-N 0.000 description 1
- QCDWFXQBSFUVSP-UHFFFAOYSA-N 2-phenoxyethanol Chemical compound OCCOC1=CC=CC=C1 QCDWFXQBSFUVSP-UHFFFAOYSA-N 0.000 description 1
- FMFHUEMLVAIBFI-UHFFFAOYSA-N 2-phenylethenyl acetate Chemical compound CC(=O)OC=CC1=CC=CC=C1 FMFHUEMLVAIBFI-UHFFFAOYSA-N 0.000 description 1
- IMOLAGKJZFODRK-UHFFFAOYSA-N 2-phenylprop-2-enamide Chemical compound NC(=O)C(=C)C1=CC=CC=C1 IMOLAGKJZFODRK-UHFFFAOYSA-N 0.000 description 1
- HCGFUIQPSOCUHI-UHFFFAOYSA-N 2-propan-2-yloxyethanol Chemical compound CC(C)OCCO HCGFUIQPSOCUHI-UHFFFAOYSA-N 0.000 description 1
- KTALPKYXQZGAEG-UHFFFAOYSA-N 2-propan-2-ylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(C(C)C)=CC=C3SC2=C1 KTALPKYXQZGAEG-UHFFFAOYSA-N 0.000 description 1
- KGIGUEBEKRSTEW-UHFFFAOYSA-N 2-vinylpyridine Chemical compound C=CC1=CC=CC=N1 KGIGUEBEKRSTEW-UHFFFAOYSA-N 0.000 description 1
- ZAWQXWZJKKICSZ-UHFFFAOYSA-N 3,3-dimethyl-2-methylidenebutanamide Chemical compound CC(C)(C)C(=C)C(N)=O ZAWQXWZJKKICSZ-UHFFFAOYSA-N 0.000 description 1
- ALZLTHLQMAFAPA-UHFFFAOYSA-N 3-Methylbutyrolactone Chemical compound CC1COC(=O)C1 ALZLTHLQMAFAPA-UHFFFAOYSA-N 0.000 description 1
- SDNHWPVAYKOIGU-UHFFFAOYSA-N 3-ethyl-2-methylpent-2-enamide Chemical compound CCC(CC)=C(C)C(N)=O SDNHWPVAYKOIGU-UHFFFAOYSA-N 0.000 description 1
- UVRCNEIYXSRHNT-UHFFFAOYSA-N 3-ethylpent-2-enamide Chemical compound CCC(CC)=CC(N)=O UVRCNEIYXSRHNT-UHFFFAOYSA-N 0.000 description 1
- PFCHFHIRKBAQGU-UHFFFAOYSA-N 3-hexanone Chemical compound CCCC(=O)CC PFCHFHIRKBAQGU-UHFFFAOYSA-N 0.000 description 1
- FWIBCWKHNZBDLS-UHFFFAOYSA-N 3-hydroxyoxolan-2-one Chemical compound OC1CCOC1=O FWIBCWKHNZBDLS-UHFFFAOYSA-N 0.000 description 1
- CEBRPXLXYCFYGU-UHFFFAOYSA-N 3-methylbut-1-enylbenzene Chemical compound CC(C)C=CC1=CC=CC=C1 CEBRPXLXYCFYGU-UHFFFAOYSA-N 0.000 description 1
- ZTHJQCDAHYOPIK-UHFFFAOYSA-N 3-methylbut-2-en-2-ylbenzene Chemical compound CC(C)=C(C)C1=CC=CC=C1 ZTHJQCDAHYOPIK-UHFFFAOYSA-N 0.000 description 1
- WHNPOQXWAMXPTA-UHFFFAOYSA-N 3-methylbut-2-enamide Chemical compound CC(C)=CC(N)=O WHNPOQXWAMXPTA-UHFFFAOYSA-N 0.000 description 1
- GSYFDULLCGVSNJ-UHFFFAOYSA-N 3-methylcycloheptan-1-one Chemical compound CC1CCCCC(=O)C1 GSYFDULLCGVSNJ-UHFFFAOYSA-N 0.000 description 1
- AOKRXIIIYJGNNU-UHFFFAOYSA-N 3-methylcyclopentan-1-one Chemical compound CC1CCC(=O)C1 AOKRXIIIYJGNNU-UHFFFAOYSA-N 0.000 description 1
- LDMRLRNXHLPZJN-UHFFFAOYSA-N 3-propoxypropan-1-ol Chemical compound CCCOCCCO LDMRLRNXHLPZJN-UHFFFAOYSA-N 0.000 description 1
- JLBJTVDPSNHSKJ-UHFFFAOYSA-N 4-Methylstyrene Chemical compound CC1=CC=C(C=C)C=C1 JLBJTVDPSNHSKJ-UHFFFAOYSA-N 0.000 description 1
- UNDXPKDBFOOQFC-UHFFFAOYSA-N 4-[2-nitro-4-(trifluoromethyl)phenyl]morpholine Chemical compound [O-][N+](=O)C1=CC(C(F)(F)F)=CC=C1N1CCOCC1 UNDXPKDBFOOQFC-UHFFFAOYSA-N 0.000 description 1
- OKSDJGWHKXFVME-UHFFFAOYSA-N 4-ethylcyclohexan-1-one Chemical compound CCC1CCC(=O)CC1 OKSDJGWHKXFVME-UHFFFAOYSA-N 0.000 description 1
- QZDWZPHMEUIYAS-UHFFFAOYSA-N 4-methylpentan-2-one;pentan-3-one Chemical compound CCC(=O)CC.CC(C)CC(C)=O QZDWZPHMEUIYAS-UHFFFAOYSA-N 0.000 description 1
- RNDVGJZUHCKENF-UHFFFAOYSA-N 5-hexen-2-one Chemical compound CC(=O)CCC=C RNDVGJZUHCKENF-UHFFFAOYSA-N 0.000 description 1
- PSBKJPTZCVYXSD-UHFFFAOYSA-N 5-methylheptan-3-one Chemical compound CCC(C)CC(=O)CC PSBKJPTZCVYXSD-UHFFFAOYSA-N 0.000 description 1
- OJLHBQIGOFOFJF-UHFFFAOYSA-N 6-(carbamoylamino)hexylurea Chemical compound NC(=O)NCCCCCCNC(N)=O OJLHBQIGOFOFJF-UHFFFAOYSA-N 0.000 description 1
- NUXLDNTZFXDNBA-UHFFFAOYSA-N 6-bromo-2-methyl-4h-1,4-benzoxazin-3-one Chemical compound C1=C(Br)C=C2NC(=O)C(C)OC2=C1 NUXLDNTZFXDNBA-UHFFFAOYSA-N 0.000 description 1
- HWTDMFJYBAURQR-UHFFFAOYSA-N 80-82-0 Chemical class OS(=O)(=O)C1=CC=CC=C1[N+]([O-])=O HWTDMFJYBAURQR-UHFFFAOYSA-N 0.000 description 1
- LPEKGGXMPWTOCB-UHFFFAOYSA-N 8beta-(2,3-epoxy-2-methylbutyryloxy)-14-acetoxytithifolin Natural products COC(=O)C(C)O LPEKGGXMPWTOCB-UHFFFAOYSA-N 0.000 description 1
- YYVYAPXYZVYDHN-UHFFFAOYSA-N 9,10-phenanthroquinone Chemical compound C1=CC=C2C(=O)C(=O)C3=CC=CC=C3C2=C1 YYVYAPXYZVYDHN-UHFFFAOYSA-N 0.000 description 1
- YDTZWEXADJYOBJ-UHFFFAOYSA-N 9-(7-acridin-9-ylheptyl)acridine Chemical compound C1=CC=C2C(CCCCCCCC=3C4=CC=CC=C4N=C4C=CC=CC4=3)=C(C=CC=C3)C3=NC2=C1 YDTZWEXADJYOBJ-UHFFFAOYSA-N 0.000 description 1
- MTRFEWTWIPAXLG-UHFFFAOYSA-N 9-phenylacridine Chemical compound C1=CC=CC=C1C1=C(C=CC=C2)C2=NC2=CC=CC=C12 MTRFEWTWIPAXLG-UHFFFAOYSA-N 0.000 description 1
- HRPVXLWXLXDGHG-UHFFFAOYSA-N Acrylamide Chemical compound NC(=O)C=C HRPVXLWXLXDGHG-UHFFFAOYSA-N 0.000 description 1
- NLHHRLWOUZZQLW-UHFFFAOYSA-N Acrylonitrile Chemical compound C=CC#N NLHHRLWOUZZQLW-UHFFFAOYSA-N 0.000 description 1
- YNMZZHPSYMOGCI-UHFFFAOYSA-N Aethyl-octyl-keton Natural products CCCCCCCCC(=O)CC YNMZZHPSYMOGCI-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical group [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- MRABAEUHTLLEML-UHFFFAOYSA-N Butyl lactate Chemical compound CCCCOC(=O)C(C)O MRABAEUHTLLEML-UHFFFAOYSA-N 0.000 description 1
- VRYFIHWBYKFGON-UHFFFAOYSA-N C(C)(=O)OC(COC)C.C(C)(=O)OCCC(C)(OC)C Chemical compound C(C)(=O)OC(COC)C.C(C)(=O)OCCC(C)(OC)C VRYFIHWBYKFGON-UHFFFAOYSA-N 0.000 description 1
- GQOOTAXWUQAXDI-UHFFFAOYSA-N CC(O)=O.CCCOCC(C)OC Chemical compound CC(O)=O.CCCOCC(C)OC GQOOTAXWUQAXDI-UHFFFAOYSA-N 0.000 description 1
- OLVRNENKICWIHR-UHFFFAOYSA-N COCCC=C(C)C(N)=O Chemical compound COCCC=C(C)C(N)=O OLVRNENKICWIHR-UHFFFAOYSA-N 0.000 description 1
- 239000005635 Caprylic acid (CAS 124-07-2) Substances 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 1
- QGLBZNZGBLRJGS-UHFFFAOYSA-N Dihydro-3-methyl-2(3H)-furanone Chemical compound CC1CCOC1=O QGLBZNZGBLRJGS-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical class S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- JIGUQPWFLRLWPJ-UHFFFAOYSA-N Ethyl acrylate Chemical compound CCOC(=O)C=C JIGUQPWFLRLWPJ-UHFFFAOYSA-N 0.000 description 1
- 239000001856 Ethyl cellulose Substances 0.000 description 1
- ZZSNKZQZMQGXPY-UHFFFAOYSA-N Ethyl cellulose Chemical compound CCOCC1OC(OC)C(OCC)C(OCC)C1OC1C(O)C(O)C(OC)C(CO)O1 ZZSNKZQZMQGXPY-UHFFFAOYSA-N 0.000 description 1
- XXRCUYVCPSWGCC-UHFFFAOYSA-N Ethyl pyruvate Chemical compound CCOC(=O)C(C)=O XXRCUYVCPSWGCC-UHFFFAOYSA-N 0.000 description 1
- KMTRUDSVKNLOMY-UHFFFAOYSA-N Ethylene carbonate Chemical compound O=C1OCCO1 KMTRUDSVKNLOMY-UHFFFAOYSA-N 0.000 description 1
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Natural products OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 description 1
- 241000588731 Hafnia Species 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 101500021084 Locusta migratoria 5 kDa peptide Proteins 0.000 description 1
- PEEHTFAAVSWFBL-UHFFFAOYSA-N Maleimide Chemical compound O=C1NC(=O)C=C1 PEEHTFAAVSWFBL-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- CERQOIWHTDAKMF-UHFFFAOYSA-N Methacrylic acid Chemical compound CC(=C)C(O)=O CERQOIWHTDAKMF-UHFFFAOYSA-N 0.000 description 1
- QPJVMBTYPHYUOC-UHFFFAOYSA-N Methyl benzoate Natural products COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- RJUFJBKOKNCXHH-UHFFFAOYSA-N Methyl propionate Chemical compound CCC(=O)OC RJUFJBKOKNCXHH-UHFFFAOYSA-N 0.000 description 1
- XYVQFUJDGOBPQI-UHFFFAOYSA-N Methyl-2-hydoxyisobutyric acid Chemical compound COC(=O)C(C)(C)O XYVQFUJDGOBPQI-UHFFFAOYSA-N 0.000 description 1
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 description 1
- WHNWPMSKXPGLAX-UHFFFAOYSA-N N-Vinyl-2-pyrrolidone Chemical compound C=CN1CCCC1=O WHNWPMSKXPGLAX-UHFFFAOYSA-N 0.000 description 1
- OHLUUHNLEMFGTQ-UHFFFAOYSA-N N-methylacetamide Chemical compound CNC(C)=O OHLUUHNLEMFGTQ-UHFFFAOYSA-N 0.000 description 1
- NPKSPKHJBVJUKB-UHFFFAOYSA-N N-phenylglycine Chemical compound OC(=O)CNC1=CC=CC=C1 NPKSPKHJBVJUKB-UHFFFAOYSA-N 0.000 description 1
- 229930192627 Naphthoquinone Natural products 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- 229920001665 Poly-4-vinylphenol Polymers 0.000 description 1
- 239000004793 Polystyrene Substances 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-M Propionate Chemical compound CCC([O-])=O XBDQKXXYIPTUBI-UHFFFAOYSA-M 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-N Propionic acid Chemical class CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- XTXRWKRVRITETP-UHFFFAOYSA-N Vinyl acetate Chemical compound CC(=O)OC=C XTXRWKRVRITETP-UHFFFAOYSA-N 0.000 description 1
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical class C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 1
- YMOONIIMQBGTDU-VOTSOKGWSA-N [(e)-2-bromoethenyl]benzene Chemical compound Br\C=C\C1=CC=CC=C1 YMOONIIMQBGTDU-VOTSOKGWSA-N 0.000 description 1
- RVWADWOERKNWRY-UHFFFAOYSA-N [2-(dimethylamino)phenyl]-phenylmethanone Chemical compound CN(C)C1=CC=CC=C1C(=O)C1=CC=CC=C1 RVWADWOERKNWRY-UHFFFAOYSA-N 0.000 description 1
- ARNIZPSLPHFDED-UHFFFAOYSA-N [4-(dimethylamino)phenyl]-(4-methoxyphenyl)methanone Chemical compound C1=CC(OC)=CC=C1C(=O)C1=CC=C(N(C)C)C=C1 ARNIZPSLPHFDED-UHFFFAOYSA-N 0.000 description 1
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- KXKVLQRXCPHEJC-UHFFFAOYSA-N acetic acid trimethyl ester Natural products COC(C)=O KXKVLQRXCPHEJC-UHFFFAOYSA-N 0.000 description 1
- XRLHGXGMYJNYCR-UHFFFAOYSA-N acetic acid;2-(2-hydroxypropoxy)propan-1-ol Chemical compound CC(O)=O.CC(O)COC(C)CO XRLHGXGMYJNYCR-UHFFFAOYSA-N 0.000 description 1
- 150000008062 acetophenones Chemical class 0.000 description 1
- 150000001251 acridines Chemical class 0.000 description 1
- 150000003926 acrylamides Chemical class 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000007754 air knife coating Methods 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000005599 alkyl carboxylate group Chemical group 0.000 description 1
- 125000000304 alkynyl group Chemical group 0.000 description 1
- XYLMUPLGERFSHI-UHFFFAOYSA-N alpha-Methylstyrene Chemical compound CC(=C)C1=CC=CC=C1 XYLMUPLGERFSHI-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 1
- 150000004056 anthraquinones Chemical class 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229940027998 antiseptic and disinfectant acridine derivative Drugs 0.000 description 1
- 239000003125 aqueous solvent Substances 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 150000008365 aromatic ketones Chemical class 0.000 description 1
- 125000004391 aryl sulfonyl group Chemical group 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- XJHABGPPCLHLLV-UHFFFAOYSA-N benzo[de]isoquinoline-1,3-dione Chemical compound C1=CC(C(=O)NC2=O)=C3C2=CC=CC3=C1 XJHABGPPCLHLLV-UHFFFAOYSA-N 0.000 description 1
- 229960002130 benzoin Drugs 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 229940007550 benzyl acetate Drugs 0.000 description 1
- 235000019445 benzyl alcohol Nutrition 0.000 description 1
- GCTPMLUUWLLESL-UHFFFAOYSA-N benzyl prop-2-enoate Chemical compound C=CC(=O)OCC1=CC=CC=C1 GCTPMLUUWLLESL-UHFFFAOYSA-N 0.000 description 1
- GSCLMSFRWBPUSK-UHFFFAOYSA-N beta-Butyrolactone Chemical compound CC1CC(=O)O1 GSCLMSFRWBPUSK-UHFFFAOYSA-N 0.000 description 1
- VEZXCJBBBCKRPI-UHFFFAOYSA-N beta-propiolactone Chemical compound O=C1CCO1 VEZXCJBBBCKRPI-UHFFFAOYSA-N 0.000 description 1
- XIUFUBNQNCXVRG-UHFFFAOYSA-M bis(2,3-ditert-butylphenyl)iodanium;(7,7-dimethyl-3-oxo-4-bicyclo[2.2.1]heptanyl)methanesulfonate Chemical compound C1CC2(CS([O-])(=O)=O)C(=O)CC1C2(C)C.CC(C)(C)C1=CC=CC([I+]C=2C(=C(C=CC=2)C(C)(C)C)C(C)(C)C)=C1C(C)(C)C XIUFUBNQNCXVRG-UHFFFAOYSA-M 0.000 description 1
- NNOOIWZFFJUFBS-UHFFFAOYSA-M bis(2-tert-butylphenyl)iodanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.CC(C)(C)C1=CC=CC=C1[I+]C1=CC=CC=C1C(C)(C)C NNOOIWZFFJUFBS-UHFFFAOYSA-M 0.000 description 1
- MPMBRWOOISTHJV-UHFFFAOYSA-N but-1-enylbenzene Chemical compound CCC=CC1=CC=CC=C1 MPMBRWOOISTHJV-UHFFFAOYSA-N 0.000 description 1
- 239000001191 butyl (2R)-2-hydroxypropanoate Substances 0.000 description 1
- CQEYYJKEWSMYFG-UHFFFAOYSA-N butyl acrylate Chemical compound CCCCOC(=O)C=C CQEYYJKEWSMYFG-UHFFFAOYSA-N 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 229910052792 caesium Inorganic materials 0.000 description 1
- TVFDJXOCXUVLDH-UHFFFAOYSA-N caesium atom Chemical compound [Cs] TVFDJXOCXUVLDH-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 125000005587 carbonate group Chemical group 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 229920006317 cationic polymer Polymers 0.000 description 1
- 239000001913 cellulose Substances 0.000 description 1
- 229920002678 cellulose Polymers 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- TXWRERCHRDBNLG-UHFFFAOYSA-N cubane Chemical group C12C3C4C1C1C4C3C12 TXWRERCHRDBNLG-UHFFFAOYSA-N 0.000 description 1
- 238000007766 curtain coating Methods 0.000 description 1
- 125000004093 cyano group Chemical group *C#N 0.000 description 1
- 150000004292 cyclic ethers Chemical class 0.000 description 1
- 125000000000 cycloalkoxy group Chemical group 0.000 description 1
- CGZZMOTZOONQIA-UHFFFAOYSA-N cycloheptanone Chemical compound O=C1CCCCCC1 CGZZMOTZOONQIA-UHFFFAOYSA-N 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- KBLWLMPSVYBVDK-UHFFFAOYSA-N cyclohexyl prop-2-enoate Chemical compound C=CC(=O)OC1CCCCC1 KBLWLMPSVYBVDK-UHFFFAOYSA-N 0.000 description 1
- MKJDUHZPLQYUCB-UHFFFAOYSA-N decan-4-one Chemical compound CCCCCCC(=O)CCC MKJDUHZPLQYUCB-UHFFFAOYSA-N 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 150000001989 diazonium salts Chemical class 0.000 description 1
- JBSLOWBPDRZSMB-FPLPWBNLSA-N dibutyl (z)-but-2-enedioate Chemical compound CCCCOC(=O)\C=C/C(=O)OCCCC JBSLOWBPDRZSMB-FPLPWBNLSA-N 0.000 description 1
- 150000008056 dicarboxyimides Chemical class 0.000 description 1
- 229940117389 dichlorobenzene Drugs 0.000 description 1
- ZEFVHSWKYCYFFL-UHFFFAOYSA-N diethyl 2-methylidenebutanedioate Chemical compound CCOC(=O)CC(=C)C(=O)OCC ZEFVHSWKYCYFFL-UHFFFAOYSA-N 0.000 description 1
- IEPRKVQEAMIZSS-AATRIKPKSA-N diethyl fumarate Chemical compound CCOC(=O)\C=C\C(=O)OCC IEPRKVQEAMIZSS-AATRIKPKSA-N 0.000 description 1
- WYACBZDAHNBPPB-UHFFFAOYSA-N diethyl oxalate Chemical compound CCOC(=O)C(=O)OCC WYACBZDAHNBPPB-UHFFFAOYSA-N 0.000 description 1
- 229940019778 diethylene glycol diethyl ether Drugs 0.000 description 1
- 229940028356 diethylene glycol monobutyl ether Drugs 0.000 description 1
- 239000000539 dimer Substances 0.000 description 1
- LDCRTTXIJACKKU-ONEGZZNKSA-N dimethyl fumarate Chemical compound COC(=O)\C=C\C(=O)OC LDCRTTXIJACKKU-ONEGZZNKSA-N 0.000 description 1
- 229960004419 dimethyl fumarate Drugs 0.000 description 1
- LDCRTTXIJACKKU-ARJAWSKDSA-N dimethyl maleate Chemical compound COC(=O)\C=C/C(=O)OC LDCRTTXIJACKKU-ARJAWSKDSA-N 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- USIUVYZYUHIAEV-UHFFFAOYSA-N diphenyl ether Chemical compound C=1C=CC=CC=1OC1=CC=CC=C1 USIUVYZYUHIAEV-UHFFFAOYSA-N 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- POLCUAVZOMRGSN-UHFFFAOYSA-N dipropyl ether Chemical compound CCCOCCC POLCUAVZOMRGSN-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 239000012990 dithiocarbamate Substances 0.000 description 1
- 125000005066 dodecenyl group Chemical group C(=CCCCCCCCCCC)* 0.000 description 1
- ODQWQRRAPPTVAG-GZTJUZNOSA-N doxepin Chemical compound C1OC2=CC=CC=C2C(=C/CCN(C)C)/C2=CC=CC=C21 ODQWQRRAPPTVAG-GZTJUZNOSA-N 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 125000003700 epoxy group Chemical group 0.000 description 1
- MEGHWIAOTJPCHQ-UHFFFAOYSA-N ethenyl butanoate Chemical compound CCCC(=O)OC=C MEGHWIAOTJPCHQ-UHFFFAOYSA-N 0.000 description 1
- UIWXSTHGICQLQT-UHFFFAOYSA-N ethenyl propanoate Chemical compound CCC(=O)OC=C UIWXSTHGICQLQT-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- CKSRFHWWBKRUKA-UHFFFAOYSA-N ethyl 2-ethoxyacetate Chemical compound CCOCC(=O)OCC CKSRFHWWBKRUKA-UHFFFAOYSA-N 0.000 description 1
- UHKJHMOIRYZSTH-UHFFFAOYSA-N ethyl 2-ethoxypropanoate Chemical compound CCOC(C)C(=O)OCC UHKJHMOIRYZSTH-UHFFFAOYSA-N 0.000 description 1
- ZANNOFHADGWOLI-UHFFFAOYSA-N ethyl 2-hydroxyacetate Chemical compound CCOC(=O)CO ZANNOFHADGWOLI-UHFFFAOYSA-N 0.000 description 1
- SUPCQIBBMFXVTL-UHFFFAOYSA-N ethyl 2-methylprop-2-enoate Chemical compound CCOC(=O)C(C)=C SUPCQIBBMFXVTL-UHFFFAOYSA-N 0.000 description 1
- 229940093499 ethyl acetate Drugs 0.000 description 1
- 235000019325 ethyl cellulose Nutrition 0.000 description 1
- 229920001249 ethyl cellulose Polymers 0.000 description 1
- 229940117360 ethyl pyruvate Drugs 0.000 description 1
- 238000007765 extrusion coating Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 125000004428 fluoroalkoxy group Chemical group 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical group FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 239000001530 fumaric acid Substances 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- IPBFYZQJXZJBFQ-UHFFFAOYSA-N gamma-octalactone Chemical compound CCCCC1CCC(=O)O1 IPBFYZQJXZJBFQ-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000007756 gravure coating Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- DMEGYFMYUHOHGS-UHFFFAOYSA-N heptamethylene Natural products C1CCCCCC1 DMEGYFMYUHOHGS-UHFFFAOYSA-N 0.000 description 1
- KETWBQOXTBGBBN-UHFFFAOYSA-N hex-1-enylbenzene Chemical compound CCCCC=CC1=CC=CC=C1 KETWBQOXTBGBBN-UHFFFAOYSA-N 0.000 description 1
- 125000004836 hexamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- LNCPIMCVTKXXOY-UHFFFAOYSA-N hexyl 2-methylprop-2-enoate Chemical compound CCCCCCOC(=O)C(C)=C LNCPIMCVTKXXOY-UHFFFAOYSA-N 0.000 description 1
- LNMQRPPRQDGUDR-UHFFFAOYSA-N hexyl prop-2-enoate Chemical compound CCCCCCOC(=O)C=C LNMQRPPRQDGUDR-UHFFFAOYSA-N 0.000 description 1
- 229920006158 high molecular weight polymer Polymers 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical class I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 239000012216 imaging agent Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 239000003112 inhibitor Substances 0.000 description 1
- 229920000592 inorganic polymer Polymers 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- MGFYSGNNHQQTJW-UHFFFAOYSA-N iodonium Chemical compound [IH2+] MGFYSGNNHQQTJW-UHFFFAOYSA-N 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical group CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 150000002596 lactones Chemical group 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 125000000400 lauroyl group Chemical group O=C([*])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 239000011976 maleic acid Substances 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical group [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 150000002734 metacrylic acid derivatives Chemical class 0.000 description 1
- 229910052976 metal sulfide Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000005395 methacrylic acid group Chemical group 0.000 description 1
- AFVFQIVMOAPDHO-UHFFFAOYSA-M methanesulfonate group Chemical group CS(=O)(=O)[O-] AFVFQIVMOAPDHO-UHFFFAOYSA-M 0.000 description 1
- FMXYCZVOMYLMKM-UHFFFAOYSA-N methyl 2-hydroxy-2-methylbutanoate Chemical compound CCC(C)(O)C(=O)OC FMXYCZVOMYLMKM-UHFFFAOYSA-N 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- 229940086559 methyl benzoin Drugs 0.000 description 1
- 229920000609 methyl cellulose Polymers 0.000 description 1
- 229940057867 methyl lactate Drugs 0.000 description 1
- 229940017219 methyl propionate Drugs 0.000 description 1
- CWKLZLBVOJRSOM-UHFFFAOYSA-N methyl pyruvate Chemical compound COC(=O)C(C)=O CWKLZLBVOJRSOM-UHFFFAOYSA-N 0.000 description 1
- XJRBAMWJDBPFIM-UHFFFAOYSA-N methyl vinyl ether Chemical compound COC=C XJRBAMWJDBPFIM-UHFFFAOYSA-N 0.000 description 1
- 239000001923 methylcellulose Substances 0.000 description 1
- 235000010981 methylcellulose Nutrition 0.000 description 1
- LVHBHZANLOWSRM-UHFFFAOYSA-N methylenebutanedioic acid Natural products OC(=O)CC(=C)C(O)=O LVHBHZANLOWSRM-UHFFFAOYSA-N 0.000 description 1
- KIHJKWNSLAKEPK-UHFFFAOYSA-N n-(2-methoxyethyl)prop-2-enamide Chemical compound COCCNC(=O)C=C KIHJKWNSLAKEPK-UHFFFAOYSA-N 0.000 description 1
- YKYONYBAUNKHLG-UHFFFAOYSA-N n-Propyl acetate Natural products CCCOC(C)=O YKYONYBAUNKHLG-UHFFFAOYSA-N 0.000 description 1
- VQGWOOIHSXNRPW-UHFFFAOYSA-N n-butyl-2-methylprop-2-enamide Chemical compound CCCCNC(=O)C(C)=C VQGWOOIHSXNRPW-UHFFFAOYSA-N 0.000 description 1
- YRVUCYWJQFRCOB-UHFFFAOYSA-N n-butylprop-2-enamide Chemical compound CCCCNC(=O)C=C YRVUCYWJQFRCOB-UHFFFAOYSA-N 0.000 description 1
- JBLADNFGVOKFSU-UHFFFAOYSA-N n-cyclohexyl-2-methylprop-2-enamide Chemical compound CC(=C)C(=O)NC1CCCCC1 JBLADNFGVOKFSU-UHFFFAOYSA-N 0.000 description 1
- KKFHAJHLJHVUDM-UHFFFAOYSA-N n-vinylcarbazole Chemical compound C1=CC=C2N(C=C)C3=CC=CC=C3C2=C1 KKFHAJHLJHVUDM-UHFFFAOYSA-N 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 150000002791 naphthoquinones Chemical class 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 125000006502 nitrobenzyl group Chemical group 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- WSGCRAOTEDLMFQ-UHFFFAOYSA-N nonan-5-one Chemical compound CCCCC(=O)CCCC WSGCRAOTEDLMFQ-UHFFFAOYSA-N 0.000 description 1
- UMRZSTCPUPJPOJ-KNVOCYPGSA-N norbornane Chemical compound C1C[C@H]2CC[C@@H]1C2 UMRZSTCPUPJPOJ-KNVOCYPGSA-N 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 229960002446 octanoic acid Drugs 0.000 description 1
- 150000004010 onium ions Chemical class 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- JCGNDDUYTRNOFT-UHFFFAOYSA-N oxolane-2,4-dione Chemical compound O=C1COC(=O)C1 JCGNDDUYTRNOFT-UHFFFAOYSA-N 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- QIWKUEJZZCOPFV-UHFFFAOYSA-N phenyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OC1=CC=CC=C1 QIWKUEJZZCOPFV-UHFFFAOYSA-N 0.000 description 1
- WRAQQYDMVSCOTE-UHFFFAOYSA-N phenyl prop-2-enoate Chemical compound C=CC(=O)OC1=CC=CC=C1 WRAQQYDMVSCOTE-UHFFFAOYSA-N 0.000 description 1
- WVDDGKGOMKODPV-ZQBYOMGUSA-N phenyl(114C)methanol Chemical compound O[14CH2]C1=CC=CC=C1 WVDDGKGOMKODPV-ZQBYOMGUSA-N 0.000 description 1
- 150000004714 phosphonium salts Chemical class 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- PJGSXYOJTGTZAV-UHFFFAOYSA-N pinacolone Chemical compound CC(=O)C(C)(C)C PJGSXYOJTGTZAV-UHFFFAOYSA-N 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 125000003367 polycyclic group Chemical group 0.000 description 1
- 229920000193 polymethacrylate Polymers 0.000 description 1
- 239000004926 polymethyl methacrylate Substances 0.000 description 1
- 229920005862 polyol Polymers 0.000 description 1
- 150000003077 polyols Chemical class 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- BOQSSGDQNWEFSX-UHFFFAOYSA-N propan-2-yl 2-methylprop-2-enoate Chemical compound CC(C)OC(=O)C(C)=C BOQSSGDQNWEFSX-UHFFFAOYSA-N 0.000 description 1
- LYBIZMNPXTXVMV-UHFFFAOYSA-N propan-2-yl prop-2-enoate Chemical compound CC(C)OC(=O)C=C LYBIZMNPXTXVMV-UHFFFAOYSA-N 0.000 description 1
- 229960000380 propiolactone Drugs 0.000 description 1
- ILVGAIQLOCKNQA-UHFFFAOYSA-N propyl 2-hydroxypropanoate Chemical compound CCCOC(=O)C(C)O ILVGAIQLOCKNQA-UHFFFAOYSA-N 0.000 description 1
- NHARPDSAXCBDDR-UHFFFAOYSA-N propyl 2-methylprop-2-enoate Chemical compound CCCOC(=O)C(C)=C NHARPDSAXCBDDR-UHFFFAOYSA-N 0.000 description 1
- ILPVOWZUBFRIAX-UHFFFAOYSA-N propyl 2-oxopropanoate Chemical compound CCCOC(=O)C(C)=O ILPVOWZUBFRIAX-UHFFFAOYSA-N 0.000 description 1
- 229940090181 propyl acetate Drugs 0.000 description 1
- PNXMTCDJUBJHQJ-UHFFFAOYSA-N propyl prop-2-enoate Chemical compound CCCOC(=O)C=C PNXMTCDJUBJHQJ-UHFFFAOYSA-N 0.000 description 1
- RUOJZAUFBMNUDX-UHFFFAOYSA-N propylene carbonate Chemical compound CC1COC(=O)O1 RUOJZAUFBMNUDX-UHFFFAOYSA-N 0.000 description 1
- 229940079877 pyrogallol Drugs 0.000 description 1
- 150000003232 pyrogallols Chemical class 0.000 description 1
- 238000010791 quenching Methods 0.000 description 1
- 230000000171 quenching effect Effects 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229930195734 saturated hydrocarbon Natural products 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000003381 stabilizer Substances 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- 150000003440 styrenes Chemical class 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 125000000565 sulfonamide group Chemical group 0.000 description 1
- 125000000542 sulfonic acid group Chemical group 0.000 description 1
- 125000004434 sulfur atom Chemical group 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- VAOHZKXZONQRLI-UHFFFAOYSA-N tert-butyl 2-(4-methylphenyl)sulfonyloxy-2-phenylacetate Chemical compound C(C)(C)(C)OC(C(OS(=O)(=O)C1=CC=C(C=C1)C)C1=CC=CC=C1)=O VAOHZKXZONQRLI-UHFFFAOYSA-N 0.000 description 1
- MNEZBXTZLVGVNY-UHFFFAOYSA-N tert-butyl 2-(4-methylphenyl)sulfonyloxyacetate Chemical compound CC1=CC=C(S(=O)(=O)OCC(=O)OC(C)(C)C)C=C1 MNEZBXTZLVGVNY-UHFFFAOYSA-N 0.000 description 1
- SJMYWORNLPSJQO-UHFFFAOYSA-N tert-butyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OC(C)(C)C SJMYWORNLPSJQO-UHFFFAOYSA-N 0.000 description 1
- ISXSCDLOGDJUNJ-UHFFFAOYSA-N tert-butyl prop-2-enoate Chemical compound CC(C)(C)OC(=O)C=C ISXSCDLOGDJUNJ-UHFFFAOYSA-N 0.000 description 1
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical group CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 description 1
- HTSABYAWKQAHBT-UHFFFAOYSA-N trans 3-methylcyclohexanol Natural products CC1CCCC(O)C1 HTSABYAWKQAHBT-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 125000005409 triarylsulfonium group Chemical group 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 description 1
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical group C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 description 1
- 239000012953 triphenylsulfonium Substances 0.000 description 1
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 1
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 1
- NQPDZGIKBAWPEJ-UHFFFAOYSA-N valeric acid Chemical compound CCCCC(O)=O NQPDZGIKBAWPEJ-UHFFFAOYSA-N 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- KOZCZZVUFDCZGG-UHFFFAOYSA-N vinyl benzoate Chemical compound C=COC(=O)C1=CC=CC=C1 KOZCZZVUFDCZGG-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
- G03F7/0755—Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Materials For Photolithography (AREA)
Abstract
本公开涉及半导体器件的制造方法。一种制造半导体器件的方法,所述方法包括在衬底上形成第一层,所述第一层包括有机材料。在第一层上形成第二层,其中,所述第二层包括含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、包括碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体。在第二层上形成光敏层,且对所述光敏层进行图案化。
Description
相关申请
本申请要求2022年8月31日提交的第63/402,851号美国临时专利申请的优先权,所述美国临时专利申请的全文通过引用并入本文中。
技术领域
本申请涉及用于半导体器件各层的组合物以及制造半导体器件的方法。
背景技术
随着消费者器件响应于消费者需求而变得越来越小,这些器件的各个部件也必须减小尺寸。构成例如移动电话、计算机平板电脑等器件的主要部件的半导体器件已被迫变得越来越小,对应地也迫使半导体器件内的各个器件(例如,晶体管、电阻器、电容器等)也要减小尺寸。
在半导体器件的制造过程中使用的一种使能技术是使用光刻材料。将此类材料施加至待图案化的层的表面,然后曝光于本身已被图案化的能量。此类曝光改性了光敏材料的曝光区域的化学和物理性质。可以利用这种改性以及在未曝光的光敏材料区域中缺乏改性,来去除一个区域而不去除另一个区域。
然而,随着各个器件的尺寸减小,用于光刻处理的工艺窗口变得越来越收紧。如此,光刻处理领域中的进步对于维持按比例缩小器件的能力是必需的,并且为了满足期望的设计标准,以便可以保持朝向越来越小的部件前进,还需要进一步的改进。
发明内容
本公开的一些实施方式涉及一种制造半导体器件的方法,所述方法包括:在衬底上形成第一层,所述第一层包括有机材料;在第一层上形成第二层,其中,所述第二层包括含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、包括碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;在第二层上形成光敏层,且图案化所述光敏层。
本公开的一些实施方式涉及一种制造半导体器件的方法,包括:在衬底上形成底部抗反射涂层;在底部抗反射涂层上形成中间层,其中,所述中间层包括含硅材料和选自以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;在中间层上形成光敏层;使光敏层选择性地曝光于光化辐射以形成潜在图案;和使选择性曝光的光敏层显影以在光敏层中形成图案。
本公开的一些实施方式涉及一种组合物,所述组合物包含:
含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体,
其中,所述光致酸产生剂包括选自以下组成的组的阴离子:
选自以下组成的组的阳离子:
光化辐射吸收添加剂,所述光化辐射吸收添加剂具有碘取代基,所述光化辐射吸收添加剂具有结构In-R1,其中,n=1-10,且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10-乙酰基基团、C3-10-乙酰烷基基团、C1-C10-羧基基团、C2-C10-烷基羧基基团、C3-C10-环烷基羧基基团或金刚烷基基团;和
所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20羧基基团、C2-C20-烷基羧基基团、C1-C20-烷基氟基团、C6-C20-芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘基团或1-10个酚OH基团,或Z是单键,或D是H;R4、R5和R6各自为H或取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团或C4-C20环烷基羧基基团。
附图说明
当结合附图阅读时,从以下详细描述将最好地理解本公开。要强调的是,根据行业中的标准实践,各种特征未按比例绘制并且仅用于说明目的。实际上,为了讨论清楚起见,各种特征的尺寸可以任意增大或减小。
图1示出根据本公开实施方式的制造半导体器件的工艺流程。
图2A和图2B示出根据本公开实施方式的顺序操作的工艺阶段。
图3示出根据本公开一个实施方式的顺序操作的工艺阶段。
图4示出根据本公开一个实施方式的顺序操作的工艺阶段。
图5A和图5B示出根据本公开实施方式的顺序操作的工艺阶段。
图6示出根据本公开一个实施方式的顺序操作的工艺阶段。
图7A和图7B示出根据本公开实施方式的顺序操作的工艺阶段。
图8示出根据本公开一个实施方式的顺序操作的工艺阶段。
图9A和图9B示出根据本公开实施方式的顺序操作的工艺阶段。
图10A和图10B示出根据本公开实施方式的顺序操作的工艺阶段。
图11A和图11B示出根据本公开实施方式的顺序操作的工艺阶段。
图12A和图12B示出根据本公开实施方式的顺序操作的工艺阶段。
图13A和图13B示出根据本公开实施方式的顺序操作的工艺阶段。
图14A和图14B示出根据本公开实施方式的顺序操作的工艺阶段。
图15示出根据本公开实施方式的用于底部层组合物的聚合物。
图16示出根据本公开实施方式的用于底部层组合物的聚合物。
图17示出根据本公开实施方式用于底部层组合物的聚合物。
图18A、图18B和图18C示出根据本公开实施方式用于底部层组合物的聚合物。
图19示出根据本公开实施方式的中间层添加剂。
图20示出根据本公开实施方式的光致酸产生剂阳离子中间层添加剂。
图21示出根据本公开实施方式的光致酸产生剂阴离子中间层添加剂。
图22示出根据本公开实施方式的光致酸产生剂中间层添加剂。
图23示出根据本公开实施方式的含硅单体。
图24示根据出本公开实施方式的含硅单体。
图25示出根据本公开一个实施方式的顺序操作的工艺阶段。
图26示出根据本公开实施方式的包括光致酸产生剂的含硅单体。
图27A和27B示出根据本公开实施方式的中间层组分的聚合反应。
图28示出根据本公开一个实施方式的聚合物结合光致酸产生剂的酸产生反应。
图29示出通过根据本公开一个实施方式的方法制造的半导体器件。
图30A、图30B、图30C、图30D、图30E、图30F、图30G、图30H、图30I、图30J、图30K、图30L、图30M、图30N、图30O、图30P、图30Q和图30R示出根据本公开实施方式的顺序操作的工艺阶段。
具体实施方式
应当理解的是,以下公开提供了用于实现本公开的不同特征的许多不同的实施方式或示例。下文描述了部件和布置的具体实施方式或示例以简化本公开。当然,这些仅是示例,并不旨在进行限制。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于工艺条件和/或器件的期望特性。此外,在下面的描述中在第二特征上方或之上形成第一特征可包括其中第一特征和第二特征以直接接触形成的实施方式,并且还可包括其中可以形成插置在第一特征与第二特征之间的附加特征,使得第一特征和第二特征可不直接接触的实施方式。为了简单和清楚起见,可以以不同比例任意绘制各种特征。
此外,为了描述方便起见,本文中可以使用空间相对术语,例如“在……下方”、“在……以下”、“在……下部”、“在……上方”、“在……上部”等来描述如图所示的一个元件或特征与另外一个或多个元件或特征的关系。除了图中所描绘的取向外,空间相对术语还旨在涵盖器件在使用或操作中的不同取向。可以将器件以其他方式定向(旋转90度或处于其他取向),并且可以同样地相应解释本文中所使用的空间相对描述词。另外,术语“由……制成”可以表示“包含”或“由……组成”。所述器件可以以其他方式定向(旋转90度或在处于其他方向),并且本文中使用的空间相对描述也可以相应地解释。此外,在以下制造工艺中,在所描述操作之间可以存在一个或多个额外的操作,并且操作顺序可以改变。针对一个实施方式解释的材料、配置、尺寸、工艺和/或操作可以用于其他实施方式,并且其详细描述在其他实施方式中可以省略。源极区域/漏极区域,根据上下文,可以指单独的源极区域、漏极区域,或指源极区域和漏极区域。
随着半导体器件图案特征变得越来越小,光致抗蚀剂图案分辨率变得越来越重要。在13.5nm曝光的极紫外(extreme ultraviolet,EUV)光刻已用于20nm以下的半导体器件临界尺寸。在化学放大电阻(chemically amplified resist,CAR)中,由EUV光子产生的二次电子激活光致酸产生剂(photoacid generator,PAG)和光分解猝灭剂(photo-decomposable quencher,PDQ)。然而,由于光致抗蚀剂对13.5nm辐射的弱吸收,在EUV光刻过程中可能形成毛边(scum)缺陷。低EUV光子吸收会导致PAG/PDQ活化效率低下。留在沟槽中的未显影抗蚀剂会导致桥接线(bridging line)或足部效应(footing),导致无法将光致抗蚀剂图案转移到底部层。此外,CAR可能会遭受分辨率、线边缘粗糙度和灵敏度(RLS)折衷以及蚀刻电阻不足,从而导致较差的线宽粗糙度(line-width-roughness,LWR)和较差的局部临界尺寸均匀性(local critical dimension uniformity,LCDU)。本公开的实施方式克服了CAR的这些缺点,并提供改进的分辨率、线边缘粗糙度、灵敏度、线宽粗糙度、局部临界尺寸均匀性和抗蚀刻性。
使用三层抗蚀剂提供增加的图案分辨率和蚀刻电阻率。三层抗蚀剂包括底部层、中间层和上部光敏层。中间层中的高硅含量为光敏上部层和底部层提供良好的粘附性、低反射率和高度的蚀刻选择性。在一些实施方式中,形成的中间层包括的单体在加热时会交联且其末端羟基与Si-O键反应以形成高分子量聚合物。底部层,例如底部抗反射涂层(bottom anti-reflective coating,BARC)或旋涂碳(spin on carbon,SOC)涂层,用于在后续处理操作期间平坦化器件或保护半导体器件特征,例如金属栅极。本公开的实施方式包括减少毛边缺陷的方法和材料,从而提高了图案分辨率、降低了线宽粗糙度、降低了线边缘粗糙度和提高可半导体器件成品率。本公开的实施方式进一步使得能够使用较低的曝光剂量来有效地曝光和图案化光致抗蚀剂。
本公开的实施方式包括在中间层中的光致酸产生剂(photoacid generator,PAG),所述中间层包括含硅材料。在一些实施方式中,PAG是阳鎓离子基团。在一些实施方式中,PAG结合到中间层中的聚合物或单体。一旦曝光于光化辐射,PAG便在中间层中产生酸,并且所产生的酸随后从中间层扩散到曝光区域中的中间层/上部层界面。扩散到上部光敏层中的酸与抗蚀剂聚合物反应并减少毛边缺陷。此外,从中间层扩散的酸补充上部层中的光生酸,从而减少完全曝光光敏层所需的曝光剂量。较低的所需曝光剂量增加了在光刻操作期间可以处理的每小时晶片(wafers per hour,WPH)的数量,从而使得器件成品率更高和器件制造效率提高。
本公开的实施方式包括在中间层中的含硅材料和具有碘取代基的光化辐射吸收添加剂。一旦曝光于光化辐射,光化辐射吸收添加剂便吸收光化辐射,并在中间层和中间层/上部层界面处产生二次电子,二次电子随后从中间层扩散到曝光区域的中间层/上面层界面。扩散到上部光敏层中的二次电子激活光敏层中的光致酸产生剂或光分解猝灭剂(PDQ),从而减少完全曝光光敏层所需的曝光剂量。较低的所需曝光剂量增加了在光刻操作期间可以处理的每小时晶片(WPH)的数量,从而使得器件成品率更高和器件制造效率提高。
本公开的实施方式包括在中间层中的含硅材料和具有碘取代基或酚基取代基的含硅单体。碘基取代基和酚基取代基提供增加的光化辐射吸收,并改善单体的交联能力。一旦曝光于光化辐射,含硅单体便吸收光化辐射并在中间层和中间层/上部层界面处产生二次电子,二次电子随后从中间层扩散到曝光区域中的中间层/上部层界面。扩散到上部光敏层中的二次电子激活光敏层中的光致酸产生剂或光分解猝灭剂(PDQ),从而减少完全曝光光敏层所需的曝光剂量。较低的所需曝光剂量增加了在光刻操作期间可以处理的每小时晶片(WPH)的数量,从而使得器件成品率更高和器件制造效率提高。此外,含硅单体可以与含硅材料和其他含硅单体交联以增强中间层。在一些实施方式中,含硅单体的密度小于中间层的含硅材料和其他组分的密度,并且含硅单体浮在中间层的表面上。在其他实施方式中,含硅单体的密度高于其他中间层组分的密度或与其他中间层组分的密度大约相同。
在一些实施方式中,中间层包括一种或多种以下材料:光致酸产生剂、光化辐射吸收添加剂和含硅单体,所述光化辐射吸收添加剂具有碘取代基,所述含硅单体具有碘取代基或酚基取代基。例如,在一些实施方式中,中间层包括光致酸产生剂和光化辐射吸收添加剂。在其他实施方式中,中间层包括光致酸产生剂和含硅单体,而在其他实施方案中,中间层包括辐射吸收添加剂和含硅单体。在一些实施方式中,中间层包括光致酸产生剂、光化辐射吸收添加剂和含硅单体。
图1示出本公开实施方式的制造半导体器件的工艺流程100。在操作S105中,将第一层(或底部层)组合物涂覆在衬底的表面上以形成第一(或底部)层110,如图2A中所示。在一些实施方式中,衬底具有在其上形成的器件特征,如图2B所示。在一些实施方式中,底部层110是底部抗反射涂层(bottom anti-reflection coating,BARC)层或平面化层。在一些实施方式中,底部层110是旋涂碳层。在一些实施方式中,底部层110具有从约10nm到约2000nm的厚度。在一些实施方式中,底部层的厚度在约200nm到约1,500nm之间。厚度小于所公开范围的底部层可能无法为半导体器件特征提供足够的保护以免受后续处理操作的影响,或者可能无法提供足够的平面化。大于所公开范围的底部层厚度可以是不必要的厚度,并且可能无法对下层器件特征(underlying device feature)或平面化提供任何额外的显著保护。在一些实施方式中,下层特征包括具有鳍片结构或栅极结构的晶体管。在一些实施方式中,下层特征包括导电层105,例如金属层。
在一些实施方式中,底部层110经历第一烘烤操作S110以蒸发溶剂或固化底部层组合物。在一些实施方式中,所述烘烤操作S110交联底部层组合物。底部层110在足以固化和干燥底部层110的温度和时间下烘烤。在一些实施方式中,底部层在约40℃到约400℃范围内的温度下加热约10秒到约10分钟。在其他实施方式中,底部层110在约100℃到约400℃范围内的温度下加热。在其他实施方式中,底部层110在约250℃到约350℃范围内的温度下加热。在其他实施方式中,底部层110在约200℃到约300℃范围内的温度下加热。在低于所公开的范围的温度下加热底部层可能导致固化或交联不充分,而在高于所公开范围的温度下加热底部层可能会损坏底部层和下层器件特征。在一些实施方式中,固化操作S110通过将底部层曝光于光化辐射来进行。在一些实施方式中,光化辐射是紫外线辐射。在一些实施方式中,紫外线辐射具有从约100nm到小于约300nm的波长。
在一些实施方式中,底部层组合物和衬底10或导电层105之间的毛细管力增强了底部层组合物的间隙填充。底部层组合物中聚合物中的极性基团可以与衬底10或待图案化的目标层(例如导电层105)相互作用,这可以增强间隙填充。
在操作S115中,将第二层(或中间层)组合物涂覆在底部层110的表面上,以形成第二(或中间)层115,如图3所示。中间层115可以具有提供光刻操作的抗反射特性或提供硬掩模特性的组合物。在一些实施方式中,中间层115相对于底部层和上部层都具有高蚀刻选择性,并且中间层115提供对底部层和上部层的良好粘附。在一些实施方式中,中间层115包括含硅材料(例如,硅硬掩模材料)。中间层115可以包括旋涂玻璃或硅氧烷、硅氧烷低聚物和聚合物(例如-聚硅氧烷)。在一些实施方式中,中间层组合物包含含硅单体、光致酸产生剂、具有结合的光致酸产生剂基团的含硅单体、具有结合的光致酸产生剂的含硅聚合物、光化辐射吸收添加剂或其组合。在一些实施方式中,光化辐射吸收添加剂具有一个或多个碘作为取代基并且具有对极紫外的高吸收。
在一些实施方式中,中间层115具有从约10nm到约500nm的厚度。在一些实施方式中,中间层115的厚度为从约20nm到约200nm。在一些实施方式中,底部层厚度与中间厚度的比率在约1:1到约200:1的范围内。小于所公开范围的中间层厚度可能无法提供足够的粘附或抗蚀刻性。大于所公开范围的中间层厚度可以是不必要的厚度,并且不能提供任何额外的显著粘附或抗蚀刻性。
在一些实施方式中,中间层115经历第二烘烤操作S120以蒸发溶剂或固化中间层组合物。在一些实施方式中,第二烘烤操作S120使具有光致酸产生剂基团的化合物与含硅化合物反应。在一些实施方式中,第二烘烤操作S120使具有碘取代基或酚基取代基的含硅单体或具有光致酸产生剂基团的含硅单体与其他含硅单体、低聚物或聚合物聚合或交联。中间层115在约40℃至约400℃范围内的温度下加热约10秒至约10分钟。在其他实施方式中,中间层115在约150℃到约400℃范围内的温度下加热,并且在其他实施方案中,中间层在约200℃至约300℃范围内的温度下加热。在低于所公开范围的温度下加热中间层可能导致不充分的固化或交联,而在高于所公开范围的温度下加热中间层则可能导致对中间层和下层器件特征的损坏。
在一些实施方式中,在操作S125中,光敏上部层120通过在中间层115上涂覆抗蚀剂组合物形成,如图4所示。在一些实施方式中,光敏层120是光致抗蚀剂层。底部层110、中间层115和光敏(或上部)层120一起构成三层抗蚀剂125。然后,在一些实施方式中,光致抗蚀剂层120经历第三烘烤操作S130(或曝光前烘烤)以蒸发抗蚀剂组合物中的溶剂。光敏层120在足以固化和干燥的温度和时间下烘烤。在一些实施方式中,光敏层在约40℃到约120℃范围内的温度下加热约10秒到约10分钟。
在光致抗蚀剂层120的曝光前烘烤操作S130之后,在操作S135中,将光致抗蚀剂层120和中间层115选择性地曝光(或按图案曝光)于光化辐射45/97(参见图5A和5B)。在一些实施方式中,光致抗蚀剂层120和中间层选择性地曝光于紫外线辐射。在一些实施方式中,辐射是电磁辐射,例如g-线(波长约436nm)、i-线(波长约365nm)、紫外线辐射、深紫外线辐射、极紫外辐射、电子束等。在一些实施方式中,辐射源选自汞蒸气灯、氙灯、碳弧灯、KrF准分子激光光(波长248nm)、ArF准分子激光光(波长193nm)、F2准分子激光光(波长157nm)或CO2激光激发的Sn等离子体(极紫外,波长13.5nm)。
如图5A所示,在一些实施方式中,曝光辐射45在照射光致抗蚀剂层120和中间层115之前穿过光掩模30。在一些实施例中,光掩模30具有待在光致抗蚀剂层120中复制的图案。在一些实施例中,所述图案由光掩模衬底40上的不透明图案35形成。所述不透明图案35可以由诸如铬之类的对紫外辐射不透明的材料形成,而光掩模衬底40由诸如熔融石英之类的对紫外辐射透明的材料形成。
在一些实施方式中,光致抗蚀剂层120和中间层115的选择性曝光以形成曝光区域50、115a和未曝光区域52、115是使用极紫外光刻进行的。在一些实施方式中,在极紫外光刻操作中,反射光掩模65用于形成图案化的曝光,如图5B所示。反射光掩模65包括低热膨胀玻璃衬底70,在所述热膨胀玻璃衬底70上形成Si和Mo的反射多层75。在反射多层75上形成帽盖层(capping layer)80和吸收层85。在低热膨胀衬底70的背侧上形成后导电层90。在极紫外光刻中,极紫外辐射95以约为6°的入射角指向反射光掩模65。极紫外辐射的一部分97被Si/Mo多层75朝向涂覆有光致抗蚀剂的衬底10反射,而极紫外辐射的入射到吸收层85上的部分被光掩模吸收。在一些实施方式中,附加光学器件,包括反射镜(mirror),位于反射光掩模65与涂覆有光致抗蚀剂的衬底之间。
光致抗蚀剂层的暴露于辐射的区域50经历化学反应,从而相对于光致抗蚀剂层的未暴露于辐射的区域52改变其在随后施加的显影剂中的溶解度。在一些实施方式中,光化辐射使中间层115曝光于辐射的部分中的光致酸产生剂产生酸。在一些实施方式中,光化辐射使光致抗蚀剂层120中的光致酸产生剂产生酸。在一些实施方式中,光致抗蚀剂层120中的光致酸产生剂化合物的阴离子或阳离子不同于中间层115中光致酸产生剂的阴离子或阴离子。在一些实施方式中,光化辐射使具有碘取代基的光化辐射吸收添加剂或其他含碘分子(例如交联的含硅单体)产生二次电子。
接下来,在操作S140中,三层抗蚀剂125经历第四烘烤(或曝光后烘烤(post-exposure bake,PEB))。在一些实施方式中,将光敏层120和中间层115在约50℃到约160℃范围内的温度下加热约20秒到约120秒。曝光后烘烤可用于辅助在曝光期间从辐射45/97冲击光致抗蚀剂层120和中间层115产生的酸或猝灭剂的产生、分散和反应。曝光后烘烤操作S140辅助在中间层115a中产生的酸从曝光于光化辐射的中间层的部分115a扩散到光致抗蚀剂层120的曝光部分50中。这种辅助有助于创建或增强化学反应,所述化学反应在光致抗蚀剂层内的曝光区域50和未曝光区域52之间产生化学差异,从而提高随后显影的图案的分辨率,并减少可能在光致抗蚀剂层120的底部发生的抗蚀剂毛边。
随后,在操作S145中,通过将显影剂涂覆到选择性曝光的光致抗蚀剂层来显影选择性曝光的光致抗蚀剂层。如图6所示,从分配器62向选择性曝光的光致抗蚀剂层120提供显影剂57。在一些实施方式中,光致抗蚀剂是正色调抗蚀剂,并且光致抗蚀剂层的曝光区域50由显影剂57去除,在光致抗蚀剂层120中形成开口55的图案,以曝光中间层115a,如图7A所示。在其他实施方式中,光致抗蚀剂是负色调抗蚀剂,并且光致抗蚀剂层的未曝光区域52由显影剂57去除,在光致抗蚀剂层120中形成开口55’的图案,以曝光中间层115a,如图7B所示。
在一些实施方式中,在操作S150中,使用针对各层选择的合适的蚀刻剂使光致抗蚀剂层中的开口或图案55、55’延伸通过中间层115和底部层110,以形成延伸的开口或图案55”,如图8所示。在一些实施方式中,延伸的开口或图案55’中衬底10的曝光部分使用合适的蚀刻操作移除,如图9A所示。在其他实施方式中,如果待图案化的目标层在衬底上形成,例如导电层105(参见图2B),则使用适当的蚀刻技术移除目标层105的曝光部分,如图9B中所示。在操作S155中,光致抗蚀剂层120、中间层115和底部层110随后使用合适的光致抗蚀剂剥离、蚀刻或等离子体灰化操作来去除,如图10A和10B所示。在其他实施方式中,在光致抗蚀剂层120的图案55延伸到中间层115以形成图案化中间层之后,移除光致抗蚀剂层120,然后通过使用图案化的中间层作为蚀刻掩模,对底部层(bottom layer)110和下部层(underlying layer)10、105图案化。
在其他实施方式中,目标层145,例如层间电介质(interlayer dielectric,ILD)层,在衬底10上或在布置在衬底上的特征上形成。三层抗蚀剂125使用本文所述的材料和操作在目标层145上形成,并且在三层抗蚀剂125中形成开口140,如图11A和11B所示。在一些实施方式中,通过适当的光致抗蚀剂剥离或等离子体灰化操作去除光致抗蚀剂层120,如图12A和12B所示。然后,中间层115用作硬掩模,以使开口140延伸到ILD层145中,形成开口140’,曝光衬底10或导电层105,如图13A和13B所示。在形成开口140'后,中间层和底部层通过适当的操作(例如蚀刻和等离子体灰化)去除,如图13A和13B所示。在一些实施方式中,通过适当的沉积技术用导电材料填充开口140’,随后在开口中形成导电触点150,如图14A和14B所示。在一些实施方式中,沉积技术包括电镀、化学气相沉积(chemical vapordeposition,CVD)、物理气相沉积技术(physical vapor deposition,PVD)或原子层沉积(atomic layer deposition,ALD)技术。在一些实施方式中,导电触点150由一种或多种选自如下的金属形成:钨、铜、镍、钛、钽、铝及其合金。在一些实施方式中,进行平面化操作,例如化学机械抛光或回蚀操作,以去除沉积在ILD层145的上表面上的金属。
在一些实施方式中,衬底10至少在其表面部分上包括单晶半导体层。衬底10可以包括单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一些实施方式中,衬底10是SOI(绝缘体上的硅(silicon-oninsulator))衬底的硅层。在某些实施方式中,衬底10由晶体硅制成。
衬底10可以包括在其表面区域中的一个或多个缓冲层(未示出)。缓冲层可以用于将晶格常数从衬底的晶格常数逐渐改变为随后形成的源极区域/漏极区域的晶格常数。缓冲层可以由外延生长的单晶半导体材料形成,例如但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN和InP。在一个实施方式中,硅锗(SiGe)缓冲层外延生长在硅衬底10上。SiGe缓冲层的锗浓度可以从最底部缓冲层的30原子%增加到最顶部缓冲层的70原子%。
在一些实施方式中,衬底10包括一层或多层至少一种金属、金属合金和金属氮化物/金属硫化物/金属氧化物/金属硅化物,所述金属氮化物/金属硫化物/金属氧化物/金属硅化物具有式MXa,其中,M是金属,且X是N、S、Se、O、Si,并且a是从约0.4到约2.5。在一些实施方式中,衬底10包括钛、铝、钴、钌、氮化钛、氮化钨、氮化钽及其组合。
在一些实施方式中,衬底10包括至少具有硅或金属的氧化物或氮化物的电介质,所述硅或金属的氧化物或氮化物具有式MXb,其中,M是金属或Si,X是N或O,并且b的范围为从约0.4到约2.5。在一些实施方式中,衬底10包括二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧及其组合。
图15示出根据本公开的一些实施方式的底部层、BARC层、平面化层或旋涂碳层(底部层)组合物的一些组分。在一些实施方式中,底部层组合物包含有机聚合物,包括但不限于:聚羟基苯乙烯、聚丙烯酸酯、聚甲基丙烯酸酯、聚乙烯基苯酚、聚苯乙烯及其共聚物。在一些实施方式中,有机聚合物是聚(4-羟基苯乙烯)、聚(4-乙烯基苯酚-共-甲基丙烯酸甲酯)共聚物和聚(苯乙烯)-b-聚(4-羟基苯乙烯)共聚物,如图15所示。
在一些实施方式中,底部层组合物包含碳主链聚合物、第一交联剂和第二交联剂。
在一些实施方式中,第一交联剂是选自如下的一种或多种:A-(OR)x、A-(NR)x、
其中,A是分子量在约100到约20000范围内的单体、低聚物或第二聚合物;R是烷基基团、环烷基基团、环烷基环氧基基团或C3-C15杂环基基团;OR是烷氧基基团、环烷基氧基基团、碳酸酯基团、烷基碳酸酯基团(alkylcarbonate group)、羧酸烷基酯基团(alkylcarbonate group)、对甲苯磺酸酯基团或甲磺酸酯基团;NR是烷基酰胺基团或烷基氨基基团;x的范围为2到1000。在一些实施方式中,低聚物或第二聚合物的分子量是重均分子量。在一些实施方式中,R是(CH2)yCH3,其中,0≤y≤14。在一些实施方式中,OR是(-O(CH2CH2O)a-CH2CH3),其中,1≤a≤6。在一些实施方式中,R、OR和NR包括链结构、环结构或3-D结构。在一些实施方式中,3-D结构选自由冰片基(norbornyl)基团、金刚烷基基团、篮烷基(basketanyl)基团、异三环癸烷基(twistanyl)基团、立方烷基(cubanyl)基团和十二面烷基(dodecahedranyl)基团组成的组。
在一些实施方式中,第二交联剂是选自由如下组成的组的一种或多种:A-(OH)x、A-(OR’)x、A-(C=C)x和A-(C≡C)x,其中,A是分子量在100到20,000范围内的单体、低聚物或第二聚合物;R’是烷氧基基团、烯基基团或炔基基团;x的范围为2到1000。在一些实施方式中,R是(CH2)yCH3,其中,0≤y≤14。在一些实施方式中,R和OR包括链结构、环结构或3-D结构。在一些实施方式中,3-D结构选自由冰片基基团、金刚烷基基团、篮烷基基团、异三环癸烷基基团、立方烷基基团和十二面烷基基团组成的组。
在一些实施方式中,碳主链聚合物包含位于聚合物上的交联位点。
在一些实施方式中,第一交联剂和第二交联剂的浓度的范围为第一交联剂和第二交联剂以及碳主链聚合物的总重量的约20wt.%到约50wt.%。在一些实施方式中,少于约20wt.%的交联剂导致交联不足。在一些实施方式中,超过约50wt.%的交联剂未提供或仅提供可忽略的交联改善。在一些实施方式中,第一交联剂的浓度范围为第一交联剂和第二交联剂以及碳主链聚合物的总重量的约5wt.%到约40wt.%。在一些实施方式中,第二交联剂的浓度范围为第一交联剂和第二交联剂以及碳主链聚合物的总重量的约5wt.%到约40wt.%的范围内。在一些实施方式中,第一交联剂的浓度约等于第二交联剂的浓度。
在一些实施方式中,底部层110在约100℃到约170℃范围内的温度下经历第一加热,以形成部分交联层。在一些实施方式中,第一加热在约100℃到约150℃范围内的温度下。
选择底部层组合物的粘度,使得当其旋涂在衬底上时提供目标厚度。在一些实施方式中,底部层组合物在约20℃下的粘度为约0.1×106Pa·s到约1×106Pa·s,并且以约1500rpm旋涂在衬底上。在一些实施方式中,第一加热在约100℃到约170℃下进行,使得部分聚合物交联,并使得粘度从约0.1×106Pa·s到约1×106Pa·s的范围增加到约100×106Pa·s到约1×108Pa·s的范围。第二次加热在约170℃到约300℃下进行,使得进一步的聚合物交联,并使得粘度从约100×106Pa·s到约1×108Pa·s的范围增加到固态层。第一加热温度低于约100℃可能导致部分交联不充分。第一加热温度高于约170℃可能导致可忽略的额外部分交联,或可能过早触发第二交联剂。在一些实施方式中,在第一温度下加热底部层110约10秒到约5分钟,以部分交联底部层110。在一些实施方式中,第一加热进行约30秒到约3分钟。在一些实施方式中,第二加热进行约30秒到约3分钟。
在一些实施方式中,在第一加热之后,使底部层110在约20℃到约25℃下冷却约10s到约1min。然后,使底部层110随后在高于第一温度的第二温度下经历第二加热,以形成进一步或完全交联的底部层110。在一些实施方式中,第二温度范围为约170℃到约300℃。在一些实施方式中,第二温度范围为约180℃到约300℃。在一些实施方式中,第二温度范围为约200℃到约280℃。第二加热在约170℃以下的温度下进行可能会导致交联不足。第二加热温度高于约300℃或400℃可能导致形成层110的有机材料的层回流或分解或降解不可接受的增加。在一些实施方式中,层110在第二温度下加热约30秒到约3分钟。在其他实施方式中,第二加热进行约30秒到约2分钟。在第二加热之后,在进行后续过程之前,底部层在约20℃到约25℃下冷却约10s到约1min。
图16示出根据本公开实施方式的底部层110中的交联操作的示例。在一个实施方式中,底部层包括主聚合物,例如聚羟基苯乙烯、具有四个烷氧基交联基团的低活化能(Ea)交联剂和具有四个羟基的高活化能(Ea)交联剂。底部层经历低温烘烤操作,例如在约130℃下加热,这触发低Ea交联剂部分交联主聚合物。然后,进程高温烘烤操作,例如在约250℃下加热,这触发高Ea交联剂以更充分地交联主聚合物。
在一些实施方式中,底部层由聚合物组合物制成,所述聚合物组合物包含具有图17的重复单元1-12中的一个或多个的聚合物。在图17中,a、b、c、d、e、f、g、h和i各自独立地是H、-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或–R(SH)2,其中,各个重复单元1-12上的a、b、c、d、e、f、g、h和i中的至少一个不是H。R、R1和R2各自独立地为C1-C10烷基基团、C3-C10环烷基基团、C1-C10羟基烷基基团、C2-C10烷氧基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-C10乙酰基烷基基团、C1-C10羧基基团、C2-C10烷基羧基基团、C4-C10环烷基羧基基团,n为2-1000。由图17的重复单元1-12形成的聚合物可在加热或曝光于光化辐射下交联。在一些实施方式中,底部层组合物包含交联剂或偶联剂中的一种或多种。当加热或曝光于光化辐射时,交联剂交联底部层组合物。图18A、18B和18C示出了根据本公开实施方式的重复单元1-12的示例。在一些实施方式中,每个重复单元包括两个或官能团。
在一些实施方式中,聚合物包括具有羟基基团、胺基基团或巯基基团中的一个或多个的重复单元。在一些实施方式中,每个重复单元包括至少两个官能团,所述官能团选自如下的一个或多个:-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或–R(SH)2,其中,R为C1-C10烷基基团、C3-C10环烷基基团、C1-C10羟基烷基基团、C2-C10烷氧基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-C10乙酰基烷基基团、C1-C10羧基基团、C2-C10烷基羧基基团或C4-C10环烷基羧基基团。
在一些实施方式中,底部层组合物包含具有本文公开的图17-图18C中公开的一个或多个重复单元的聚合物。在一些实施方式中,至少一个重复单元包括-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或–R(SH)2中的三个或更多个。在一些实施方式中,聚合物包括至少一个具有三个或更更多个-OH基团的重复单元。
在一些实施方式中,交联剂具有以下结构:
在其他实施方式中,交联剂具有以下结构:
其中,C是碳,n的范围为1到15;A和B独立地包括:氢原子;羟基基团;卤素;碳数在1和12之间的芳香碳环或直链或环状烷基、烷氧基/氟、烷基/氟烷氧基链;并且每个碳C包含A和B;碳C链第一端处的第一末端碳C包括X,碳链第二端处的第二末端碳C包含Y,其中,除了当n=1那么X和Y键合到相同碳C的时候,X和Y独立地包括胺基基团、硫醇基基团、羟基基团、异丙醇基团或异丙胺基团。可用作交联剂的材料的具体示例包括以下:
或者,在一些实施方式中,代替或补充添加到底部层组合物中的交联剂,添加偶联剂。偶联剂通过在交联剂之前与聚合物中烃类结构上的基团反应来辅助交联反应,从而允许降低交联反应的反应能并增加反应速率。然后,键合的偶联剂与交联剂反应,从而将交联剂偶联到聚合物。
或者,在将偶联剂添加到底部层组合物而不添加交联剂的一些实施方式中,偶联剂用于将聚合物中来自烃类结构之一的一个基团偶联到来自该烃类结构的另一个的第二个基团,以便将两个聚合物交联并键合在一起。然而,在这样的实施方式中,与交联剂不同,偶联剂不保留为聚合物的一部分,而是仅帮助将一个烃类结构直接键合到另一烃类结构。
在一些实施方式中,偶联剂具有以下结构:
其中,R是碳原子、氮原子、硫原子或氧原子;M包括氯原子、溴原子、碘原子、--NO2、--SO3-、--H--、--CN、--NCO、--OCN、--CO2-、--OH、--OR*、--OC(O)CR*、--SR、--SO2N(R*)2、--SO2R*、SOR、--OC(O)R*、--C(O)OR*、--C(O)R*、--Si(OR*)3、--Si(R*)3、环氧基团等;并且R*是取代或未取代的以下基团:C1-C12烷基、C1-C12芳基、C1-C12-芳烷基等。在一些实施方式中,用作偶联试剂的材料的具体示例包括以下:
在一些实施方式中,底部层110通过制备底部层涂层组合物来形成,所述底部层涂层组合物为在溶剂中的聚合物和可选交联剂或偶联剂。溶剂可以是用于溶解聚合物的任何合适的溶剂。底部层涂层组合物例如通过旋涂施加于衬底10或器件特征上。然后烘烤底部层组合物以干燥底部层并交联聚合物,如本文所述。
在一些实施方式中,底部层组合物包含溶剂。在一些实施方式中,溶剂的选择使得聚合物和添加剂(例如交联剂)可以均匀地溶解到溶剂中并分配到衬底上。
在一些实施方式中,溶剂是有机溶剂,并且包括一种或多种任何合适的溶剂,例如酮、醇、多元醇、醚、二醇醚、环醚、芳族烃、酯、丙酸酯、乳酸酯、亚烷基二醇单烷基醚、烷基乳酸酯、烷氧基丙酸烷基酯、环状内酯、含有环的单酮化合物、亚烷基碳酸酯、烷氧基乙酸烷基酯、丙酮酸烷基酯、乳酸酯、乙二醇烷基醚乙酸酯、二乙二醇、丙二醇烷基醚乙酸酯、亚烷基二醇烷基醚酯(alkylene glycol alkyl ether ester),亚烷基二醇单烷基酯(alkyleneglycol monoalkyl ester)等。
可用作底部层溶剂的材料的特定示例包括:丙酮、甲醇、乙醇、丙醇、异丙醇(IPA)、正丁醇、甲苯、二甲苯、4-羟基-4-甲基-2-戊酮、四氢呋喃(THF)、甲基乙基甲酮、环己酮(CHN)、甲基异戊基甲酮、2-庚酮(MAK)、乙二醇、1-乙氧基-2-丙醇、甲基异丁基甲醇(MIBC)、乙二醇单乙酸酯、乙二醇二甲醚、乙二醇甲乙醚、乙二醇单乙醚、甲基纤维素乙酸酯(methylcellosolve acetate)、乙基纤维素乙酸酯(ethyl cellosolve acetate)、二乙二醇、二乙二醇单乙酸酯、二乙二醇单甲基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、二乙二醇乙基甲基醚、二乙二醇单乙醚、二乙二醇单丁醚、2-羟丙酸乙酯、2-羟基-2-甲基丙酸甲酯、2-羟基-2-甲基丙酸乙酯、乙氧乙酸乙酯、羟乙酸乙酯、2-羟基-2-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸正丁酯(nBA)、乳酸甲酯、乳酸乙酯(EL)、乳酸丙酯、乳酸丁酯、丙二醇、丙二醇单乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单甲基醚乙酸酯、丙二醇单丙基甲基醚乙酸酯、丙二醇单丁基醚乙酸酯、丙二醇单甲基醚丙酸酯、丙二醇单乙基醚丙酸酯、丙二醇甲基醚乙酸酯、丙二醇乙基醚乙酸酯、乙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、丙二醇单甲醚,丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯和3-甲氧基丙酸乙酯、β-丙内酯、β-丁内酯、γ-丁内酯(GBL)、α-甲基-γ-丁内酯、β-甲基-γ-丁内酯、γ-戊内酯、γ-己内酯、γ-辛内酯、α-羟基-γ-丁内酯、2-丁酮、3-甲基丁酮、频呐酮(pinacolone)、2-戊酮、3-戊酮、4-甲基-2-戊酮、2-甲基-3-戊酮、4,4-二甲基-2-戊酮、2,4-二甲基-2-戊酮、2,2,4,4,4-四甲基-3-戊酮、2-己酮、3-己酮、5-甲基-3-己酮、3-庚酮、4-庚酮、2-甲基-3-庚酮、5-甲基-3-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、2-壬酮、3-壬酮、5-壬酮、2-癸酮、3-癸酮、4-癸酮、5-己烯-2-酮、3-戊烯-2-酮、环戊酮、2-甲基环戊酮、3-甲基环戊酮、2,2-二甲基环戊酮、2,4,4-三甲基环戊酮、3-甲基环己酮、4-甲基环己酮、4-乙基环己酮、2,2-二甲基环己酮、2,6-二甲基环己酮、2,2,6-三甲基环己酮、环庚酮、2-甲基环庚酮、3-甲基环庚酮、碳酸亚丙酯、碳酸乙烯酯、碳酸亚乙烯、碳酸亚丁酯、2-甲氧基乙基乙酸酯、2-乙氧基乙基乙酸酯、2-(2-乙氧基乙氧基)乙基乙酸酯、3-甲氧基-3-甲基丁基乙酸酯、1-甲氧基-2-丙基乙酸酯、二丙二醇、单甲基醚、单乙基醚、单丙基醚、单丁基醚、单苯基醚、二丙二醇单乙酸酯、二氧六环、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯、甲氧基丙酸甲酯、乙氧基丙酸乙酯、n-甲基吡咯烷酮(NMP)、2-甲氧基乙基醚(二甘醚)、乙二醇单甲基醚、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、丙二醇甲醚乙酸酯(PGMEA)、亚甲基纤维素(methylenecellosolve)、2-乙氧基乙醇、N-甲基甲酰胺、N,N-二甲基甲酰胺(DMF)、N-甲基甲酰苯胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、二甲基亚砜、苄基乙基醚、二己基醚、丙酮基丙酮、异氟尔酮、己酸、辛酸、1-辛醇、1-壬醇、苯甲醇、乙酸苄酯、苯甲酸乙酯、草酸二乙酯、马来酸二乙酯,苯基溶纤剂乙酸酯等。
在一些实施方式中,中间层115包括含硅层(例如,硅硬掩模材料)。中间层115可以包括含硅的有机或无机聚合物。在其他实施方式中,中间层包括硅氧烷聚合物。在其他实施方式中,中间层115包括氧化硅(例如,旋涂玻璃(spin-on glass,SOG))、氮化硅、氧氮化硅、多晶硅;和/或其他合适的材料。中间层115可以例如通过共价键合、氢键合或亲水-亲水力来键合到相邻层(例如,底部层110和上部层120)。因此,中间层115可以包括允许在曝光过程和/或后续烘烤过程之后在中间层115和覆盖的光致抗蚀剂层120之间形成共价键的组合物。
在一些实施方式中,中间层115包括具有碘取代基的光化辐射吸收添加剂。在一些实施方式中,中间层115包括具有结构In-R1的光化辐射吸收添加剂,其中,n=1-10且R1选自由取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-10乙酰基烷基基团、C1-C10羧基基团、C2-C10烷基羧基基团、C3-C10环烷基羧基基团或金刚烷基基团。在一些实施方式中,具有碘取代基的光化辐射吸收添加剂是图19中的一种或多种化合物。
在一些实施方式中,中间层115包括具有光致酸产生剂(photoacid generator,PAG)的组分。PAG产生与曝光的光致抗蚀剂层120相互作用的酸。在一些实施方式中,PAG结合至中间层中的含硅材料。在一些实施方式中,中间层115包括具有侧链PAG基团的聚硅氧烷。在一些实施方式中,PAG包括一个或多个光化辐射吸收取代基,例如碘。在一些实施方式中,光致酸产生剂包括阴离子和阳离子。在一些实施方式中,光致酸产生剂组包括结合至含硅材料或含硅单体的阳离子。在一些实施方式中,阳离子是鎓离子,包括碘鎓阳离子或锍阳离子。在一些实施方式中,阴离子或阳离子包括一个或多个光化辐射吸收取代基,例如碘。在一些实施方式中,锍是三苯基锍。在一些实施方式中,阴离子是亚硫酸盐阴离子。在一些实施方式中,阴离子是具有有机基团取代基的亚硫酸盐阴离子。在一些实施方式中,阴离子包括氟碳取代基。在一些实施方式中,PAG包括图20中的阳离子之一。在一些实施方式中,PAG包括图21中的阴离子之一。在一些实施方式中,PAG是图22中的阴离子/阳离子对之一。
在一些实施方式中,中间层115包括具有碘取代基或酚基取代基的含硅单体。在一些实施方式中,含硅单体具有如下结构:
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团、C1-C20-烷基氟基团、C6-C20芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘或1-10个酚羟基,或Z是单键,或D是H;R4、R5和R6各自为H或为取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基或C4-C20环烷基羧基基团。在一些实施方式中,含硅单体包括图23和24中的一种或多种化合物。
在一些实施方式中,中间层115包含基于中间层115的总固体重量约30wt.%到约99wt.%的含硅材料。在一些实施方式中,中间层中含硅材料的浓度在约50wt.%到约75wt.%的范围内。在一些实施方案中,中间层115包含基于中间层115的总固体重量约1wt.%至约70wt.%光化辐射吸收添加剂、具有PAG的组分或含硅单体。在一些实施方式中,中间层115中的光化辐射吸收添加剂、具有PAG的组分或含硅单体的浓度在约25wt.%到约50wt.%的范围内。在这些范围之外的浓度下,可能没有足够数量的组分来获得该组分的有益效果,或者有益效果可能没有任何显著的改善。
在一些实施方式中,中间层组合物包含溶剂。溶剂可以是本文讨论的用于形成底部层的任何溶剂。在一些实施方式中,通过旋涂在底部层110上形成中间层115。在一些实施方式中,在旋涂期间,含硅单体从中间层组合物中分离,并漂浮在中间层组合物中的其他组分(例如-溶剂和含硅材料)的顶部,形成上部中间层115b和下部中间层115a,如图25所示。在一些实施方式中,当随后加热中间层时,上部中间层115b交联。
在一些实施方式中,含硅单体具有大于或约等于其他中间层组分的密度。这些含硅单体不会漂浮在其他中间层组件的上表面上。图23示出根据本公开实施方式的一些非漂浮含硅单体。在其他实施方式中,含硅单体的密度小于中间层组合物中的其他组分。具有较低密度的含硅单体可以在旋涂期间分离,并漂浮在其他中间层组件的上表面上。图24示出根据本公开实施方式的一些漂浮含硅单体。
根据一些实施方式的具有PAG基团的含硅单体如下所示:
其中,A是直接键、C1-C5烷基基团、C1-C5-环烷基基团、C1-C5-羟基烷基基团、C1-C5烷氧基基团、C1-C5烷氧基烷基基团、C1-C5乙酰基基团、C1-C5乙酰基烷基基团、C1-C5羧基基团或C1-C5烷基羧基基团;R1和R2各自独立地是C6-C12芳基基团、C6-C12烷基基团、C6-C12-环烷基基团、C6-C12羟基烷基基团、C6-C12烷氧基基团、C6-C12烷氧基烷基基团、C6-C12乙酰基基团、C6-C12乙酰基烷基基团、C6-C12羧基基团、C6-C12-烷基羧基基团、C6-C12-环烷基羧基基团、C3-C15饱和或不饱和烃环或C2-C15杂环基团;R3是C1-C20氟碳基团、C6-C20芳基基团或C10-C20金刚烷基基团;且a、b、d和d各自独立地是H或C1-C6烷基。在一些实施方式中,R1、R2和R3独立地包含1到3个碘原子。
图28示出根据本公开的一些实施方式的酸产生反应。将包括阳离子和阴离子的光致酸产生剂键合到聚合物上。阳离子聚合物结合的PAG不会扩散到光敏层120,因为它在光致抗蚀剂涂覆过程中结合到中间层聚合物。当曝光于光化辐射时,阴离子(酸)从PAG基团中释放。在曝光于光化辐射后,生成的酸自由地扩散到光敏层。随后的曝光后烘烤操作S140加速酸向光敏层120的曝光部分的扩散。
在一些实施方式中,光致酸产生剂合物首先与硅氧烷反应,然后将反应产物(具有光致酸产生剂基团的硅氧烷)施加于底部层110上,然后在底部层110上聚合或交联。在一些实施方式中,中间层组合物是旋涂玻璃(SOG)和光致酸产生剂的混合物。在一些实施方式中,光致酸产生剂首先与SOG前体反应,然后将反应产物施加到底部层110并固化。在一些实施方式中,将含硅材料和光致酸产生剂混合在一起,并且将混合物施加在底部层上。在一些实施方式中,在将混合物施加在第一层上之后,随后加热该混合物以形成光致酸产生剂和含硅材料的反应产物。在一些实施方式中,进一步加热反应产物以使反应产物聚合或交联。在一些实施方式中,结合至含硅单体的PAG包括光化辐射吸收取代基,例如碘。图26示出根据本公开实施方式的具有结合的PAG的一些含硅单体。在一些实施方式中,在旋涂操作期间加热衬底或中间层组合物,并且中间层组合物在施加操作期间聚合或交联。
在一些实施方式中,将中间层组合物施加在底部层110上,然后在约150℃到约400℃范围内的温度下加热中间层115,如本文参考操作S115(图1)所讨论的。在一些实施方式中,在约200℃到约300℃范围内的温度下加热中间层115。烘烤操作S120使中间层组合物的组分反应、聚合或交联。
图27A中示出根据一些实施方式的由烘烤操作S120引发的含硅单体的聚合反应。如图27B所示,在一些实施方式中,在本文所公开的烘烤条件下烘烤含硅单体155和用PAG基团或光化辐射吸收取代基160取代的含硅单体160的混合物。由于烘烤,在一些实施方式中,单体聚合和交联,如图27B所示。
在一些实施方式中,光敏层120是通过曝光于光化辐射而图案化的光致抗蚀剂层。通常,被入射辐射击中的光致抗蚀剂区域的化学性质以取决于所使用的光致抗蚀剂类型的方式变化。光致抗蚀剂层120是正色调抗蚀剂或负色调抗蚀物。正色调抗蚀剂是指当曝光于辐射(例如UV光)时变为可溶于显影剂中的光致抗蚀剂材料,而未曝光(或较少曝光)的光致抗蚀剂区域不溶于显影剂中。另一方面,负色调抗蚀剂是指当曝光于辐射时变为不溶于显影剂中的光致抗蚀剂材料,而未曝光(或较少曝光)的光致抗蚀剂区域可溶于显影剂中。在曝光于辐射时变得不溶的负抗蚀剂的区域可以由于曝光于辐射引起的交联反应而变得不溶。
抗蚀剂是正色调还是负色调可能取决于用于显影抗蚀剂的显影剂类型。例如,当显影剂是水基显影剂(例如四甲基氢氧化铵(tetramethylammonium hydroxide,TMAH)溶液)时,一些正色调光致抗蚀剂提供正图案(即-曝光区域被显影剂去除)。另一方面,当显影剂为有机溶剂时,相同的光致抗蚀剂提供负图案(即-未曝光区域被显影剂去除)。此外,在用TMAH溶液显影的一些负色调光致抗蚀剂中,光致抗蚀剂的未曝光区域被TMAH去除,并且在曝光于光化辐射时发生交联的光致抗蚀剂的曝光区域在显影后保留在衬底上。
在一些实施方式中,根据本公开实施方式的抗蚀剂组合物(例如光致抗蚀剂)包括聚合物或可聚合单体或低聚物以及一种或多种光活性化合物(photoactive compound,PAC)。在一些实施方式中,聚合物、单体或低聚物的浓度基于抗蚀剂组合物的总重量在约1wt.%到约75wt.%的范围内。在其他实施方式中,聚合物、单体或低聚物的浓度在约5wt.%到约50wt.%的范围内。当聚合物、单体或低聚体的浓度低于所公开的范围时,聚合物、单体或低聚体对抗蚀剂性能的影响可以忽略不计。在高于所公开范围的浓度下,抗蚀剂性能没有实质性改善,或者在形成连续的抗蚀剂层时出现退化。
在一些实施方式中,可聚合单体或低聚物包括丙烯酸、丙烯酸酯、羟基苯乙烯或烯烃。在一些实施方式中,聚合物包括烃结构(例如脂环烃结构),其包含一个或多个基团,当与PAC产生的酸、碱或自由基混合时,这些基团将分解(例如,不耐酸基团)或以其他方式反应(以下文进一步描述)。在一些实施方式中,烃结构包括形成聚合物树脂的骨架(skeletalbackbone)的重复单元。该重复单元可以包括丙烯酸酯、甲基丙烯酸酯、巴豆酸酯、乙烯基酯、马来酸二酯、富马酸二酯、衣康酸二酯、(甲基)丙烯腈、(甲基)丙烯酰胺、苯乙烯、乙烯基醚或这些的组合等。
在一些实施方式中,用于烃结构的重复单元的具体结构包括以下的一种或多种:丙烯酸甲酯、丙烯酸乙酯、丙烯酸正丙酯、丙烯酸异丙酯、丙烯酸正丁酯、丙烯酸异丁酯、丙烯酸叔丁酯、丙烯酸正己酯、丙烯酸2-乙基己酯、丙烯酸乙酰氧基乙酯、丙烯酸苯酯、丙烯酸2-羟乙酯、丙烯酸2-甲氧基乙酯、丙烯酸2-乙氧基乙酯、丙烯酸2-(2-甲氧基乙氧基)乙酯、丙烯酸环己酯、丙烯酸苄酯、(甲基)丙烯酸2-烷基-2-金刚烷基酯或(甲基)丙烯酸二烷基(1-金刚烷基)甲基酯、甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸正丙酯、甲基丙烯酸异丙酯、甲基丙烯酸正丁酯、甲基丙烯酸异丁酯、甲基丙烯酸叔丁酯、甲基丙烯酸正己酯、甲基丙烯酸2-乙基己酯、甲基丙烯酸乙酰氧基乙酯、甲基丙烯酸苯酯、甲基丙烯酸2-羟乙酯、甲基丙烯酸2-甲氧基乙酯、甲基丙烯酸2-乙氧基乙酯、甲基丙烯酸2-(2-甲氧基乙氧基)乙酯、甲基丙烯酸环己酯、甲基丙烯酸苄酯、甲基丙烯酸3-氯-2-羟丙酯、甲基丙烯酸3-乙酰氧基-2-羟丙酯、甲基丙烯酸3-氯乙酰氧基-2-羟基丙酯、巴豆酸丁酯、巴豆酸己酯等。乙烯基酯的实例包括乙酸乙烯酯、丙酸乙烯酯、丁酸乙烯酯、甲氧基乙酸乙烯酯、苯甲酸乙烯酯、马来酸二甲酯、马来酸二乙酯、马来酸二丁酯、富马酸二甲酯、富马酸二乙酯、富马酸二丁酯、衣康酸二甲酯、衣康酸二乙酯、衣康酸二丁酯、丙烯酰胺、甲基丙烯酰胺、乙基丙烯酰胺、丙基丙烯酰胺、正丁基丙烯酰胺、叔丁基丙烯酰胺、环己基丙烯酰胺、2-甲氧基乙基丙烯酰胺、二甲基丙烯酰胺、二乙基丙烯酰胺、苯基丙烯酰胺、苄基丙烯酰胺、甲基丙烯酰胺、甲基甲基丙烯酰胺、乙基甲基丙烯酰胺、丙基甲基丙烯酰胺、正丁基甲基丙烯酰胺、叔丁基甲基丙烯酰胺、环己基甲基丙烯酰胺、2-甲氧基乙基甲基丙烯酰胺、二甲基甲基丙烯酰胺、二乙基甲基丙烯酰胺、苯基甲基丙烯酰胺、苄基甲基丙烯酰胺、甲基乙烯基醚、丁基乙烯基醚、己基乙烯基醚、甲氧基乙基乙烯基醚、二甲基氨基乙基乙烯基醚等。苯乙烯的实例包括苯乙烯、甲基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、乙基苯乙烯、异丙基苯乙烯、丁基苯乙烯、甲氧基苯乙烯、丁氧基苯乙烯、乙酰氧基苯乙烯、羟基苯乙烯、氯代苯乙烯、二氯苯乙烯、溴代苯乙烯、苯甲酸乙烯甲酯、α-甲基苯乙烯、马来酰亚胺、乙烯基吡啶、乙烯基吡咯烷酮、乙烯基咔唑或这些的组合,等等。
在一些实施方式中,聚合物是聚羟基苯乙烯、聚甲基丙烯酸甲酯或聚羟基苯乙烯-丙烯酸叔丁酯,例如:
在一些实施方案中,烃结构的重复单元还具有取代到其中的单环或多环烃结构,或者单环或多环烃结构是重复单元,以形成脂环烃结构。在一些实施方案中,单环结构的具体实例包括二环烷烃、三环烷烃、四环烷烃、环戊烷、环己烷等。在一些实施方案中,多环结构的具体实例包括金刚烷、降冰片烷、异冰片烷、三环癸烷、四环十二烷等。
将分解的基团,也被称为离去基团,或者在PAC是光致酸产生剂的一些实施方式中,不耐酸基团连接到烃结构,从而其将与在曝光期间PAC产生的酸/碱/自由基反应。在一些实施方案中,将分解的基团是羧酸基团、氟化醇基团、酚醇基团、磺酸基团、磺酰胺基团、磺酰亚氨基基团、(烷基磺酰基)(烷基羰基)亚甲基基团、(烷基磺酰基)(烷基-羰基)亚氨基基团、双(烷基羰基)亚甲基基团、双(烷基羰基)亚氨基基团、双(烷基磺酰基)亚甲基基团、双(烷基磺酰基)亚氨基基团、三(烷基羰基)亚甲基基团、三(烷基磺酰基)亚甲基基团或其组合等。在一些实施方案中,用于氟化醇基的特定基团包括氟代羟烷基基团,例如六氟异丙醇基团。用于羧酸基团的特定基团包括丙烯酸酸基团、甲基丙烯酸基团等。
在一些实施方案中,聚合物还包括连接到烃结构的其他基团,有助于改善可聚合树脂的多种性能。例如,在烃结构中包含内酯基团有助于减少在光致抗蚀剂显影后的线边缘粗糙度,从而有助于减少显影过程中出现的缺陷数量。在一些实施方案中,内酯基团包括具有五至七元的环,然而任何合适的内酯结构可替代地用于内酯基团。
在一些实施方式中,聚合物包括可以助于增加光致抗蚀剂层120到下面中间层115的粘附性的基团。极性基团可用于帮助增加粘附性。合适的极性基团包括羟基基团、氰基基团等,但可选地可以使用任何合适的极团。
在一些实施方式中,任选地,聚合物包括一个或多个脂环烃结构,其也不包含将分解的基团。在一些实施方式中,不包含将分解的基团的烃结构包括诸如1-金刚烷基(甲基)丙烯酸酯、三环癸基(甲基)丙烯酸酯、环己基(甲基)丙烯酸酯或这些的组合等的结构。
在一些实施方式中,例如当使用EUV辐射时,根据本公开的光致抗蚀剂组合物是含金属的抗蚀剂。含金属的抗蚀剂包括在溶剂中与一个或多个配体络合的金属核。在一些实施方式中,抗蚀剂包括金属粒子。在一些实施方式中,金属粒子是纳米粒子。如本文所使用,纳米粒子是平均粒径在约1nm和约20nm之间的粒子。在一些实施方式中,金属核(包括1到约18个金属粒子)在溶剂中与一个或多个有机配体络合。在一些实施方式中,金属核包括在溶剂中与一个或多个有机配体络合的3个、6个、9个或更多个金属纳米粒子。
在一些实施方式中,金属粒子是钛(Ti)、锌(Zn)、锆(Zr)、镍(Ni)、钴(Co)、锰(Mn)、铜(Cu)、铁(Fe)、锶(Sr)、钨(W)、钒(V)、铬(Cr)、锡(Sn)、铪(Hf)、铟(In)、镉(Cd)、钼(Mo)、钽(Ta)、铌(Nb)、铝(Al)、铯(Cs)、钡(Ba)、镧(La)、铈(Ce),银(Ag)、锑(Sb)或其组合或其氧化物。在一些实施方式中,金属粒子包括选择如下组成的组中的一种或多种:Ce、Ba、La、In、Sn、Ag、Sb及其氧化物。
在一些实施方式中,金属纳米粒子具有约2nm和约5nm之间的平均粒径。在一些实施方式中,基于纳米粒子和溶剂的重量,抗蚀剂组合物中金属纳米粒子的量在约0.5wt.%到约15wt.%范围内。在一些实施方式中,基于纳米粒子和溶剂的重量,抗蚀剂组合物中纳米粒子的量在约5wt.%到约10wt.%范围内。在一些实施方式中,基于溶剂和金属粒子的重量,金属粒子的浓度在1wt.%到7wt.%范围内。低于约0.5wt.%的金属纳米粒子,抗蚀剂涂层太薄。超过约15wt.%的金属纳米粒子时,抗蚀剂涂层太厚且太粘稠。
在一些实施方式中,金属核由配体络合,其中,配体包括支链或非支链、环状或非环状饱和有机基团,包括C1-C7烷基或C1-C7氟代烷基。C1-C7烷基或C1-C7氟代烷基包括选自有如下组成的组中的一种或多种取代基:-CF3、-SH、-OH、=O、-S-、-P-、-PO2-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH和-SO2。在一些实施方式中,配体包括选自由如下组成的组中的一种或多种取代基:-CF3、-OH、-SH和-C(=O)OH取代基。
在一些实施方式中,配体是羧酸配体或磺酸配体。例如,在一些实施方式中,配体是甲基丙烯酸。在一些实施方式中,金属粒子是纳米粒子,并且金属纳米粒子与包括脂肪族或芳香族基团的配体络合。脂肪族或芳香族基团可以是未支化的,或者是用包含1-9个碳的环状或非环状饱和侧链基团支化的,所述包含1-9个碳的环状或非环状饱和侧链基团包括烷基基团、烯基基团和苯基基团。支化基团可以进一步被氧或卤素取代。在一些实施方式中,每个金属粒子由1到25个配体单元络合。在一些实施方式中,每个金属粒子由3到18个配体单元络合。
在一些实施方式中,抗蚀剂组分包括约0.1wt.%到约20wt.%的配体,基于抗蚀剂组合物的总重量计。在一些实施方式中,抗蚀剂包括约1wt.%到约10wt.%的配体。在一些实施方式中,基于金属粒子的重量和配体的重量,配体浓度为约10wt.%到约40wt.%。低于约10wt.%的配体,有机金属光致抗蚀剂不起作用。超过约40wt.%的配体,很难形成一致的光致抗蚀剂层。在一些实施方式中,基于配体和溶剂的重量,配体以约5wt.%到约10wt.%的重量范围溶解的涂层溶剂(例如丙二醇甲醚乙酸酯(PGMEA))中。
在一些实施方式中,将共聚物和PAC以及任何所需的添加剂或其他试剂一起添加到溶剂中以供应用。为了在整个光致抗蚀剂中实现均质组合物,以确保不存在由光致抗蚀剂的不均匀混合或不均匀组合物引起的缺陷,添加后,将该混合物进行混合。一旦混合在一起,光致抗蚀剂可以在使用之前进行储存或立即使用。
溶剂可以是任何合适的溶剂,包括用于涂覆底部层组合物的溶剂,如本文所述。
光致抗蚀剂的一些实施方式包括一种或多种光活性化合物(PAC)。PAC是光活性成分,例如光致酸产生剂(PAG)、光致碱(PBG)产生剂、光可分解碱(PDB)、自由基产生剂等。PAC可以是正作用或负作用。在PAC是光致酸产生剂(PAG)的一些实施方式中,PAC包括卤代三嗪、鎓盐、重氮盐、芳香重氮盐类、鏻盐、锍盐、碘鎓盐、肟磺酸盐、重氮二砜、二砜、邻硝基苯甲磺酸盐、磺酸酯、卤代磺酰氧基二甲酰亚胺、α-氰氧胺-磺酸酯、酰亚胺磺酸酯、酮二唑砜、磺酰基重氮酯、1,2-二(芳基磺酰基)肼、硝基苄基酯、以及S-三嗪衍生物、或这些的组合等
PAG的具体实例包括:α-(三氟甲基磺酰氧基)-双环[2.2.1]庚-5-烯-2,3-二甲酰亚胺(MDT);N-羟基-萘酰亚胺(DDSN);安息香甲苯磺酸酯;叔丁基苯基-α-(对甲苯磺酰氧基)-乙酸酯和叔丁基-α-(对甲苯磺酰氧基)-乙酸酯;三芳基锍和二芳基碘鎓六氟锑酸盐;六氟砷酸盐;三氟甲磺酸盐;全氟辛烷磺酸碘鎓;N-樟脑磺酰氧基萘酰亚胺;N-五氟苯基磺酰氧基萘酰亚胺;离子碘鎓磺酸盐,例如二芳基碘鎓(烷基或芳基)磺酸盐和双-(二叔丁基苯基)碘鎓樟脑磺酸盐;全氟烷磺酸盐,例如全氟戊磺酸盐、全氟辛磺酸盐、全氟甲磺酸盐;芳基(例如苯基或苄基)三氟甲磺酸盐,例如三苯基锍三氟甲磺酸盐或双-(叔丁基苯基)三氟甲磺酸碘鎓;连苯三酚衍生物(例如连苯三酚的三甲磺酸盐);羟基酰亚胺的三氟甲磺酸酯;α,α'-双-磺酰基-重氮甲烷;硝基取代的苯甲醇的磺酸酯;萘醌-4-二叠氮化物或烷基二砜等。
在一些实施方式中,光敏层120中的PAG包括阴离子或阳离子,所述阴离子或阳离子不同于结合至中间层115中的聚合物的光致酸产生剂的阴离子或阳离子。
在PAC是自由基产生剂的一些实施方案中,PAC包括:正苯基甘氨酸;芳香酮,包括二苯甲酮、N,N'-四甲基-4,4'-二氨基二苯甲酮、N,N'-四乙基-4,4'-二氨基二苯甲酮、4-甲氧基-4'-二甲基氨基二苯甲酮、3,3'-二甲基-4-甲氧基二苯甲酮、p,p'-双(二甲氨基)二苯甲酮、p,p'-双(二乙氨基)-二苯甲酮;蒽醌,2-乙基蒽醌;萘醌;和菲醌;苯偶姻类,包括苯偶姻、苯偶姻甲醚、苯偶姻异丙醚、苯偶姻正丁醚、苯偶姻苯醚、甲基苯偶姻和乙基苯偶姻;苄基衍生物,包括二苄基、苄基二苯基二硫化物和苄基二甲基缩酮;吖啶衍生物,包括9-苯基吖啶和1,7-双(9-吖啶基)庚烷;噻吨酮,包括2-氯噻吨酮、2-甲基噻吨酮、2,4-二乙基噻吨酮、2,4-二甲基噻吨酮和2-异丙基噻吨酮;苯乙酮类,包括1,1-二氯苯乙酮、对叔丁基二氯苯乙酮、2,2-二乙氧基苯乙酮、2,2-二甲氧基-2-苯基苯乙酮和2,2-二氯-4-苯氧基苯乙酮;2,4,5-三芳基咪唑二聚体,包括2-(邻氯苯基)-4,5-二苯基咪唑二聚体、2-(邻氯苯基)-4,5-二-(间-甲氧基苯基)咪唑二聚体、2-(邻氟苯基)-4,5-二苯基咪唑二聚体、2-(邻-甲氧基苯基)-4,5-二苯基咪唑二聚体、2-(对甲氧基苯基)-4,5-二苯基咪唑二聚体、2,4-二(对甲氧基苯基)-5-苯基咪唑二聚体、2-(2,4-二甲氧基苯基)-4,5-二苯基咪唑二聚体和2-(对甲基巯基苯基)-4,5-二苯基咪唑二聚体;或这些的组合等。
如本领域普通技术人员将认识到的,本文所列出的化合物仅旨在作为PAC的例示示例,且不旨在将实施方式仅限于那些具体描述的PAC。相反,可以使用任何合适的PAC,并且所有这样的PAC完全旨在被包括在本实施方式的范围内。
在一些实施方式中,向光致抗蚀剂中添加交联剂。交联剂与聚合物树脂中来自烃结构之一的一个基团反应,还与来自另一烃结构的第二基团反应,以便将两种烃结构交联并键合在一起。这种键合和交联增加了交联反应的聚合物产物的分子量,并增加了光致抗蚀剂的整体交联密度。密度和交联密度的增加有助于改进抗蚀剂的图案。偶联剂辅助交联反应。交联剂或偶联剂可以是本文中参考底部层公开的任何交联剂或偶联剂。
将光致抗蚀剂的单个组分置于溶剂中,以助于混合和分配光致抗蚀剂。为了有助于光致抗蚀剂的混合和分配,至少部分地基于根据聚合物树脂以及PAC选择的材料来选择溶剂。在一些实施方式中,溶剂的选择使得聚合物树脂和PAC可以均匀地溶解到溶剂中并分配到要图案化的层上。
在一些实施方式中,将猝灭剂添加到光致抗蚀剂中,以抑制生成的酸/碱/自由基在光致抗蚀剂内的扩散。淬火剂改善了抗蚀剂图案配置以及光致抗蚀剂随时间的稳定性。在一些实施方式中,猝灭剂是光分解猝灭剂(photodecomposable quencher,PDQ)。在一些实施方式中,PDQ选自由1,2-二环己基-4,4,5,5-四甲基双胍正丁基三苯基硼酸、-4-甲基丙烯酰氧基哌啶-1-羧酸2-硝基苯基甲基酯、二硫代氨基甲酸季铵盐、α-氨基酮、肟基氨基甲酸酯、二苯并苯酮肟六亚甲基二脲烷(dibenzophenoneoxime hexamethylenediurethans)、四有机硼酸铵盐和N-(2-硝基苄氧羰基)环胺及其组合组成的组。在一些实施方式中,PDQ与光致碱产生剂(PBG)相同。
在一些实施方式中,添加到光致抗蚀剂中的另一种添加剂是稳定剂,其有助于防止在光致抗蚀剂曝光期间产生的酸的不希望的扩散。
在一些实施方式中,添加到光致抗蚀剂的另一种添加剂是溶解抑制剂,以助于在显影期间控制光致抗蚀剂的溶解。
在光致抗蚀剂的一些实施方式中,着色剂是添加到光致抗蚀剂中的另一种添加剂。着色剂观察员检查光致抗蚀剂,并在进一步处理之前发现可能需要修复的任何缺陷。
在一些实施方式中,将表面整平剂添加到光致抗蚀剂中,以助于光致抗蚀剂的顶表面保持水平,从而撞击光不会被不平整的表面不利地修饰。
一旦准备就绪,如图4所示,将光致抗蚀剂材料施加在中间层115上,以形成光致抗锈层120。在一些实施方式中,使用诸如旋涂涂层工艺、浸渍涂层方法、气刀涂层方法、帘幕涂层方法、线材涂层方法、凹版涂层方法、层压方法、挤压涂层方法、这些方法的组合等工艺来涂覆光致抗蚀剂。在一些实施方式中,光致抗蚀剂层120的厚度范围从约10nm到约300nm。
在一些实施方式中,在显影操作S145期间使用旋涂工艺将显影剂57施加到光致抗蚀剂层120。在旋涂工艺中,当光致抗蚀剂涂覆的衬底旋转时,从光致抗蚀剂层120上方将显影剂57施加到光致抗蚀剂膜120,如图6所示。在一些实施方式中,以约5ml/min和约800ml/min之间的速率提供显影剂57,而以约100rpm和约2000rpm之间的速度旋转光致抗蚀剂涂覆的衬底10。在一些实施方式中,显影剂处于约10℃和约80℃之间的温度。在一些实施方案中,显影操作持续约30秒到约10分钟。
虽然旋涂操作是用于在曝光后显影光致抗蚀剂层120的一种适当方法,但其旨在是说明性的,而不是旨在限制实施方式。相反,也可以使用任何合适的显影操作,包括浸渍工艺、水坑工艺和喷涂方法。所有这样的显影操作都包括在实施方式的范围内。
在一些实施方式中,光致抗蚀剂显影剂57包括溶剂和酸或碱。在一些实施方式中,基于光致抗蚀剂显影剂的总重量,溶剂的浓度为约60wt.%到约99wt.%。基于光致抗蚀剂显影剂的总重量,酸或碱浓度为约0.001wt.%到约20wt.%。在某些实施方式中,基于光致抗蚀剂显影剂的总重量,显影剂中的酸或碱浓度为约0.01wt.%到约15wt.%。
在一些实施方式中,显像剂是水溶液,例如四甲基氢氧化铵的水溶液。在其他实施方式中,显影剂57是有机溶剂。有机溶剂可以是任何合适的溶剂。在一些实施方式中,溶剂是选自如下的一种或多种:丙二醇甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)和二氧六环。
在一些实施方式中,本公开的三层抗蚀剂用于制造半导体器件,例如场效应晶体管(field effect transistor,FET)的栅极结构。诸如本文所公开的那些实施方式通常不仅适用于平面FET,还适用于鳍FET(FinFET)、双栅FET、环绕栅FET,ω-栅FET或栅极全围绕(GAA)FET和/或纳米线晶体管,或在栅极结构中具有一个或多个功函数调整材料(workfunction adjustment material,WFM)层的任何适当器件。
在FET结构中,形成具有不同阈值电压(Vt)的多个器件,金属栅层的组成和尺寸在定义Vt中起着关键作用。具有不同阈值电压的多个FET可以通过调整设置在栅极介质层和体金属栅电极层(例如,W层)之间的一个或多个功函数调整材料(WFM)层的材料和/或尺寸来实现。如果对光刻操作的控制不足,则金属栅层的尺寸可能不一致,这会影响其功函数,从而影响阈值电压并降低器件性能。
在下面的实施方式中,讨论提供具有一致和受控尺寸的WFM层的方法。
图29示出根据本公开的一个实施方式的具有不同阈值电压的FET的栅极结构的横截面图。在一些实施方式中,半导体器件包括第一n-型FET N1、第二n-型FET-N2、第三n-型FETN3、第一p-型FET P1、第二-p型FET P2和第三p-型FET-P3。第一n-型FET N1的阈值电压在绝对值上小于第二n-型FET-N2的阈值电压,并且第二n-型FET N2的阈值电压在绝对值上小于第三n-型FET-N3的阈值电压。类似地,第一p-型FET P1的阈值电压在绝对值上小于第二p-型FET-P2的阈值电压,并且第二p-型FET P2的阈值电压在绝对值上小于第三p-型FET-P3的阈值电压。
图30A-图30R示出根据本公开实施方式的图29中所示半导体器件的制造的各个阶段的横截面图。应理解,在顺序制造过程中,可以在图30A-图30R所示的阶段之前、期间和之后提供一个或多个附加操作,并且针对该方法的附加实施方式,下文描述的一些操作可以替换或省去。操作/过程的顺序可以互换。因此,根据半导体器件的结构,可以省略图30A-图30R中所示的一个或多个操作,或者用另一个操作代替。
图30A分别示出第一n-型FET N1、第二n-型FET N2、第三n-型FET N3、第一p-型FETP1、第二p-型FET P2和第三p-型FET P3的多个沟道区域。在每个沟道区域上形成界面层210。栅介质层(例如,高k栅介质层)230在每个界面层210上形成。第一导电层作为帽层235形成在每一个栅极电介质层230上。
在一些实施方式中,通过使用化学氧化形成界面层210。在一些实施方式中,界面层210包括氧化硅、氮化硅和混合硅锗氧化物之一。在一些实施方式中,界面层210的厚度在约0.2nm到约6nm的范围内。在一些实施方式中,栅极电介质层230包括一层或多层介电材料,例如氧化硅、氮化硅或高k介电材料、其他合适的介电材料和/或其组合。高k介电材料的示例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化钛、二氧化铪氧化铝(HfO2-Al2O3)合金、La2O3、HfO2-La2O3、Y2O3或其他适当的高k介电材料,和/或其组合。栅极电介质层230可以由CVD、ALD或任何适当的方法形成。在一个实施方式中,使用诸如ALD的高保形沉积工艺来形成栅极电介质层230,以确保在每个沟道层周围形成具有均匀厚度的栅极电介质层。在一些实施方式中,栅极电介质层230的厚度在约1nm到约100nm的范围内。在一些实施方式中,第一导电层235是由CVD、ALD或任何适当的方法形成的TiN或TiSiN层。
在一些实施方式中,第二导电层作为第一阻挡层245形成在帽层235上,如图30B所示。在一些实施方式中,帽层235在退火操作后移除,并且第一阻挡层245未形成。在一些实施方式中,第二导电层245包括金属氮化物,例如WN、TaN、TiN和TiSiN。在一些实施方式中,使用TaN。在一些实施方式中,第二导电层245的厚度在约0.3nm到约30nm的范围内,并且在其他实施方式中,在约0.5nm到约25nm的范围内。在一些实施方式中,第二导电层245用作阻挡层或蚀刻终止层。在一些实施方式中,第二导电层245比第一导电层235薄。
如图30C所示,在一些实施方式中形成WFM层200。在一些实施方式中,WFM层200是n-型WFM层。在一些实施方式中,WFM层是由导电材料制成,例如TiN、WN、TaAlC、TiC、TaAl、TaC、Co、Al、TiAl或TiAlC的单层,或是由两种或更多种这些材料的多层。在一些实施方式中,对于n-型FET,将含铝层(例如TiAl、TiAlC、TaAl和/或TaAlC)用作-n型WFM层200,并且对于p-型FET,将TaN、TiN、WN、TiC、WCN、MoN和/或Co中的一种或多种用作p型WFM。在一些实施方式中,n-型WFM层由具有在约2.5eV到约4.4eV的范围内的低功函数和/或具有低电负性的材料组成。在一些实施方式中,p-型WFM层由具有在约4.3eV到5.8eV的范围内的高功函数和/或具有高电负性的材料组成。在一些实施方式中,n-型WFM层200的厚度在约0.6nm到约40nm的范围内,并且在其他实施方式中,在约1nm到约20nm的范围内。
进行第一图案操作以从第一p-型FET P1、第二p-型FET-P2和第三p-型FETP3的区域移除n型WFM层200。在一些实施方式中,在每个n-型WFM层200上形成由本文参考图15-图18C公开的底部层组合物制成的底部层260。如图30D所示,在每个底部层260上形成根据本文公开的实施方式(例如,图3和19-28)制成的中间层300,并且在每个中间层300上形成由本文公开的光致抗蚀剂组合物中的任何一种制成的光致抗蚀剂层205。通过使用一个或多个光刻操作,对光致抗蚀剂层205进行图案化,以在p-型FET的区域处曝光中间层300。然后,通过一个或多个蚀刻操作移除曝光的中间层300和底部层260,以在p-型FET的区域处曝光n-型WFM层200,如图30E中所示。在一些实施方式中,等离子体蚀刻操作利用包括N2和H2的气体、包括O2/Cl2的气体或O2气体。
随后,通过适当的蚀刻操作移除p-型FET区域中的n-型WFM层200,如图30F中所示。在一些实施方式中,蚀刻操作包括湿法蚀刻操作。在一些实施方式中,蚀刻溶液(蚀刻剂)包括HCl和H2O2水溶液,NH4OH和H2O2组合的水溶液,HCl、NH4OH和H2O2组合的水溶剂,HF、NH4OH和H2O2的水溶液和/或H3PO4和H2O2。湿蚀刻基本上在第一阻挡层245处终止,该阻挡层因此用作蚀刻终止层。在一些实施方式中,栅极电介质层230充当蚀刻终止层,而不是第一阻挡层。
在一些实施方式中,在湿蚀刻操作之后,进行湿清洗操作或去离子水冲洗。随后,从n-型FET区域移除光致抗蚀剂层205、中间层300和底部层260,如图30G中所示。在一些实施方式中,使用含氧气体进行等离子体灰化操作以去除有机光致抗蚀剂层205、中间层和底部层260。在一些实施方式中,基于N2/H2的等离子体或基于CF4的等离子体用于等离子体灰化操作。
在一些实施方式中,第三导电层作为第二阻挡层250形成在用于n-型FET的n型WFM层200上,以及在用于p型FET区域的第一阻挡层245上,如图30H所示。在一些实施方式中,在n-型和p型FET的区域上形成第二阻挡层250的覆盖层。在一些实施方式中,TaN用作第三导电层250。在一些实施方式中,第三导电层250的厚度在约0.3nm到约30nm的范围内,并且在其他实施方式中,在约0.5nm到约25nm的范围内。
第一p-型WFM层280的覆盖层形成在n-型FET和p-型FET的区域处的每个第二阻挡层250上,如图30I所示。在一些实施方式中,第一p-型WFM层280的厚度在约0.5nm到约20nm的范围内,并且在其他实施方式中,在约1nm到约10nm的范围内。
接下来,进行第二图案化操作以从第一和第二n-型FET N1、N2以及第二和第三p-型FET P2、P3的区域移除第一p-型WFM层280。由本文公开的底部层组合物制成的第二底部层265形成在每个第一p-型WFM层280上。由本文所公开的中间层组合物制成的第二中间层305形成在每个第二底部层上,并且由本文所公开的光致抗蚀剂组合物中的任何一种形成的第二光致抗蚀剂层215形成在第二中间层305上,如图30J所示。通过使用一个或多个光刻操作,对第二光致抗蚀剂层215进行图案化,以在第一和第二n-型FET N1、N2以及第二和第三p-型FET P2、P3的区域处曝光第二中间层305。然后,通过一个或多个等离子体蚀刻操作移除曝光的中间层305和第二底部层265,以在第一和第二n-型FET N1、N2以及第二和第三p-型FET P2、P3的区域处曝光第一p-型WFM层280,如图30K所示。等离子体蚀刻利用包括N2和H2的气体、包括O2/Cl2的气体或O2气体。
随后,通过适当的蚀刻操作移除第一和第二n-型FET N1、N2和第二和第三p-型FETP2、P3区域中的第一p-型WFM层280,如图30L中所示。在一些实施方式中,蚀刻操作包括湿法蚀刻操作。在一些实施方式中,蚀刻溶液(蚀刻剂)包括:H3PO4和H2O2的水溶液;HCl、NH4OH和H2O2组合的水溶液。湿蚀刻基本上在第二阻挡层250处终止,该阻挡层因此用作蚀刻终止层。
在一些实施方式中,在湿法蚀刻操作之后,进行湿清洗操作或去离子水冲洗。随后,移除第二光致抗蚀剂层215、第二中间层305和第二底部层265,如图30M所示。在一些实施方式中,使用含氧气体进行等离子体灰化操作以去除有机第二光致抗蚀剂层215、第二中间层和第二底部层265。在一些实施方式中,基于N2/H2的等离子体或基于CF4的等离子体用于等离子体灰化操作。
在一些实施方式中,第二p-型WFM层285的覆盖层形成在位于第一和第二n-型FETN1、N2和第二和第三p-型FET P2、P3区域的第二阻挡层250上,以及在位于第三n型FET-N3和第一p型FET-P1区域的第一p型WWM层280上,如图30N所示。在一些实施方式中,第二p-型WFM层285的厚度在约0.5nm到约20nm的范围内,并且在其他实施方式中在约1nm到约10nm的范围内。
随后,进行第三图案化操作,以从第一n-型FET N1和第三p-型FET P3的区域中移除第二p-型WFM层285。在一些实施方式中,由本文公开的底部层组合物制成的第三底部层270形成在第二p型WFM层285上,由本文所公开的任何中间层组合物制成的第三中间层310和由本文所公开的任何光致抗蚀剂组合物制成的第三光致抗蚀剂层225形成在第三底部层270上,如图30O所示。通过使用一种或多种光刻操作,对第三光致抗蚀剂层225进行图案化,以在第一n-型FET N1和第三p-型FET P3的区域处曝光第三中间层310。然后,通过一个或多个等离子体蚀刻操作移除曝光的第三中间层310和第三底部层270,以在第一n-型FET N1和第三p-型FET P3的区域处曝光第二p-型WFM层285,如图30P中所示。等离子体蚀刻利用包括N2和H2的气体、包括O2/Cl2的气体或O2气体。
随后,通过适当的蚀刻操作移除第一n-型FET N1和第三p-型FET P3区域中的第二p-型WFM层285,如图30Q中所示。在一些实施方式中,蚀刻操作包括湿法蚀刻操作。在一些实施方式中,蚀刻溶液(蚀刻剂)包括H3PO4和H2O2的水溶液,HCl、NH4OH和H2O2组合的水溶液。湿蚀刻基本上终止在第二阻挡层250处,该阻挡层因此用作蚀刻终止层。
在一些实施方式中,在湿法蚀刻操作之后,实施湿清洗操作或去离子水冲洗。随后移除第三光致抗蚀剂层225、第三中间层310和第三底部层270,如图30R所示。在一些实施方式中,使用含氧气体进行等离子体灰化操作以去除第三光致抗蚀剂层225、第三中间层310和底部层270。在一些实施方式中,基于N2/H2的等离子体或基于CF4的等离子体用于等离子体灰化操作。
在一些实施方式中,随后,胶层290形成在第一n-型FET N1和第三p-型FET P3的区域处的第二阻挡层250上,形成在用于第二和第三n-型FETN2、N3以及第一和第二p-型FETP1、P2的区域处的第二p型WFM层285上,并且在胶层290上形成主体栅极电极层295,以提供图29所示的半导体器件。
在一些实施方式中,胶层290由TiN、Ti或Co制成。在一些实施方案中,主体栅级电极层295包括一层或多层导电材料,例如多晶硅、铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他合适材料和/或其组合。
其他实施方式包括在上述操作之前、期间或之后的其他操作。在一些实施方式中,所公开的方法包括形成包括鳍式场效应晶体管(fin field effect transistor,FinFET)结构在内的半导体器件。在一些实施方式中,多个有源鳍形成在半导体衬底上。此类实施方式还包括穿过图案化的硬掩模的开口蚀刻衬底,以在衬底中形成沟槽;用介电材料填充沟槽;进行化学机械抛光(chemical mechanical polishing,CMP)工艺,以形成浅沟槽隔离(shallow trench isolation,STI)特征;以及使STI特征外延生长或凹陷(recessing),以形成鳍状有源区。在一些实施方式中,在衬底上形成一个或多个栅电极。一些实施方式包括形成栅极间隔件、掺杂的源极/漏极区、用于栅极/源极/漏极特征的接触等。在其他实施方式中,目标图案是作为多层互连结构中的金属线而形成的。例如,可以在衬底的层间电介质(inter-layer dielectric,ILD)层中形成金属线,该层间电介质(ILD)层已经被蚀刻以形成多个沟槽。沟槽可以填充有导电材料,例如金属;并且可以使用诸如化学机械平坦化(chemical mechanical planarization,CMP)的工艺对导电材料进行抛光,以暴露图案化的ILD层,从而在ILD层中形成金属线。以上是可以使用本文描述的方法制造和/或改进的装置/结构的非限制性示例。
在一些实施方式中,根据本公开的实施方式,形成活性(active)组件,诸如二极管、场效应晶体管(field-effect transistor,FET)、金属氧化物半导体场效应晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补金属氧化物半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极晶体管、高压晶体管、高频率晶体管、FinFET、其他三维(3D)FET、其他存储单元及其组合。
根据本公开的新颖中间层组合物和半导体器件制造方法提供了更高的半导体器件特征成品率。本公开的实施方式包括减少毛边缺陷的方法和材料,从而提高了图案分辨率、降低了线宽粗糙度、降低了线边缘粗糙度和提高了半导体器件成品率。本公开的实施方式进一步使得能够使用较低的曝光剂量来有效地曝光和图案化光致抗蚀剂。
本公开的一个实施方式是制造半导体器件的方法,包括在衬底上形成包括有机材料的第一层。在第一层上形成第二层,其中,第二层包括含硅材料和选自光致酸产生剂、包括碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体中一种或多种。在第二层上形成光敏层,并对光敏层进行图案化。在一个实施方式中,含硅材料是硅氧烷或旋涂玻璃。在一个实施方式中,第二层包括光致酸产生剂,并且光致酸产生剂包括锍或碘鎓阳离子。在一个实施方式中,第二层包括光致酸产生剂,并且光致酸产生剂结合至含硅材料。在一个实施方式中,形成第二层包括在第一层上施加混合物,其中,所述混合物包括含硅材料和光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体中的一种或多种;且在第一层上施加混合物后,在40℃至400℃范围内的温度下加热该混合物。在一个实施方式中,第二层包括具有碘取代基或酚基取代基的含硅单体,并且形成第二层包括在第一层上施加包括含硅材料和含硅单体的混合物,且在第一层上施加混合物后,通过在150℃至400℃范围内的温度下加热该混合物来交联该混合物。在一个实施方式中,施加混合物包括旋涂所述混合物,并且在旋涂期间,含硅单体至少部分地从形成上部第二层和下部第二层的混合物中分离,其中,上部第二层的含硅单体浓度比下部第二层的含硅单体浓度高。在一个实施方式中,在交联混合物期间,交联上部第二层中的含硅单体。
本公开的另一实施方式是制造半导体器件的方法,所述方法包括在衬底上形成底部抗反射涂层。在底部抗反射涂层上形成中间层,其中,中间层包括含硅材料和选自由光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体中的一种或多种。在中间层上形成光敏层。光敏层选择性地曝光于光化辐射以形成潜在图案,并且使选择性曝光的光敏层显影以在光敏层中形成图案。在一个实施方式中,含硅材料是聚硅氧烷。在一个实施方式中,中间层包括光致酸产生剂,并且所述光致酸产生剂包括锍阳离子或碘鎓阳离子。在一个实施方式中,中间层包括光致酸产生剂,并且光致酸产生剂包括选自由以下组成的组的阴离子:
选自由以下组成的组的阳离子:
在一个实施方式中,中间层包括具有碘取代基的光化辐射吸收添加剂,且所述光化辐射吸收添加剂具有结构In-R1,其中,n=1-10且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-10乙酰基烷基基团、C1-C10羧基基团、C2-C10-烷基羧基基团、C3-C10环烷基羧基基团或金刚烷基基团。在一个实施方式中,中间层包括光化辐射吸收添加剂,所述光化辐射吸收添加剂具有碘取代基,且所述光化辐射吸收添加剂选自以下组成的组:
在一个实施方式中,中间层包括具有碘取代基或酚基取代基的含硅单体,其中,所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团、C1-C20烷基氟基团、C6-C20芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘或1-10个酚羟基,或Z是单键,或D是H;R4、R5和R6各自为H或为取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团或C4-C20环烷基羧基基团。在一个实施方式中,中间层包括具有碘取代基或酚基取代基的含硅单体,其中,所述含硅单体选自以下组成的组:
在一个实施方式中,中间层包括具有碘取代基或酚基取代基的含硅单体,所述含硅单体包括光致酸产生剂取代基,且选自以下组成的组:
本公开的另一个实施方式是一种制造半导体器件的方法,所述方法包括在衬底上形成三层抗蚀剂的底部层。在底部层上形成三层抗蚀剂的中间层。中间层包括含硅材料和选自由光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体组成的组中的一种或多种。在40℃-400℃范围内的温度下加热中间层。加热中间层后,在中间层上形成光敏层。光敏层和中间层选择性地曝光于光化辐射。将显影剂组合物施加到选择性曝光的光敏层上,以在光敏层中形成图案。在一个实施方式中,含硅材料是聚硅氧烷或旋涂玻璃。在一个实施方式中,光化辐射是极紫外辐射。
本公开的另一实施方式是一种组合物,所述组合物包含:含硅材料和选自由光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体组成的组中的一种或多种。光致酸产生剂包括选自以下组成的组的阴离子:
选自以下组成的组的阳离子:
具有碘取代基的光化辐射吸收添加剂具有结构In-R1,其中,n=1-10,且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-10乙酰基烷基基团、C1-C10羧基基团、C2-C10烷基羧基基团、C3-C10环烷基羧基基团或金刚烷基基团。含硅单体具有结构
其中,Z和D是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团、C1-C20烷基氟基团、C6-C20芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘基团或1-10个酚羟基基团,或Z是单键,或D是H;R4、R5和R6各自为H或取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团或C4-C20环烷基羧基基团。在一个实施方式中,含硅材料是硅氧烷或旋涂玻璃。在一个实施方式中,含硅材料是聚硅氧烷。在一个实施方式中,组合物包含具有碘取代基的光化辐射吸收添加剂,且所述光化辐射吸收添加剂选自以下组成的组:
在一个实施方式中,组合物包含具有碘取代基或酚基取代基的含硅单体,其中,所述含硅单体选自:
在一个实施方式中,组合物包含溶剂。在一个实施方式中,组合物包含含硅单体,并且含硅单体具有比含硅材料和溶剂更低的密度。在一个实施方式中,光致酸产生剂结合至含硅材料。
本公开的另一实施方式是一种组合物,所述组合物包含含硅材料和包括阴离子和阳离子的光致酸产生剂。阴离子包括一个或多个碘。在一个实施方式中,阴离子是选自如下组成的组的一种或多种:
在一个实施方式中,阳离子包括选自如下组成的组的一种或多种:
在一个实施方式中,组合物包含具有碘取代基的光化辐射吸收添加剂。在一个实施方式中,光化辐射吸收添加剂具有结构In-R1,其中,n=1-10且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-10乙酰基烷基基团、C1-C10羧基基团、C2-C10烷基羧基基团、C3-C10环烷基羧基基团或金刚烷基基团。在一个实施方式中,辐射吸收添加剂选自以下组成的组:
在一个实施方式中,组合物包含具有碘取代基或酚基取代基的含硅单体。
本公开的另一实施方式是一种组合物,所述组合物包括含硅材料和含硅单体,所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团、C1-C20烷基氟基团、C6-C20芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘或1-10个酚OH基团,或Z是单键,或D是H;R4、R5和R6各自为H或为取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20乙酰基基团、C3-C20乙酰基烷基基团、C1-C20羧基基团、C2-C20烷基羧基基团或C4-C20环烷基羧基基团。在一个实施方式中,组合物包含溶剂,并且含硅单体的密度大于含硅材料的密度和溶剂的密度。在一个实施方式中,含硅单体选自以下组成的组:
在一个实施方式中,该组合物包含溶剂,并且含硅单体的密度小于含硅材料的密度和溶剂的密度。在一个实施方式中,含硅单体选自:
下面提供了一些示例性实施方式:
实施方式1.一种制造半导体器件的方法,所述方法包括:
在衬底上形成第一层,所述第一层包括有机材料;
在第一层上形成第二层,其中,所述第二层包括含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、包括碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;
在第二层上形成光敏层,且
图案化所述光敏层。
实施方式2.根据实施方式1所述的方法,其中,所述含硅材料是硅氧烷或旋涂玻璃。
实施方式3.根据实施方式1所述的方法,其中,所述第二层包括光致酸产生剂,且所述光致酸产生剂包括锍阳离子或碘鎓阳离子。
实施方式4.根据实施方式1所述的方法,其中,所述第二层包括光致酸产生剂,且所述光致酸产生剂结合至所述含硅材料。
实施方式5.根据实施方式1所述的方法,其中,形成第二层包括:
在第一层上方施加混合物,其中,所述混合物包括含硅材料和以下材料中的一种或多种:光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;和
在第一层上方施加混合物之后,在40℃至400℃范围内的温度下加热所述混合物。
实施方式6.根据实施方式1所述的方法,其中,所述第二层包括具有碘取代基或酚基取代基的含硅单体,且
其中,形成第二层包括:
在第一层上方施加混合物,所述混合物包括所述含硅材料和所述含硅单体;和
在第一层上方施加混合物之后,通过将所述混合物在150℃至400℃范围内的温度下加热使所述混合物交联。
实施方式7.根据实施方式6所述的方法,其中,施加混合物包括:旋涂所述混合物,并且在旋涂期间,所述含硅单体从形成上部第二层和下部第二层的混合物中至少部分地分离,其中,所述上部第二层比所述下部第二层具有更高的含硅单体浓度。
实施方式8.根据实施方式7所述的方法,其中,在交联所述混合物期间,交联上部第二层中的含硅单体。
实施方式9.一种制造半导体器件的方法,包括:
在衬底上形成底部抗反射涂层;
在底部抗反射涂层上形成中间层,其中,所述中间层包括含硅材料和选自以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;
在中间层上形成光敏层;
使光敏层选择性地曝光于光化辐射以形成潜在图案;和
使选择性曝光的光敏层显影以在光敏层中形成图案。
实施方式10.根据实施方式9所述的方法,其中,所述含硅材料是聚硅氧烷。
实施方式11.根据实施方式9所述的方法,其中,所述中间层包括光致酸产生剂,且所述光致酸产生剂包括锍阳离子或碘鎓阳离子。
实施方式12.根据实施方式9所述的方法,其中,所述中间层包括光致酸产生剂,且所述光致酸产生剂包括选自由以下组成的组的阴离子:
选自由以下组成的组的阳离子:
实施方式13.根据实施方式9所述的方法,其中,所述中间层包括具有碘取代基的光化辐射吸收添加剂,且所述光化辐射吸收添加剂具有结构In-R1,其中,n=1-10且R1选自由取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10乙酰基基团、C3-10乙酰基烷基基团、C1-C10羧基基团、C2-C10-烷基羧基基团、C3-C10环烷基羧基基团或金刚烷基基团。
实施方式14.根据实施方式9所述的方法,其中,所述中间层包括具有碘取代基的光化辐射吸收添加剂,且所述光化辐射吸收添加剂选自由以下组成的组:
实施方式15.根据实施方式9所述的方法,其中,所述中间层包括具有碘取代基或酚基取代基的含硅单体,其中,所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团、C1-C20-烷基氟基团、C6-C20芳基基团、C7-C20芳烷基或金刚烷基基团,其中,Z和D独立地包括1-10个碘基团或1-10个酚OH基团,或Z是单键,或D是H;R4、R5和R6各自为H或为取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团或C4-C20环烷基羧基基团。
实施方式16.根据实施方式9所述的方法,其中,所述中间层包括含硅单体,所述含硅单体具有碘取代基或酚基取代基,其中,所述含硅单体选自由如下组成的组:
实施方式17.根据实施方式9所述的方法,其中,
所述中间层包括具有碘取代基或酚基取代基的含硅单体,
所述含硅单体包括光致酸产生剂取代基,且选自由如下组成的组:
实施方式18.一种组合物,所述组合物包含:
含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体,
其中,所述光致酸产生剂包括选自以下组成的组的阴离子:
选自以下组成的组的阳离子:
光化辐射吸收添加剂,所述光化辐射吸收添加剂具有碘取代基,所述光化辐射吸收添加剂具有结构In-R1,其中,n=1-10,且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10-乙酰基基团、C3-10-乙酰烷基基团、C1-C10-羧基基团、C2-C10-烷基羧基基团、C3-C10-环烷基羧基基团或金刚烷基基团;和
所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20羧基基团、C2-C20-烷基羧基基团、C1-C20-烷基氟基团、C6-C20-芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘基团或1-10个酚OH基团,或Z是单键,或D是H;R4、R5和R6各自为H或取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团或C4-C20环烷基羧基基团。
实施方式19.根据实施方式18所述的组合物,其中,所述含硅材料是硅氧烷或旋涂玻璃。
实施方式20.根据实施方式18所述的组合物,其中,所述含硅材料是聚硅氧烷。
前面概述了几个实施方式或示例的特征,以便本领域技术人员可以更好地理解本公开的方面。本领域技术人员应理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构的基础,以实现本文所介绍的实施方式或示例相同的目的和/或实现相同优势。本领域技术人员还应意识到,这种等效结构并不偏离本公开的精神和范围,并且他们可以在不偏离本公开精神和范围的情况下对本文进行各种更改、替换和更改。
Claims (10)
1.一种制造半导体器件的方法,所述方法包括:
在衬底上形成第一层,所述第一层包括有机材料;
在第一层上形成第二层,其中,所述第二层包括含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、包括碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;
在第二层上形成光敏层,且
图案化所述光敏层。
2.根据权利要求1所述的方法,其中,所述含硅材料是硅氧烷或旋涂玻璃。
3.根据权利要求1所述的方法,其中,所述第二层包括光致酸产生剂,且所述光致酸产生剂包括锍阳离子或碘鎓阳离子。
4.根据权利要求1所述的方法,其中,所述第二层包括光致酸产生剂,且所述光致酸产生剂结合至所述含硅材料。
5.根据权利要求1所述的方法,其中,形成第二层包括:
在第一层上方施加混合物,其中,所述混合物包括含硅材料和以下材料中的一种或多种:光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;和
在第一层上方施加混合物之后,在40℃至400℃范围内的温度下加热所述混合物。
6.根据权利要求1所述的方法,其中,所述第二层包括具有碘取代基或酚基取代基的含硅单体,且
其中,形成第二层包括:
在第一层上方施加混合物,所述混合物包括所述含硅材料和所述含硅单体;和
在第一层上方施加混合物之后,通过将所述混合物在150℃至400℃范围内的温度下加热使所述混合物交联。
7.根据权利要求6所述的方法,其中,施加混合物包括:旋涂所述混合物,并且在旋涂期间,所述含硅单体从形成上部第二层和下部第二层的混合物中至少部分地分离,其中,所述上部第二层比所述下部第二层具有更高的含硅单体浓度。
8.根据权利要求7所述的方法,其中,在交联所述混合物期间,交联上部第二层中的含硅单体。
9.一种制造半导体器件的方法,包括:
在衬底上形成底部抗反射涂层;
在底部抗反射涂层上形成中间层,其中,所述中间层包括含硅材料和选自以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体;
在中间层上形成光敏层;
使光敏层选择性地曝光于光化辐射以形成潜在图案;和
使选择性曝光的光敏层显影以在光敏层中形成图案。
10.一种组合物,所述组合物包含:
含硅材料和选自由以下组成的组中的一种或多种:光致酸产生剂、具有碘取代基的光化辐射吸收添加剂和具有碘取代基或酚基取代基的含硅单体,
其中,所述光致酸产生剂包括选自以下组成的组的阴离子:
选自以下组成的组的阳离子:
光化辐射吸收添加剂,所述光化辐射吸收添加剂具有碘取代基,所述光化辐射吸收添加剂具有结构In-R1,其中,n=1-10,且R1选自取代或未取代的以下基团组成的组:C1-C10烷基基团、C6-C10芳基基团、C1-C10芳烷基基团、C3-C10环烷基基团、C1-C10-羟基烷基基团、C2-C10烷氧基烷基基团、C2-C10-乙酰基基团、C3-10-乙酰烷基基团、C1-C10-羧基基团、C2-C10-烷基羧基基团、C3-C10-环烷基羧基基团或金刚烷基基团;和
所述含硅单体具有结构
其中,Z和D独立地是取代或未取代的以下基团:C1-C20烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20羧基基团、C2-C20-烷基羧基基团、C1-C20-烷基氟基团、C6-C20-芳基基团、C7-C20芳烷基基团或金刚烷基基团,其中,Z和D独立地包括1-10个碘基团或1-10个酚OH基团,或Z是单键,或D是H;R4、R5和R6各自为H或取代或未取代的以下基团:C6-C20芳基基团、C7-C20芳烷基基团、C3-C20环烷基基团、C1-C20羟基烷基基团、C2-C20烷氧基基团、C3-C20-烷氧基烷基基团、C2-C20-乙酰基基团、C3-C20-乙酰基烷基基团、C1-C20-羧基基团、C2-C20-烷基羧基基团或C4-C20环烷基羧基基团。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US63/402,851 | 2022-08-31 | ||
US18/123,095 | 2023-03-17 | ||
US18/123,095 US20240096623A1 (en) | 2022-08-31 | 2023-03-17 | Method of manufacturing a semiconductor device |
Publications (1)
Publication Number | Publication Date |
---|---|
CN117352374A true CN117352374A (zh) | 2024-01-05 |
Family
ID=89363850
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310531991.5A Pending CN117352374A (zh) | 2022-08-31 | 2023-05-12 | 半导体器件的制造方法 |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN117352374A (zh) |
-
2023
- 2023-05-12 CN CN202310531991.5A patent/CN117352374A/zh active Pending
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105093826B (zh) | 光刻胶和方法 | |
KR102703161B1 (ko) | 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법 | |
CN112748647A (zh) | 光致抗蚀剂显影剂和使光致抗蚀剂显影的方法 | |
TWI790553B (zh) | 光阻劑組成物與製造半導體裝置的方法 | |
CN113311661B (zh) | 光阻底层组成物与制造半导体装置的方法 | |
TWI777569B (zh) | 底層組成物與半導體裝置的製造方法 | |
CN117352374A (zh) | 半导体器件的制造方法 | |
US20240096623A1 (en) | Method of manufacturing a semiconductor device | |
US20230063073A1 (en) | Method of manufacturing a semiconductor device | |
TWI792437B (zh) | 製造半導體裝置的方法 | |
US20230102166A1 (en) | Method of manufacturing a semiconductor device | |
US20240118618A1 (en) | Method of manufacturing a semiconductor device | |
CN113359391B (zh) | 光致抗蚀剂组合物和形成光致抗蚀剂图案的方法 | |
CN117423609A (zh) | 光阻组合物及半导体装置的制造方法 | |
TWI772001B (zh) | 樹脂、光阻組成物和半導體裝置的製造方法 | |
TWI815097B (zh) | 光阻劑組成物與製造半導體裝置的方法 | |
TW202136327A (zh) | 製造半導體裝置的方法 | |
TW202244619A (zh) | 製造半導體裝置之方法 | |
TW202126706A (zh) | 製造半導體元件的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |