Rana et al., 2014 - Google Patents
Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodesRana et al., 2014
- Document ID
- 12421410047697096602
- Author
- Rana N
- Zhang Y
- Kagalwala T
- Bailey T
- Publication year
- Publication venue
- Journal of Micro/Nanolithography, MEMS, and MOEMS
External Links
Snippet
Integrated circuit (IC) technology is changing in multiple ways: 193i to extreme ultraviolet exposure, planar to nonplanar device architecture, from single exposure lithography to multiple exposure and directed self-assembly (DSA) patterning, and so on. Critical …
- 238000010801 machine learning 0 title abstract description 10
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Exposure apparatus for microlithography
- G03F7/70483—Information management, control, testing, and wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management and control, including software
- G03F7/705—Modelling and simulation from physical phenomena up to complete wafer process or whole workflow in wafer fabrication
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Exposure apparatus for microlithography
- G03F7/70483—Information management, control, testing, and wafer monitoring, e.g. pattern monitoring
- G03F7/70616—Wafer pattern monitoring, i.e. measuring printed patterns or the aerial image at the wafer plane
- G03F7/70625—Pattern dimensions, e.g. line width, profile, sidewall angle, edge roughness
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING; COUNTING
- G06F—ELECTRICAL DIGITAL DATA PROCESSING
- G06F17/00—Digital computing or data processing equipment or methods, specially adapted for specific functions
- G06F17/50—Computer-aided design
- G06F17/5068—Physical circuit design, e.g. layout for integrated circuits or printed circuit boards
- G06F17/5081—Layout analysis, e.g. layout verification, design rule check
Similar Documents
Publication | Publication Date | Title |
---|---|---|
Rana et al. | Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodes | |
Mulkens et al. | Patterning control strategies for minimum edge placement error in logic devices | |
Chen et al. | Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET) | |
Bunday et al. | CD-SEM metrology for sub-10nm width features | |
Wormington et al. | X-ray critical dimension metrology solution for high aspect ratio semiconductor structures | |
Pandev et al. | Signal response metrology (SRM): a new approach for lithography metrology | |
Finders | The impact of mask 3D and resist 3D effects in optical lithography | |
Kagalwala et al. | Scatterometry-based metrology for SAQP pitch walking using virtual reference | |
Fang et al. | Improving OCD time to solution using signal response metrology | |
Zhu et al. | Application of measurement configuration optimization for accurate metrology of sub-wavelength dimensions in multilayer gratings using optical scatterometry | |
Chao et al. | Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs | |
Leray et al. | Improving scanner wafer alignment performance by target optimization | |
Levi et al. | A holistic metrology sensitivity study for pattern roughness quantification on EUV patterned device structures with mask design induced roughness | |
Gunay-Demirkol et al. | Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control | |
Kagalwala et al. | Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference | |
Park et al. | Unique method for controlling device level overlay with high-NA optical overlay technique using YieldStar in a DRAM HVM environment | |
Das et al. | Machine learning for predictive electrical performance using OCD | |
Fan et al. | Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanisms | |
Weisbuch et al. | Calibrating etch model with SEM contours | |
Liu et al. | A physical resist shrinkage model for full-chip lithography simulations | |
Hazart et al. | Data fusion for CD metrology: heterogeneous hybridization of scatterometry, CDSEM, and AFM data | |
Rana et al. | Machine learning and predictive data analytics enabling metrology and process control in IC fabrication | |
Miller et al. | Application of SEM-based contours for OPC model weighting and sample plan reduction | |
Rana et al. | Leveraging data analytics, patterning simulations and metrology models to enhance CD metrology accuracy for advanced IC nodes | |
Feng et al. | Scatterometry-based calibration of a 3D virtual fabrication model for gate-all-around devices |