[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

Chen et al., 2014 - Google Patents

Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies …

Chen et al., 2014

Document ID
16944963458437087911
Author
Chen K
Huang G
Chen K
Hsieh C
Chen Y
Ke C
Gau T
Ku Y
Bhattacharyya K
Huang J
den Boef A
vd Schaar M
Maassen M
Plug R
Zhang Y
Meyer S
van Veen M
de Ruiter C
Wu J
Xu H
Chow T
Chen C
Verhoeven E
Li P
Hinnen P
Storms G
Pao K
Zhang G
Fouquet C
Mori T
Publication year
Publication venue
Metrology, Inspection, and Process Control for Microlithography XXVIII

External Links

Snippet

In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as …
Continue reading at www.spiedigitallibrary.org (other versions)

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Exposure apparatus for microlithography
    • G03F7/70483Information management, control, testing, and wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management and control, including software
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/30Information retrieval; Database structures therefor; File system structures therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06FELECTRICAL DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/50Computer-aided design
    • GPHYSICS
    • G06COMPUTING; CALCULATING; COUNTING
    • G06NCOMPUTER SYSTEMS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N99/00Subject matter not provided for in other groups of this subclass
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using infra-red, visible or ultra-violet light

Similar Documents

Publication Publication Date Title
Chen et al. Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET)
Wright et al. New approaches for scatterometry-based metrology for critical distance and overlay measurement and process control
Midoh et al. Image quality enhancement of a CD-SEM image using conditional generative adversarial networks
Bhattacharyya et al. Holistic approach using accuracy of diffraction-based integrated metrology to improve on-product performance, reduce cycle time, and cost at litho
Bunday et al. CD-SEM metrology for sub-10nm width features
Fan et al. High resolution profiles of 3D NAND pillars using x-ray scattering metrology
Ma et al. Machine learning based wafer defect detection
Mack Analytical expression for impact of linewidth roughness on critical dimension uniformity
Kim et al. Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets
Fan et al. Benchmarking study of EUV resists for NXE: 3300B
Buitrago et al. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography
Kagalwala et al. Scatterometry-based metrology for SAQP pitch walking using virtual reference
Park et al. Unique method for controlling device level overlay with high-NA optical overlay technique using YieldStar in a DRAM HVM environment
Das et al. Machine learning for predictive electrical performance using OCD
Simiz et al. Product layout induced topography effects on intrafield levelling
Lorusso et al. Spectral analysis of line width roughness and its application to immersion lithography
Lee et al. Overlay measurement accuracy enhancement by design and algorithm
Liu et al. A physical resist shrinkage model for full-chip lithography simulations
Schmidt et al. Vertical travelling scatterometry for metrology on fully integrated devices
Dettoni et al. Enhanced 28nm FD-SOI diffraction based overlay metrology based on holistic metrology qualification
Zhou et al. Reducing the overlay metrology sensitivity to perturbations of the measurement stack
Son et al. Massive overlay metrology solution by realizing imaging Mueller matrix spectroscopic ellipsometry
Das et al. Scatterometry solutions for 14nm half-pitch BEOL layers patterned by EUV single exposure
Leray et al. Overlay metrology solutions in a triple patterning scheme
Schelcher et al. Feature grouping to enable edge placement error-aware process control in multi-feature logic use case