[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

Attack Mitigation of Hardware Trojans for Thermal Sensing via Micro-ring Resonator in Optical NoCs

Published: 30 June 2021 Publication History

Abstract

As an emerging role in new-generation on-chip communication, optical networks-on-chip (ONoCs) provide ultra-high bandwidth, low latency, and low power dissipation for data transfers. However, the thermo-optic effects of the photonic devices have a great impact on the operating performance and reliability of ONoCs, where the thermal-aware control with accurate measurements, e.g., thermal sensing, is typically applied to alleviate it. Besides, the temperature-sensitive ONoCs are prone to be attacked by the hardware Trojans (HTs) covertly embedded in the counterfeit integrated circuits (ICs) from the malicious third-party vendors, leading to performance degradation, denial-of-service (DoS), or even permanent damages. In this article, we focus on the tampering and snooping attacks during the thermal sensing via micro-ring resonator (MR) in ONoCs. Based on the provided workflow and attack model, a new structure of the anti-HT module is proposed to verify and protect the obtained data from the thermal sensor for attacks in its optical sampling and electronic transmission processes. In addition, we present the detection scheme based on the spiking neural networks (SNNs) to implement an accurate classification of the network security statuses for further high-level control. Evaluation results indicate that, with less than 1% extra area of a tile, our approach can significantly enhance the hardware security of thermal sensing for ONoC with trivial costs of up to 8.73%, 5.32%, and 6.14% in average latency, execution time, and energy consumption, respectively.

References

[1]
Zhao Huang, Quan Wang, and Pengfei Yang. 2019. Hardware trojan: Research progress and new trends on key problems. Chinese J. Comput. 42, 5 (2019), 993–1017.
[2]
Luka Daoud. 2018. Secure network-on-chip architectures for MPSoC: overview and challenges. In Proceedings of IEEE International Midwest Symposium on Circuits and Systems (MWSCAS’18). 542–543.
[3]
David A. B. Miller. 2009. Device requirements for optical interconnects to silicon chips. Proc. IEEE 97, 7 (2009), 1166–1185.
[4]
Keren Bergman, Luca P. Carloni, Aleksandr Biberman, Johnnie Chan, and Gilbert Hendry. 2014. Photonic network-on-chip design, in Integrated Circuits and Systems, Vol. 68, 1st ed. Springer.
[5]
Luka Daoud. 2020. Secure Network-on-chip Against Black Hole and Tampering Attacks, Ph.D thesis, Boise State University.
[6]
Jun Zhou, Mengquan Li, Pengxing Guo, and Weichen Liu. 2020. Mitigation of tampering attacks for MR-based thermal sensing in optical NoCs. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). 554–559.
[7]
Jilles Vreeken. 2002. Spiking neural networks, an introduction. Computing 7, 3 (2002), 1–5.
[8]
Hyun-Tae Kim and Miao Yu. 2016. Cascaded ring resonator-based temperature sensor with simultaneously enhanced sensitivity and range. Optics Express 24, 9 (2016), 9501–9510.
[9]
Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, and Weichen Liu. 2012. System-level modeling and analysis of thermal effects in optical networks-on-chip. IEEE Trans. Very Large Scale Integr. Syst. 21, 2 (2012), 292–305.
[10]
Kishore Padmaraju and Keren Bergman. 2014. Resolving the thermal challenges for silicon microring resonator devices. Nanophotonics 3 (4-5), (2014), 269–281.
[11]
Wim Bogaerts, Peter De Heyn, Thomas Van Vaerenbergh, Katrien De Vos, Shankar Kumar Selvaraja, Tom Claes, Pieter Dumon, Peter Bienstman, Dries Van Thourhout, and Roel Baets. 2012. Silicon microring resonators. In Laser Photon. Rev. 6, 1 (2012), 47–73.
[12]
Shijun Xiao, Maroof H. Khan, Hao Shen, and Minghao Qi. 2007. Modeling and measurement of losses in silicon-on-insulator resonators and bends. Optics Express 15, 17 (2007), 10553–10561.
[13]
Mengquan Li, Weichen Liu, Nan Guan, Yiyuan Xie, and Yaoyao Ye. 2019. Hardware-software collaborative thermal sensing in optical network-on-chip-based manycore systems. ACM Trans. Embed. Comput. Syst. 18, 6 118 (2019), 1–24.
[14]
Weichen Liu, Guiyu Tian, and Mengquan Li. 2020. Autonomous temperature sensing for optical network-on-chip. J. Syst. Architect. 102, 101650 (2020).
[15]
Weichen Liu, Peng Wang, Mengquan Li, Yiyuan Xie, and Nan Guan. 2017. Quantitative modeling of thermo-optic effects in optical networks-on-chip. In Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI (GLSVLSI’17). 263–268.
[16]
Weichen Liu, Mengquan Li, Wanli Chang, Chunhua Xiao, Yiyuan Xie, Nan Guan, and Lei Jiang. 2019. Thermal sensing using micro-ring resonators in optical network-on-chip. In Proceedings of the ACM/IEEE Design, Automation and Test in Europe (DATE’19). 1611–1614.
[17]
Mengquan Li, Jun Zhou, and Weichen Liu. 2020. Lightweight thermal monitoring for optical networks-on-chip via router reuse. In Proceedings of the ACM/IEEE Design, Automation and Test in Europe (DATE’20). 406–411.
[18]
Travis Boraten and Avinash Karanth Kodi. 2016. Packet security with path sensitization for NoCs. In Proceedings of the ACM/IEEE Design, Automation & Test in Europe (DATE’16). 1136–1139.
[19]
Travis Boraten, Dominic DiTomaso, and Avinash Karanth Kodi. 2016. Secure model checkers for network-on-chip (NoC) architectures. In Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI (GLSVLSI’16). 45–50.
[20]
Mubashir Hussain. 2018. Runtime Detection of Hardware Trojan in Untrusted Network-on-chip, Ph.D. thesis, University of New South Wales, Australia.
[21]
Travis H. Boraten and Avinash K. Kodi. 2018. Securing NoCs against timing attacks with non-interference-based adaptive routing. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS’18). 1–8.
[22]
Luka Daoud and Nader Rafla. 2018. Routing aware and runtime detection for infected network-on-chip routers. In Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS’18). 775–778.
[23]
Qiaoyan Yu and Jonathan Frey. 2013. Exploiting error control approaches for hardware Trojans on network-on-chip links. In Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS’13). 266–271.
[24]
J. Y. V. Manoj Kumar, Ayas Kanta Swain, Sudeendra Kumar, Sauvagya Ranjan Sahoo, and Kamalakanta Mahapatra. 2018. Run time mitigation of performance degradation hardware Trojan attacks in NoC. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’18). 738–743.
[25]
Travis Boraten and Avinash Karanth Kodi. 2016. Mitigation of denial-of-service attack with hardware Trojans in NoC architectures. In Proceedings of the IEEE International Parallel & Distributed Processing Symposium (IPDPS’16). 1091–1100.
[26]
Jonathan Frey and Qiaoyan Yu. 2015. Exploiting state obfuscation to detect hardware Trojans in NoC network interfaces. In Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS’15). 1–4.
[27]
Jonathan Frey and Qiaoyan Yu. 2017. A hardened network-on-chip design using runtime hardware Trojan mitigation methods. VLSI J. 56 (2017) 15–31.
[28]
Musharraf Hussain, Naveed Khan Baloach, and Aamir Anwar. 2019. A non-invasive approach to detect and mitigate hardware Trojan in network on chip. Int. J. Comput. Sci. Mobile Comput. 8, 7 (2019), 188–202.
[29]
Ahmed Ben Achballah, Slim Ben Othman, and Slim Ben Saoud. 2017. Toward on hardware firewalling of networks-on-chip-based systems. In Proceedings of the IEEE International Conference on Advanced Systems and Emergent Technologies (ICASET’17). 7–13.
[30]
Miltos D. Grammatikakis, Polydoros Petrakis, Antonis Papagrigoriou, George Kornaros, and Marcello Coppola. 2015. High-level security services based on a hardware NoC firewall module. In Proceedings of the International Workshop on Intelligent Solutions in Embedded Systems (WISES’15). 73–78.
[31]
Venkata Yaswanth Raparti and Sudeep Pasricha. 2019. Lightweight mitigation of hardware Trojan attacks in NoC-based manycore computing. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’19). 1–6.
[32]
Brian Lebiednik, Sergi Abadal, Hyoukjun Kwon, and Tushar Krishna. 2018. Architecting a secure wireless network-on-chip. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS’18). 1–8.
[33]
Taimour Wehbe and Xiaofang Wang. 2016. Secure and dependable NoC-connected systems on an FPGA chip. IEEE Trans. Reliabil. 65, 4 (2016), 1852–1863.
[34]
Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy. 2014. Fort-NoCs: Mitigating the threat of a compromised NoC. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’14). 1–6.
[35]
Sudeep Pasricha, Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, and Varun Bhat. 2018. Securing photonic NoC architectures from hardware Trojans. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS’18). 1–8.
[36]
Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Varun Bhat, and Sudeep Pasricha. 2018. SOTERIA: Exploiting process variations to enhance hardware security with photonic NoC architectures. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’18). 1–6.
[37]
Ali Ayazi, Tom Baehr-Jones, Yang Liu, Andy Eu-Jin Lim, and Michael Hochberg. 2012. Linearity of silicon ring modulators for analog optical links. Optics Express 20, 12 (2012), 13115–13122.
[38]
Zhongqi Li, Amer Qouneh, Madhura Joshi, Wangyuan Zhang, Xin Fu, and Tao Li. 2015. Aurora: A cross-layer solution for thermally resilient photonic network-on-chip. IEEE Trans. Very Large Scale Integr. Syst. 23, 1 (2015), 170–183.
[39]
Young Sil Lee, Non Thiranant, HyeongRag Kim, JungBok Jo, and HoonJae Lee. 2015. Fault attacks by using voltage and temperature variations: An investigation and analysis of experimental environment. In Information Science and Applications. Springer, 483–490.
[40]
Ali Adam, A. Elnady, and Amer Ghias. 2016. A novel multilevel DC chopper supplying DC motor. In Proceedings of the International Conference on Electronic Devices, Systems and Applications (ICEDSA’16). 1–5.
[41]
Yazhou Tu, Sara Rampazzi, Bin Hao, Angel Rodriguez, Kevin Fu, and Xiali Hei. 2019. Trick or heat?: manipulating critical temperature-based control systems using rectification attacks. In Proceedings of the ACM SIGSAC Conference on Computer and Communications Security (CCS’19). 2301–2315.
[42]
Kishore Padmaraju, Dylan F. Logan, Xiaoliang Zhu, Jason J. Ackert, Andrew P. Knights, and Keren Bergman. 2013. Integrated thermal stabilization of a microring modulator. Optics Express 21, 12 (2013), 1–10.
[43]
Wim Bogaerts, Peter De Heyn, Thomas Van Vaerenbergh, Katrien DeVos, Shankar Kumar Selvaraja, Tom Claes, Pieter Dumon, Peter Bienstman, Dries Van Thourhout, and Roel Baets. 2012. Silicon microring resonators. Laser Photon. Rev. 6, 1 (2012), 47–73.
[44]
Yi Xu, Jun Yang, and Rami Melhem. 2012. Tolerating process variations in nanophotonic on-chip networks. In Proceedings of the ACM/IEEE International Symposium on Computer Architecture (ISCA’12). 142–152.
[45]
Pengxing Guo, Weigang Hou, Lei Guo, Wei Sun, Chuang Liu, Hainan Bao, Luan H. K. Duong, and Weichen Liu. 2020. Fault-tolerant routing mechanism in 3D optical network-on-chip based on node reuse. IEEE Trans. Parallel Distrib. Syst. 31, 3 (2020), 547–564.
[46]
Christopher Nitta, Matthew Farrens, and Venkatesh Akella. 2011. Addressing system-level trimming issues in on-chip nanophotonic networks. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’11). 122–131.
[47]
Jun Han and Claudio Moraga. 1995. The influence of the sigmoid function parameters on the speed of backpropagation learning. In Proceedings of the International Work-Conference on Artificial Neural Networks (IWANN’95). 195–201.
[48]
MATLAB Curve Fitting Toolbox. Retrieved from https://www.mathworks.com/products/curvefitting.html
[49]
INTERCONNECT simulator. Retrieved from https://www.lumerical.com/products/interconnect/
[50]
Zhao Wang. 2017. Silicon Micro-ring Resonator Modulator for Inter/Intra-data Centre Applications, Ph.D. thesis, McMaster University, Hamilton, Ontario, Canada.
[51]
Mohammed F. Tolba, BahaaAlDeen M. AboAlNaga, Lobna A. Said, Ahmed H. Madian, and Ahmed G. Radwan. 2019. Fractional order integrator/differentiator: FPGA implementation and FOPID controller application. Int. J. Electron. Commun. 98 (2019), 220–229.
[52]
Ronald Linn Rivest. 1992. The MD5 message digest algorithm. Req. Comments 1321 (1992).
[53]
Mohamad H. Hassoun. 1995. Fundamentals of Artificial Neural Networks, 1st ed. MIT Press, Cambridge, MA.
[54]
Kyle Madden, Jim Harkin, Liam McDaid, and Chris Nugent. 2018. Adding security to networks-on-chip using neural networks. In Proceedings of the IEEE Symposium Series on Computational Intelligence (SSCI’18). 1299–1306.
[55]
Sriram R. Vangal, Jason Howard, Gregory Ruhl, Saurabh Dighe, Howard Wilson, James Tschanz, David Finan, Arvind Singh, Tiju Jacob, Shailendra Jain, Vasantha Erraguntla, Clark Roberts, Yatin Hoskote, Nitin Borkar, and Shekhar Borkar. 2008. An 80-tile sub-100-w teraflops processor in 65-nm CMOS. IEEE J. Solid-State Circ. 43, 1 (2008), 29–41.
[56]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The gem5 simulator. SIGARCH Comput. Architect. News 39, 2 (2011), 1–7.
[57]
Nan Jiang, Daniel U. Becker, George Michelogiannakis, James Balfour, Brian Towles, D. E. Shaw, John Kim, and William J. Dally. 2013. A detailed and flexible cycle-accurate network-on-chip simulator. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’13). 86–96.
[58]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT’08). 72–81.
[59]
Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason Miller, Anant Agarwal, Li-Shiuan Peh, and Vladimir Stojanovic. 2012. DSENT—A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip (NOCS’12). 201–210.
[60]
Ruiqiang Ji, Jiang Xu, and Lin Yang. 2013. Five-port optical router based on microring switches for photonic networks-on-chip. IEEE Photon. Technol. Lett. 25, 5 (2013), 492–495.
[61]
Nikola K. Kasabov. 2014. NeuCube: A spiking neural network architecture for mapping, learning and understanding of spatio-temporal brain data. Neural Netw. 52, (2014), 62–76.
[62]
Jingcao Hu and R. Marculescu. 2004. DyAD - smart routing for networks-on-chip. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’04). 260–263.

Cited By

View all
  • (2025)Imperceptible Trojan Attacks to the Graph-Based Big Data Processing in Smart SocietyIEICE Transactions on Information and Systems10.1587/transinf.2024PAP0006E108.D:1(37-45)Online publication date: 1-Jan-2025
  • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
  • (2024)Thermo-Attack Resiliency: Addressing a New Vulnerability in Opto-Electrical Network-on-Chips2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528773(1-9)Online publication date: 3-Apr-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 17, Issue 3
July 2021
483 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3464978
  • Editor:
  • Ramesh Karri
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 30 June 2021
Accepted: 01 November 2020
Revised: 01 September 2020
Received: 01 June 2020
Published in JETC Volume 17, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Hardware security
  2. Thermal sensing
  3. Network-on-chip
  4. Micro-ring resonator
  5. Trojan
  6. Neural network

Qualifiers

  • Research-article
  • Refereed

Funding Sources

  • Ministry of Education, Singapore, under its Academic Research Fund Tier 2 and and Tier 1
  • Nanyang Technological University, Singapore, under its NAP and SUG

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)55
  • Downloads (Last 6 weeks)10
Reflects downloads up to 17 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2025)Imperceptible Trojan Attacks to the Graph-Based Big Data Processing in Smart SocietyIEICE Transactions on Information and Systems10.1587/transinf.2024PAP0006E108.D:1(37-45)Online publication date: 1-Jan-2025
  • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
  • (2024)Thermo-Attack Resiliency: Addressing a New Vulnerability in Opto-Electrical Network-on-Chips2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528773(1-9)Online publication date: 3-Apr-2024
  • (2024)Towards Efficient On-Chip Communication: A Survey on Silicon Nanophotonics and Optical Networks-on-ChipJournal of Systems Architecture10.1016/j.sysarc.2024.103171152(103171)Online publication date: Jul-2024
  • (2023)Integrated Photonic AI Accelerators Under Hardware Security Attacks: Impacts and Countermeasures2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS57524.2023.10406049(806-810)Online publication date: 6-Aug-2023
  • (2022)EA-based Mitigation of Hardware Trojan Attacks in NoC of Coarse-Grained Reconfigurable Arrays2022 International Conference on Networking and Network Applications (NaNA)10.1109/NaNA56854.2022.00097(528-533)Online publication date: Dec-2022

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media