[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/1323548.1323561acmconferencesArticle/Chapter ViewAbstractPublication PagesancsConference Proceedingsconference-collections
research-article

Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture

Published: 03 December 2007 Publication History

Abstract

Network-on-Chip (NoC)architectures provide a scalable solution to the wire delay constraints in deep submicron VLSI designs. Recent research into the ptimization of NoC architectures has shown that the design of buffers in the NoC routers influences the power consumption, area overhead and performance of the entire network. In this paper, we propose a low-power area-efficient NoC architecture by reducing the number of router buffers. As a reduction in the number of buffers degrades the network's performance, we propose to use the existing repeaters along the inter-router links as adaptive channel buffers for storing data when required. We evaluate the proposed adaptive communication channel buffers under static and dynamic buffer allocation in 8 x 8 mesh and folded torus network topologies. Simulation results show that reducing the router buffer size in half and using the adaptive channel buffers reduces the buffer power by 40-52% and leads to a 17-20% savings in overall network power with a 50% reduction in router area. The design with dynamic buffer allocation shows a marginal 1-5% drop in performance, while static buffer allocation shows a 10-20% drop in performance, for various traffic patterns.

References

[1]
L. Benini and G. D. Micheli, "Networks on chips: A new SoC paradigm," IEEE Computer vol. 35, pp. 70--78, January, 2002.
[2]
W. J. Dally and B. Towles, "Route packets,not wires," in Proceedings of the Design Automation Conference (DAC) Las Vegas, NV, USA, pp. 684--689, June 18--22, 2001.
[3]
R. Ho, K. W. Mai, and M. A. Horowitz, "The future of wires," Proceedings of the IEEE vol. 89, pp. 490--504, April, 2001.
[4]
J. Balfour and W. J. Dally, "Design tradeoffs for tiled CMP on-chip networks," in Proceedings of the 20th ACM International Conference on Supercomputing (ICS) Cairns, Australia, pp. 187--198, June 28--30,2006.
[5]
J. Hu and R. Marculescu, "Application-specific buffer space allocation for network-on-chip router design," in Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD)San Jose, CA, USA, pp. 354--361, November 7-11, 2004.
[6]
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "ViChaR: A dynamic virtual channel regulator for network-on-chip routers," in Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO)Orlando, FL, USA, pp. 333--344, December 9--13, 2006.
[7]
H. S. Wang, L. S. Peh, and S. Malik, "Power-driven design of router microarchitectures in on-chip networks," in Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), Washington DC, USA, pp. 105--116, December 3-5, 2003.
[8]
S. Heo and K. Asanovic, "Replacing global wires with an on-chip network: A power analysis," in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED)San Diego, CA,USA, pp. 369--374, August 8-10 2005.
[9]
"2006 workshop on on-and off-chip interconnection networks for multicore systems (http://www.ece.ucdavis.edu/~ocin06/program.html)," December 6-7, 2006.
[10]
J. Kim, C. A. Nicopoulos, D. Park, N. Vijaykrishnan, M. S. Yousif,and C. R. Das, "A gracefully degrading and energy-efficient modular router architecture for on-chip networks," in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA)Boston, MA, USA, pp. 4--15, June 17--21, 2006.
[11]
R. Mullins, A. West, and S. Moore, "Low-latency virtual channel routers for on-chip networks," in Proceedings of International Symposium on Computer Architecture (ISCA)Munchen,Germany, pp. 188--197, June 19--23, 2004.
[12]
L. S. Peh and W. J. Dally, "A delay model and speculative architecture for pipelined routers," in Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA)Nuevo Leone, Mexico, pp. 255--266, January, 2001.
[13]
W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks Morgan Kaufmann, San Fransisco, USA, 2004.
[14]
K. Banerjee and A. Mehrotra, "A power-optimal repeater insertion methodology for global interconnects in nanometer designs," IEEE Transactions on Electron Devices vol.49, no. 11, pp. 2001--2007, November, 2002.
[15]
M. Mizuno, W. J. Dally, and H. Onishi, "Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressing data," in Proceedings of the IEEE International Solid-State Circuits Conference San Fransisco, CA,USA, pp. 346--347, February 5-7,2001.
[16]
N. Ni, M. Pirvu, and L. Bhuyan, "Circular buffered switch design with wormhole routing and virtual channels," in Proceedings of the International Conference on Computer Design (ICCD)Austin, TX, USA, pp. 466--473, October, 1998.
[17]
Y. Tamir and G. L. Frazier, "High-performance multiqueue buffers for VLSI communication switches," in Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA)Honolulu, Hawaii, USA, pp. 343--354, May-June, 1988.
[18]
H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, "Orion: A power-performance simulator for interconnection networks," in Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO) Istanbul, Turkey, pp. 294--305, November 18-22, 2002.
[19]
X. Chen and L. S. Peh, "Leakage power modeling and optimization in interconnection networks," in Proceedings of the 2003 International Symposium on Low Power Electronics and Design Seoul, Korea, pp. 90--95, August 25--27, 2003.
[20]
A. Y. Zeng, K. Rose, and R. J. Gutmann, "Cache array architecture optimization at deep submicron technologies," in Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors San Jose, CA, USA, pp. 320--325, October 11-13, 2004.

Cited By

View all
  • (2015)On the Capacity of Bufferless Networks-on-ChipIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2014.231022626:2(492-506)Online publication date: 14-Jan-2015
  • (2013)Elastic Buffer Flow Control for On-Chip NetworksIEEE Transactions on Computers10.1109/TC.2011.23762:2(295-309)Online publication date: 1-Feb-2013
  • (2012)On the capacity of bufferless Networks-on-Chip2012 50th Annual Allerton Conference on Communication, Control, and Computing (Allerton)10.1109/Allerton.2012.6483296(770-777)Online publication date: Oct-2012
  • Show More Cited By

Index Terms

  1. Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ANCS '07: Proceedings of the 3rd ACM/IEEE Symposium on Architecture for networking and communications systems
      December 2007
      212 pages
      ISBN:9781595939456
      DOI:10.1145/1323548
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 03 December 2007

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. low-power design
      2. network-on-chip

      Qualifiers

      • Research-article

      Conference

      ANCS07

      Acceptance Rates

      ANCS '07 Paper Acceptance Rate 20 of 70 submissions, 29%;
      Overall Acceptance Rate 88 of 314 submissions, 28%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 01 Jan 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2015)On the Capacity of Bufferless Networks-on-ChipIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2014.231022626:2(492-506)Online publication date: 14-Jan-2015
      • (2013)Elastic Buffer Flow Control for On-Chip NetworksIEEE Transactions on Computers10.1109/TC.2011.23762:2(295-309)Online publication date: 1-Feb-2013
      • (2012)On the capacity of bufferless Networks-on-Chip2012 50th Annual Allerton Conference on Communication, Control, and Computing (Allerton)10.1109/Allerton.2012.6483296(770-777)Online publication date: Oct-2012
      • (2011)BibliographyDesigning Network On-Chip Architectures in the Nanoscale Era10.1201/b10477-18(443-475)Online publication date: 9-Feb-2011
      • (2010)Efficient trace-driven metaheuristics for optimization of networks-on-chip configurationsProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133485(256-263)Online publication date: 7-Nov-2010
      • (2010)Trace-driven optimization of networks-on-chip configurationsProceedings of the 47th Design Automation Conference10.1145/1837274.1837384(437-442)Online publication date: 13-Jun-2010
      • (2010)Low power nanoscale buffer management for network on chip routersProceedings of the 20th symposium on Great lakes symposium on VLSI10.1145/1785481.1785540(245-250)Online publication date: 16-May-2010
      • (2010)Efficient trace-driven metaheuristics for optimization of networks-on-chip configurations2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2010.5654164(256-263)Online publication date: Nov-2010
      • (2009)Router designs for elastic buffer on-chip networksProceedings of the Conference on High Performance Computing Networking, Storage and Analysis10.1145/1654059.1654062(1-10)Online publication date: 14-Nov-2009
      • (2009)Router with centralized buffer for network-on-chipProceedings of the 19th ACM Great Lakes symposium on VLSI10.1145/1531542.1531649(469-474)Online publication date: 10-May-2009
      • Show More Cited By

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media