[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

Static and dynamic temperature-aware scheduling for multiprocessor SoCs

Published: 01 September 2008 Publication History

Abstract

Thermal hot spots and high temperature gradients degrade reliability and performance, and increase cooling costs and leakage power. In this paper, we explore the benefits of temperature-aware task scheduling for multiprocessor system-on-a-chip (MPSoC). We evaluate our techniques using workload characteristics collected from a real system by Sun's Continuous System Telemetry. We first solve the task scheduling problem statically using integer linear programming (ILP). The ILP solution is guaranteed to be optimal for the given assumptions for tasks. We formulate ILPs for minimizing energy, balancing energy, and reducing hot spots, and provide an extensive comparison of their thermal behavior against our technique. Our static solution can reduce the frequency of hot spots by 35%, spatial gradients by 85%, and thermal cycles by 61% in comparison to the ILP for minimizing energy. We then design dynamic scheduling policies at the OS-level with negligible performance overhead. Our adaptive dynamic policy reduces the frequency of high-magnitude thermal cycles and spatial gradients by around 50% and 90%, respectively, in comparison to state-of-the-art schedulers. Reactive thermal management strategies, such as thread migration, can be combined with our scheduling policy to further reduce hot spots, temperature variations, and the associated performance cost.

References

[1]
A. H. Ajami, K. Banerjee, and M. Pedram, "Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 6, pp. 849-861, Jun. 2005.
[2]
D. Atienza, P. Del Valle, G. Paci, F. Poletti, L. Benini, G. De Micheli, and J. M. Mendias, "A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip," in Proc. DAC, 2006, pp. 618-623.
[3]
L. Benini, A. Bogliolo, and G. De Micheli, "A survey of design techniques for system-level dynamic power management," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 8, no. 3, pp. 299-316, Jun. 2000.
[4]
S. Bouchenak and D. Hagimont, "Pickling threads state in the java system," in Proc. Technol. Object-Oriented Languages Syst. (TOOLS), 2000, p. 22.
[5]
S. Boyd and L. Vandenberghe, Convex Optimization. Cambridge, U.K.: Cambridge Univ. Press, 2004.
[6]
K. Choi, R. Soma, and M. Pedram, "Dynamic voltage and frequency scaling based on workload decomposition," in Proc. ISLPED, 2004, pp. 174-179.
[7]
J. Donald and M. Martonosi, "Techniques for multicore thermal management: Classification and new exploration," in Proc. ISCA, 2006, pp. 78-88.
[8]
M. Gomaa, M. D. Powell, and T. N. Vijaykumar, "Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system," in Proc. ASPLOS, 2004, pp. 260-270.
[9]
K. Gross, K. Whisnant, and A. Urmanov, "Electronic prognostics through continuous system telemetry," in Proc. MFPT, 2006, pp. 53-62.
[10]
J. Hu and R. Marculescu, "Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints," in Proc. DATE, 2004, pp. 234-239.
[11]
W.-L. Hung, Y. Xie, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, "Thermal-aware task allocation and scheduling for embedded systems," in Proc. DATE, 2005, pp. 898-899.
[12]
JEDEC Solid State Technology Association, Arlington, VA, "Failure mechanisms and models for semiconductor devices," JEDEC publication JEP122C, 2006. {Online}. Available: http://www.jedec.org
[13]
J. A. Kahle, M. N. Day, H. P. Hofstee, C. R. Johns, T. R. Maeurer, and D. Shippy, "Introduction to the cell multiprocessor," IBM J. Res. Development, vol. 49, no. 4/5, pp. 589-604, Jul./Sep. 2005.
[14]
W. Kim, D. Shin, H.-S. Yun, J. Kim, and S. L. Min, "Performance comparison of dynamic voltage scaling algorithms for hard real-time systems," in Proc. RTAS, 2002, p. 219.
[15]
P. Kongetira, K. Aingaran, and K. Olukotun, "Niagara: A 32-way multithreaded SPARC processor," IEEE Micro, vol. 25, no. 2, pp. 21-29, Feb. 2005.
[16]
H. Kufluoglu and M. A. Alam, "A computational model of NBTI and hot carrier injection time-exponents for MOSFET reliability," J. Computational Electron., vol. 3, no. 3, pp. 165-169, Oct. 2004.
[17]
A. Kumar, L. Shang, L.-S. Peh, and N. K. Jha, "HybDTM: A coordinated hardware-software approach for dynamic thermal management," in Proc. DAC, 2006, pp. 548-553.
[18]
E. Kursun, C.-Y. Cher, A. Buyuktosunoglu, and P. Bose, "Investigating the effects of task scheduling on thermal behavior," presented at the Proc. TACS, Boston, MA, 2006.
[19]
C. J. Lasance, "Thermally driven reliability issues in microelectronic systems: Status-quo and challenges," Microelectron. Reliab., vol. 43, pp. 1969-1974, 2003.
[20]
A. Leon, L. Jinuk, K. Tam, W. Bryg, F. Schumacher, P. Kongetira, D. Weisner, and A. Strong, "A power-efficient high-throughput 32-thread SPARC processor," in Proc. ISSCC, 2006, p. 98.
[21]
J. Liu, P. H. Chou, N. Bagherzadeh, and F. Kurdahi, "Power-aware scheduling under timing constraints for mission-critical embedded systems," in Proc. DAC, 2001, pp. 840-845.
[22]
"Lp_solve," 2004. {Online}. Available: http://www.lpsolve.sourceforge.net/5.5/
[23]
R. McDougall, J. Mauro, and B. Gregg, Solaris Performance and Tools. NJ: Sun Microsystems Press, 2006.
[24]
M. Mutyam, F. Li, V. Narayanan, M. Kandemir, and M. J. Irwin, "Compiler-directed thermal management for VLIW functional units," in Proc. LCTES, 2006, pp. 163-172.
[25]
G. Quan and X. Hu, "Energy efficient fixed priority scheduling for real-time systems on variable voltage processors," in Proc. DAC, 2001, pp. 828-833.
[26]
P. Rong and M. Pedram, "Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system," in Proc. ASPDAC, 2006, pp. 473-478.
[27]
T. S. Rosing, K. Mihic, and G. De Micheli, "Power and reliability management of SoCs," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 4, pp. 391-403, Apr. 2007.
[28]
M. Ruggiero, A. Guerri, D. Bertozzi, F. Poletti, and M. Milano, "Communication-aware allocation and scheduling framework for stream-oriented multi-processor system-on-chip," in Proc. DATE, 2006, pp. 3-8.
[29]
K. Sankaranarayanan, S. Velusamy, M. R. Stan, and K. Skadron, "A case for thermal-aware floorplanning at the microarchitectural level," J. Instruction-Level Parallelism, vol. 7, pp. 1-16, 2005.
[30]
M. Santarini, "Thermal integrity: A must for low-power IC digital design," in Proc. EDN, Sep. 2005, pp. 37-42.
[31]
Y.-H. Shih and J.-G. Hwu, "An on-chip temperature sensor by utilizing a MOS tunneling diode," IEEE Electron Device Lett., vol. 22, no. 6, pp. 299-301, Jun. 2001.
[32]
K. Skadron, "Hybrid architectural dynamic thermal management," in Proc. DATE, 2004, pp. 10-15.
[33]
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, "Temperature-aware microarchitecture," in Proc. ISCA, 2003, pp. 94-125.
[34]
Sun Microsystems, "SLAMD distributed load engine," {Online}. Available: www.slamd.com
[35]
J. Srinivasan and S. V. Adve, "Predictive dynamic thermal management for multimedia applications," in Proc. ICS, 2003, pp. 109-120.
[36]
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, "The case for lifetime reliability-aware microprocessors," in Proc. ISCA, 2004, p. 276.
[37]
K. Stavrou and P. Trancoso, "Thermal-aware scheduling for future chip multiprocessors," EURASIP J. Embedded Syst., vol. 2007, p. 40, 2007.
[38]
H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif, "Full-chip leakage estimation considering power supply and temperature variations," in Proc. ISLPED, 2003, pp. 78-83.
[39]
V. V. Vazirani, Approximation Algorithms. Berlin, Germany: Springer, 2003.
[40]
R. Viswanath, V. Wakharkar, A. Watwe, and V. Lebonheur, "Thermal performance challenges from silicon to systems," Intel Technol. J., Q3, vol. 23, p. 16, 2000.
[41]
Y. Yu and V. K. Prasanna, "Energy-balanced task allocation for collaborative processing in wireless sensor networks," Mobile Netw. Appl., vol. 10, pp. 115-131, 2005.
[42]
Y. Zhang, X. S. Hu, and D. Z. Chen, "Task scheduling and voltage selection for energy minimization," in Proc. DAC, 2002, pp. 183-188.

Cited By

View all
  • (2022)DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312714841:10(3426-3437)Online publication date: 1-Oct-2022
  • (2019)Fine-grain temperature monitoring for many-core systemsProceedings of the 32nd Symposium on Integrated Circuits and Systems Design10.1145/3338852.3339841(1-6)Online publication date: 26-Aug-2019
  • (2019)Thermal-aware task allocation and scheduling for periodic real-time applications in mesh-based heterogeneous NoCsReal-Time Systems10.1007/s11241-019-09327-x55:4(774-809)Online publication date: 1-Oct-2019
  • Show More Cited By

Index Terms

  1. Static and dynamic temperature-aware scheduling for multiprocessor SoCs

                  Recommendations

                  Comments

                  Please enable JavaScript to view thecomments powered by Disqus.

                  Information & Contributors

                  Information

                  Published In

                  cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
                  IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 16, Issue 9
                  September 2008
                  159 pages

                  Publisher

                  IEEE Educational Activities Department

                  United States

                  Publication History

                  Published: 01 September 2008
                  Revised: 15 August 2007
                  Received: 31 May 2007

                  Author Tags

                  1. Continuous system telemetry
                  2. continuous system telemetry
                  3. multiprocessor scheduling
                  4. reliability
                  5. thermal management

                  Qualifiers

                  • Research-article

                  Contributors

                  Other Metrics

                  Bibliometrics & Citations

                  Bibliometrics

                  Article Metrics

                  • Downloads (Last 12 months)0
                  • Downloads (Last 6 weeks)0
                  Reflects downloads up to 09 Jan 2025

                  Other Metrics

                  Citations

                  Cited By

                  View all
                  • (2022)DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312714841:10(3426-3437)Online publication date: 1-Oct-2022
                  • (2019)Fine-grain temperature monitoring for many-core systemsProceedings of the 32nd Symposium on Integrated Circuits and Systems Design10.1145/3338852.3339841(1-6)Online publication date: 26-Aug-2019
                  • (2019)Thermal-aware task allocation and scheduling for periodic real-time applications in mesh-based heterogeneous NoCsReal-Time Systems10.1007/s11241-019-09327-x55:4(774-809)Online publication date: 1-Oct-2019
                  • (2018)Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-ChipProceedings of the 2018 Great Lakes Symposium on VLSI10.1145/3194554.3194608(317-322)Online publication date: 30-May-2018
                  • (2017)Power scheduling with active power gridsProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199762(466-473)Online publication date: 13-Nov-2017
                  • (2017)Power scheduling with active power grids2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2017.8203814(466-473)Online publication date: 13-Nov-2017
                  • (2016)Cross-layer floorplan optimization for silicon photonic NoCs in many-core systemsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972114(1309-1314)Online publication date: 14-Mar-2016
                  • (2016)Thermal aware scheduling and mapping of multiphase applications onto chip multiprocessorProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972063(1096-1101)Online publication date: 14-Mar-2016
                  • (2016)Sixteen Heuristics for Joint Optimization of Performance, Energy, and Temperature in Allocating Tasks to Multi-CoresACM Transactions on Parallel Computing10.1145/29489733:2(1-29)Online publication date: 2-Aug-2016
                  • (2016)Delay/Power Modeling and Optimization of FinFET Circuit Modules under PVT VariationsACM Journal on Emerging Technologies in Computing Systems10.1145/279523112:4(1-21)Online publication date: 8-Mar-2016
                  • Show More Cited By

                  View Options

                  View options

                  Media

                  Figures

                  Other

                  Tables

                  Share

                  Share

                  Share this Publication link

                  Share on social media