[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
article

Design space exploration of thermal-aware many-core systems

Published: 01 November 2013 Publication History

Abstract

Higher temperatures or uneven distribution of temperatures result in timing uncertainties which induces performance and reliability concerns for the system. Future 3D IC technology offers greater device integration, reduced signal delay and reduced interconnect power. It also provides greater design flexibility by allowing heterogeneous integration. However, 3D technology exacerbates the on-chip thermal issues and increases packaging and cooling costs. In order to resolve these issues in 2D and 3D systems, and avoid high and uneven temperatures, accurate thermal modeling and analysis, and thermal-aware placement optimizations are essential before tapeout. In this paper, we propose a thermally efficient routing strategy for 3D NoC-Bus Hybrid architectures, which mitigates on-chip temperatures by conducting most of the switching activity closer to the heat sink. Our simulations with a real world benchmark show that there has been a significant decrease in the peak temperatures when compared to a typical stacked mesh 3D NoC. Also, we have presented an exploration of various thermal-aware placement approaches for both the 2D and 3D stacked systems. Various thermal models have been developed in order to investigate the effect of thermal-aware placement in 2D chip and 3D stacked systems. Using the developed metrics, we proposed an efficient thermal-aware application mapping for a 2D NoC. Steady-state simulations show that the proposed thermal-aware mapping algorithm reduces the effective chip area reeling under high temperatures when compared to the Tree-Model-Based (TMB) mapping and Worst case mapping.

References

[1]
Semiconductor Industry Association et al., International Technology Roadmap for Semiconductors (ITRS), 2009 edition, 2009.
[2]
Flip chip ball grid array package reference guide, May 2005.
[3]
K.W. Guarini, A.W. Topol, M. Ieong, R. Yu, L. Shi, M.R. Newport, D.J. Frank, D.V. Singh, G.M. Cohen, S.V. Nitta, et al., Electrical integrity of state-of-the-art 0.13/spl mu/m SOI CMOS devices and circuits transferred for three-dimensional (3d) integrated circuit (IC) fabrication, in: Electron Devices Meeting, 2002. IEDM'02. International, IEEE, 2002, pp. 943-945.
[4]
Banerjee, Kaustav, Souri, Shukri J., Kapur, Pawan and Saraswat, Krishna C., 3-d ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proceedings of the IEEE. v89 i5. 602-633.
[5]
Topol, A.W., La Tulipe, D.C., Shi, L., Frank, D.J., Bernstein, K., Steen, S.E., Kumar, A., Singco, G.U., Young, A.M. and Guarini, K.W., Three-dimensional integrated circuits. IBM Journal of Research and Development. v50 i4.5. 491-506.
[6]
Brent Goplen, Sachin Sapatnekar, Efficient thermal placement of standard cells in 3d ICs using a force directed approach, in: Proceedings of the 2003 IEEE/ACM International Conference on Computer-Aided Design, IEEE Computer Society, 2003, p. 86.
[7]
Brent Goplen, Sachin Sapatnekar, Thermal via placement in 3d ICs, in: Proceedings of the 2005 International Symposium on Physical Design, ACM, 2005, pp. 167-174.
[8]
Sachin S. Sapatnekar, Addressing thermal and power delivery bottlenecks in 3d circuits, in: Design Automation Conference, 2009. ASP-DAC 2009. Asia and South Pacific, IEEE, 2009, pp. 423-428.
[9]
Lau, John H., . 1996. Flip chip technologies, 1996.McGraw-Hill, New York.
[10]
Jeng-Liang Tsai, C.C.-P. Chen, Guoqiang Chen, Brent Goplen, Haifeng Qian, Yong Zhan, Sung-Mo Kang, Martin D.F. Wong, Sachin S. Sapatnekar, Temperature-aware placement for SOCs, Proceedings of the IEEE 94(8) (2006) 1502-1518.
[11]
Guoping Xu, Bruce Guenin, Marlin Vogel, Extension of air cooling for high power processors, in: The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, 2004. ITHERM'04, IEEE, 2004, pp. 186-193.
[12]
Guoping Xu, Thermal modeling of multi-core processors, in: The Tenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronics Systems, 2006. ITHERM'06, IEEE, 2006, pp. 96-100.
[13]
Ankur Jain, Robert E. Jones, Ritwik Chatterjee, Scott Pozder, Analytical and numerical modeling of the thermal performance of three-dimensional integrated circuits. IEEE Transactions on Components and Packaging Technologies 33(1) (2010) 56-63.
[14]
Srinivasan Murali, Giovanni De Micheli, Bandwidth-constrained mapping of cores onto NOC architectures, in: Proceedings of the Conference on Design, Automation and Test in Europe, vol. 2, IEEE Computer Society, 2004, p. 20896.
[15]
Jingcao Hu, Radu Marculescu, Energy-aware mapping for tile-based NOC architectures under performance constraints, in: Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific, IEEE, 2003, pp. 233-239.
[16]
Wei Hung, Charles Addo-Quaye, Theocharis Theocharides, Yuan Xie, N. Vijakrishnan, Mary Jane Irwin, Thermal-aware IP virtualization and placement for networks-on-chip architecture, in: IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004, IEEE, 2004, pp. 430-437.
[17]
Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Multi-objective mapping for mesh-based NOC architectures, in: Proceedings of the Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, ACM, 2004, pp. 182-187.
[18]
Rafael Tornero, Valentino Sterrantino, Maurizio Palesi, JM Ordua. A multi-objective strategy for concurrent mapping and routing in networks on chip, in: Parallel & Distributed Processing, 2009. IPDPS 2009, IEEE International Symposium on, IEEE, 2009, pp. 1-8.
[19]
Ahmed A. Morgan, Haytham Elmiligi, M. Watheq El-Kharashi, Fayez Gebali, Multi-objective optimization for networks-on-chip architectures using genetic algorithms. In Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on, IEEE, 2010, pp. 3725-3728.
[20]
Jason Cong, Jie Wei, Yan Zhang, A thermal-driven floorplanning algorithm for 3d ICs, in: Computer Aided Design, 2004. ICCAD-2004, IEEE/ACM International Conference on, IEEE, 2004, pp. 306-313.
[21]
Charles Addo-Quaye, Thermal-aware mapping and placement for 3-d NoC designs, in: SOC Conference, 2005. Proceedings. IEEE International, IEEE, 2005, pp. 25-28.
[22]
Yanhua Liu, Ying Ruan, Zongsheng Lai, Weiping Jing, Energy and thermal aware mapping for mesh-based NoC architectures using multi-objective ant colony algorithm, in: Computer Research and Development (ICCRD), 2011 3rd International Conference on, vol. 3, IEEE, 2011, pp. 407-411.
[23]
Asst. Prof. David Atienza, personal communication, 2012.
[24]
C.H. Chao, K.Y. Jheng, H.Y. Wang, J.C. Wu, A.Y. Wu, Traffic-and thermal-aware run-time thermal management scheme for 3d NoC systems, in: Networks-on-Chip (NOCS), 2010 Fourth ACM/IEEE International Symposium on, IEEE, 2010, pp. 223-230.
[25]
A.K. Coskun, J.L. Ayala, D. Atienza, T.S. Rosing, Y. Leblebici, Dynamic thermal management in 3d multicore architectures, in: Design, Automation & Test in Europe Conference & Exhibition, 2009. DATE'09, IEEE, 2009, pp. 1410-1415.
[26]
K. Skadron, M.R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, D. Tarjan, Temperature-aware microarchitecture, in: ACM SIGARCH Computer Architecture News, vol. 31, ACM, 2003, pp. 2-13.
[27]
Comsol Multiphysics, Comsol. Inc., Burlington, MA, 1994. <www.comsol.com>.
[28]
Zhu, Changyun, Gu, Zhenyu, Shang, Li, Dick, Robert P. and Joseph, Russ, Three-dimensional chip-multiprocessor run-time thermal management. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. v27 i8. 1479-1492.
[29]
A.M. Rahmani, K. Latif, P. Liljeberg, J. Plosila, H. Tenhunen, A stacked mesh 3d NoC architecture enabling congestion-aware and reliable inter-layer communication, in: Parallel, Distributed and Network-Based Processing (PDP), 2011 19th Euromicro International Conference on. IEEE, 2011, pp. 423-430.
[30]
Rahmani, A.M., Liljeberg, P., Plosila, J. and Tenhunen, H., Exploring a low-cost and power-efficient hybridization technique for 3d noc-bus hybrid architecture using LastZ-based routing algorithms. Journal of Low Power Electronics. v8 i4. 403-414.
[31]
Yang, B., Guang, L., Säntti, T. and Plosila, J., Mapping multiple applications with unbounded and bounded number of cores on many-core networks-on-chip. Microprocessors and Microsystems. v37 i4-5. 460-471.
[32]
M. Fattah, M. Ramirez, M. Daneshtalab, P. Liljeberg, J. Plosila, Cona: dynamic application mapping for congestion reduction in many-core systems, in: Computer Design (ICCD), 2012 IEEE 30th International Conference on, IEEE, 2012, pp. 364-370.
[33]
Hoskote, Y., Vangal, S., Singh, A., Borkar, N. and Borkar, S., A 5-GHz mesh interconnect for a teraflops processor. Micro. IEEE. v27 i5. 51-61.
[34]
F. Fazzino, M. Palesi, D. Patti, Noxim: network-on-chip simulator, 2008. URL: <http://sourceforge.net/projects/noxim (24.06.2008).
[35]
Khalid Latif, A. Rahmani, Kameswar Rao Vaddina, Tiberiu Seceleanu, Pasi Liljeberg, Hannu Tenhunen, Enhancing performance of NoC-based architectures using heuristic virtual-channel sharing approach, in: Computer Software and Applications Conference (COMPSAC), 2011 IEEE 35th Annual, IEEE, 2011, pp. 442-447.
[36]
Embedded Microprocessor Benchmark Consortium et al., EEMBC benchmark suite, 2009.
[37]
A-M Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen, Bbvc-3d-noc: an efficient 3d noc architecture using bidirectional bisynchronous vertical channels, in: VLSI (ISVLSI), 2010 IEEE Computer Society Annual Symposium on, IEEE, 2010, pp. 452-453.
[38]
Guilherme Guindani, Cezar Reinbrecht, Thiago Raupp, Ney Calazans, Fernando Gehm Moraes, Noc power estimation at the RTL abstraction level, in: Symposium on VLSI, 2008. ISVLSI'08, IEEE Computer Society Annual, IEEE, 2008, pp. 475-478.
[39]
Khalid Latif, A. Rahmani, Tiberiu Seceleanu, Hannu Tenhunen, Power-and performance-aware IP mapping for NoC-based MPSoC platforms, in: Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on. IEEE, 2010, pp. 758-761.
  1. Design space exploration of thermal-aware many-core systems

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image Journal of Systems Architecture: the EUROMICRO Journal
    Journal of Systems Architecture: the EUROMICRO Journal  Volume 59, Issue 10
    November, 2013
    615 pages

    Publisher

    Elsevier North-Holland, Inc.

    United States

    Publication History

    Published: 01 November 2013

    Author Tags

    1. 3D Networks-on-Chip
    2. Thermal analysis
    3. Thermal management
    4. Thermal modeling
    5. Thermal placement

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 0
      Total Downloads
    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 22 Dec 2024

    Other Metrics

    Citations

    View Options

    View options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media