[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.5555/1509633.1509709acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Adaptive techniques for overcoming performance degradation due to aging in digital circuits

Published: 19 January 2009 Publication History

Abstract

Negative Bias Temperature Instability (NBTI) in PMOS transistors has become a major reliability concern in present-day digital circuit design. Further, with the recent usage of Hf-based high-k dielectrics for gate leakage reduction, Positive Bias Temperature Instability (PBTI), the dual effect in NMOS transistors has also reached significant levels. Consequently, designers are required to build in substantial guard-bands into their designs, leading to large area and power overheads, in order to guarantee reliable operation over the lifetime of a chip. We propose a guard-banding technique based on adaptive body bias (ABB) and adaptive supply voltage (ASV), to recover the performance of an aged circuit, and compare its merits over previous approaches.

References

[1]
S. Zafar et al., "A Comparative Study of NBTI and PBTI Charge Trapping in SiO2-HfO2 Stacks with FUSI, TiN, Re Gates," in Proc. Symposium on VLSI Technology, pp. 23--25, 2006.
[2]
M. F. Li et al., "Dynamic Bias-Temperature Instability in Ultrathin SiO2 and HfO2 Metal-Oxide Semiconductor Field Effect Transistors and Its Impact on Device Lifetime," Japanese Journal of Applied Physics, vol. 43, pp. 7807--7814, November 2004.
[3]
F. Crupi et al., "Positive Bias Temperature Instability in nMOSFETs with Ultra-Thin Hf-silicate Gate Dielectrics," Journal of Microelectronic Engineering, vol. 80, pp. 130--133, June 2005.
[4]
B. C. Paul et al., "Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits," in Proc. DATE, pp. 1--6, 2006.
[5]
K. Kang et al., "NBTI Induced Performance Degradation in Logic and Memory Circuits: How Effectively can we Approach a Reliability Solution?" in Proc. ASPDAC, pp. 726--731, 2008.
[6]
S. V. Kumar et al., "NBTI Aware Synthesis of Digital Circuits," in Proc. DAC, pp. 370--375, 2007.
[7]
J. W. Tschanz et al., "Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage," IEEE Journal of Solid-State Circuits, vol. 37, pp. 1396--1402, November 2002.
[8]
S. Narendra et al., "Forward Body Bias for Microprocessors in 130-nm Technology Generation and Beyond," IEEE Journal of Solid-State Circuits, vol. 38, pp. 696--701, May 2003.
[9]
J. W. Tschanz et al., "Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging," in Proc. ISSCC, pp. 292--294, 2007.
[10]
S. V. Kumar et al., "Body Bias Voltage Computations for Process and Temperature Compensation," IEEE Transactions on VLSI, vol. 16, pp. 249--262, March 2008.
[11]
K. O. Jeppson and C. M. Svensson, "Negative Bias Stress of MOS Devices at High Electric Fields and Degradation of MNOS Devices," Journal of Applied Physics, vol. 48, pp. 2004--2014, May 1977.
[12]
M. A. Alam, "A Critical Examination of the Mechanics of Dynamic NBTI for pMOSFETs," in Proc. IEDM, pp. 14.4.1--14.4.4, 2003.
[13]
S. V. Kumar et al., "An Analytical Model for Negative Bias Temperature Instability (NBTI)," in Proc. ICCAD, pp. 493--496, 2006.
[14]
S. Bhardwaj et al., "Predictive Modeling of the NBTI Effect for Reliable Design," in Proc. CICC, pp. 189--192, 2006.
[15]
Predictive Technology Model. http://www.eas.asu.edu/~ptm.
[16]
M. Terauchi, "Impact of Forward Substrate Bias on Threshold Voltage Fluctuation in Metal-Oxide-Semiconductor Field-Effect Transistors," Japanese Journal of Applied Physics, vol. 46, pp. 4105--4107, July 2007.
[17]
R. Vattikonda et al., "Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design," in Proc. DAC, pp. 1047--1052, 2006.
[18]
K. Imai et al., "Device Technology for Body Biasing Scheme," in Proc. ISCAS, pp. 13--16, 2005.
[19]
J. Keane et al., "An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation," in Proc. ISLPED, pp. 189--194, 2007.
[20]
T.-H. Kim et al., "Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits," IEEE Journal of Solid-State Circuits, vol. 43, pp. 874--880, April 2008.

Cited By

View all
  • (2017)Implications of accelerated self-healing as a key design knob for cross-layer resilienceIntegration, the VLSI Journal10.1016/j.vlsi.2016.10.00856:C(167-180)Online publication date: 1-Jan-2017
  • (2016)Invited - Optimizing device reliability effects at the intersection of physics, circuits, and architectureProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2905016(1-6)Online publication date: 5-Jun-2016
  • (2015)On-line prediction of NBTI-induced aging ratesProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755886(589-592)Online publication date: 9-Mar-2015
  • Show More Cited By
  1. Adaptive techniques for overcoming performance degradation due to aging in digital circuits

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASP-DAC '09: Proceedings of the 2009 Asia and South Pacific Design Automation Conference
    January 2009
    902 pages
    ISBN:9781424427482

    Sponsors

    • IEEE Circuits and Systems Society
    • SIGDA: ACM Special Interest Group on Design Automation
    • IPSJ SIGSLDM: Information Processing Society of Japan - SIG System LSI Design Methodology
    • IEICE ESS: Institute of Electronics, Information and Communication Engineers - Engineering Sciences Society

    Publisher

    IEEE Press

    Publication History

    Published: 19 January 2009

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ASPDAC '09
    Sponsor:
    • SIGDA
    • IPSJ SIGSLDM
    • IEICE ESS

    Acceptance Rates

    Overall Acceptance Rate 466 of 1,454 submissions, 32%

    Upcoming Conference

    ASPDAC '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 24 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2017)Implications of accelerated self-healing as a key design knob for cross-layer resilienceIntegration, the VLSI Journal10.1016/j.vlsi.2016.10.00856:C(167-180)Online publication date: 1-Jan-2017
    • (2016)Invited - Optimizing device reliability effects at the intersection of physics, circuits, and architectureProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2905016(1-6)Online publication date: 5-Jun-2016
    • (2015)On-line prediction of NBTI-induced aging ratesProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755886(589-592)Online publication date: 9-Mar-2015
    • (2015)Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path SelectionACM Transactions on Design Automation of Electronic Systems10.1145/274623720:3(1-23)Online publication date: 24-Jun-2015
    • (2014)Methodology for Electromigration Signoff in the Presence of Adaptive Voltage ScalingProceedings of SLIP (System Level Interconnect Prediction) on System Level Interconnect Prediction Workshop10.1145/2633948.2633954(1-7)Online publication date: 1-Jun-2014
    • (2014)Modeling and Experimental Demonstration of Accelerated Self-Healing TechniquesProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593162(1-6)Online publication date: 1-Jun-2014
    • (2013)Aging-aware logic synthesisProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561840(61-68)Online publication date: 18-Nov-2013
    • (2013)Virtually-aged sampling DMRProceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/2540708.2540720(123-135)Online publication date: 7-Dec-2013
    • (2013)Reliable on-chip systems in the nano-eraProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488857(1-10)Online publication date: 29-May-2013
    • (2012)Circuit reliabilityProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429431(243-246)Online publication date: 5-Nov-2012
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media