• Gomez I, Díaz de Cerio U, Parra J, Rivas J and Gutiérrez J. (2023). Uso de GPUs en aplicaciones de tiempo real: Una revisión de técnicas para el análisis y optimización de parámetros temporales. Revista Iberoamericana de Automática e Informática industrial. 10.4995/riai.2023.20321. 21:1. (1-16).

    https://polipapers.upv.es/index.php/RIAI/article/view/20321

  • Seals E, Bechtel M and Yun H. (2023). BandWatch: A System-Wide Memory Bandwidth Regulation System for Heterogeneous Multicore 2023 IEEE 29th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). 10.1109/RTCSA58653.2023.00014. 979-8-3503-3786-0. (38-46).

    https://ieeexplore.ieee.org/document/10296418/

  • Yang H, Xu S, Chen Y, Liu G, Zhou R, Zhou Q and Li K. (2023). A shared libraries aware and bank partitioning-based mechanism for multicore architecture. Soft Computing. 10.1007/s00500-023-08020-3. 27:13. (8775-8787). Online publication date: 1-Jul-2023.

    https://link.springer.com/10.1007/s00500-023-08020-3

  • Zhai J, Jin Y, Chen W and Zheng W. (2023). Informed Memory Access Monitoring. Performance Analysis of Parallel Applications for HPC. 10.1007/978-981-99-4366-1_4. (73-97).

    https://link.springer.com/10.1007/978-981-99-4366-1_4

  • Zhang J, Swift M and Li J. Software-defined address mapping: a case on 3D memory. Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. (70-83).

    https://doi.org/10.1145/3503222.3507774

  • Zhang C, Wang S, Yu Z, Wang H, Xu Y, Cai L, Tang D, Sun N and Bao Y. (2022). A Labeled Architecture for Low-Entropy Clouds: Theory, Practice, and Lessons . Intelligent Computing. 10.34133/2022/9795476. 2022. Online publication date: 1-Jan-2022.

    https://spj.science.org/doi/10.34133/2022/9795476

  • Lugo T, Lozano S, Fernandez J and Carretero J. A Survey of Techniques for Reducing Interference in Real-Time Applications on Multicore Platforms. IEEE Access. 10.1109/ACCESS.2022.3151891. 10. (21853-21882).

    https://ieeexplore.ieee.org/document/9714355/

  • Pan X and Mueller F. (2021). NUMA-aware memory coloring for multicore real-time systems. Journal of Systems Architecture. 10.1016/j.sysarc.2021.102188. 118. (102188). Online publication date: 1-Sep-2021.

    https://linkinghub.elsevier.com/retrieve/pii/S1383762121001351

  • Jafri S, Hassan H, Hemani A and Mutlu O. (2020). Refresh Triggered Computation. ACM Transactions on Architecture and Code Optimization. 18:1. (1-29). Online publication date: 31-Mar-2021.

    https://doi.org/10.1145/3417708

  • Wei R, Li C, Chen C, Sun G and He M. (2021). Memory Access Optimization of a Neural Network Accelerator Based on Memory Controller. Electronics. 10.3390/electronics10040438. 10:4. (438).

    https://www.mdpi.com/2079-9292/10/4/438

  • Bechtel M and Yun H. Memory-Aware Denial-of-Service Attacks on Shared Cache in Multicore Real-Time Systems. IEEE Transactions on Computers. 10.1109/TC.2021.3108044. (1-1).

    https://ieeexplore.ieee.org/document/9523780/

  • Yang S, Li X, Dou X, Gong X, Liu H, Chen L and Liu L. (2021). Monitoring Memory Behaviors and Mitigating NUMA Drawbacks on Tiered NVM Systems. Network and Parallel Computing. 10.1007/978-3-030-79478-1_33. (386-391).

    https://link.springer.com/10.1007/978-3-030-79478-1_33

  • Wang Y, Orosa L, Peng X, Guo Y, Ghose S, Patel M, Kim J, Luna J, Sadrosadati M, Ghiasi N and Mutlu O. (2020). FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO50266.2020.00036. 978-1-7281-7383-2. (313-328).

    https://ieeexplore.ieee.org/document/9251865/

  • Kim N, Tang S, Otterness N, Anderson J, Smith F and Porter D. (2020). Supporting I/O and IPC via fine-grained OS isolation for mixed-criticality real-time tasks. Real-Time Systems. 10.1007/s11241-020-09351-2.

    http://link.springer.com/10.1007/s11241-020-09351-2

  • Cho B, Kwon Y, Lym S and Erez M. Near data acceleration with concurrent host access. Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture. (818-831).

    https://doi.org/10.1109/ISCA45697.2020.00072

  • Wu C, Chang Y, Yang M and Kuo T. Joint Management of CPU and NVDIMM for Breaking Down the Great Memory Wall. IEEE Transactions on Computers. 10.1109/TC.2020.2964254. 69:5. (722-733).

    https://ieeexplore.ieee.org/document/8950228/

  • Farshchi F, Huang Q and Yun H. (2020). BRU: Bandwidth Regulation Unit for Real-Time Multicore Processors 2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS48715.2020.00011. 978-1-7281-5499-2. (364-375).

    https://ieeexplore.ieee.org/document/9113094/

  • Barrera J, Kosmidis L, Tabani H, Mezzetti E, Abella J, Fernandez M, Bernat G and Cazorla F. On the reliability of hardware event monitors in MPSoCs for critical domains. Proceedings of the 35th Annual ACM Symposium on Applied Computing. (580-589).

    https://doi.org/10.1145/3341105.3373955

  • Tovletoglou K, Mukhanov L, Nikolopoulos D and Karakonstantis G. HaRMony. Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. (575-590).

    https://doi.org/10.1145/3373376.3378489

  • Fang J, Wang M and Wei Z. (2020). A memory scheduling strategy for eliminating memory access interference in heterogeneous system. The Journal of Supercomputing. 10.1007/s11227-019-03135-7.

    http://link.springer.com/10.1007/s11227-019-03135-7

  • Vilardell S, Serra I, Santalla R, Mezzett E, Abella i and Cazorla F. HRM: Merging Hardware Event Monitors for Improved Timing Analysis of Complex MPSoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2020.3013051. (1-1).

    https://ieeexplore.ieee.org/document/9211402/

  • Kim J, Shin P, Kim M and Hong S. Memory-Aware Fair-Share Scheduling for Improved Performance Isolation in the Linux Kernel. IEEE Access. 10.1109/ACCESS.2020.2996596. 8. (98874-98886).

    https://ieeexplore.ieee.org/document/9098957/

  • Rashid S. (2019). Server Based Task Allocation to Reduce Inter-Task Memory Interference in Multicore Systems 2019 International Conference on Frontiers of Information Technology (FIT). 10.1109/FIT47737.2019.00067. 978-1-7281-6625-4. (322-3225).

    https://ieeexplore.ieee.org/document/8991627/

  • Saragadam V and Sankaranarayanan A. (2019). KRISM—Krylov Subspace-based Optical Computing of Hyperspectral Images. ACM Transactions on Graphics. 38:5. (1-14). Online publication date: 31-Oct-2019.

    https://doi.org/10.1145/3345553

  • Paris A, Galin E, Peytavie A, Guérin E and Gain J. (2019). Terrain Amplification with Implicit 3D Features. ACM Transactions on Graphics. 38:5. (1-15). Online publication date: 31-Oct-2019.

    https://doi.org/10.1145/3342765

  • Müller T, Mcwilliams B, Rousselle F, Gross M and Novák J. (2019). Neural Importance Sampling. ACM Transactions on Graphics. 38:5. (1-19). Online publication date: 31-Oct-2019.

    https://doi.org/10.1145/3341156

  • Li B, Mao M, Liu X, Liu T, Liu Z, Wen W, Chen Y and Li H. (2019). Thread Batching for High-performance Energy-efficient GPU Memory Design. ACM Journal on Emerging Technologies in Computing Systems. 15:4. (1-21). Online publication date: 31-Oct-2019.

    https://doi.org/10.1145/3330152

  • Liu Q, Gu N and Su J. Method for Reducing Overhead of Shared Memory Access Instrumentation. Proceedings of the 3rd International Conference on Computer Science and Application Engineering. (1-6).

    https://doi.org/10.1145/3331453.3361323

  • Liu L, Yang S, Peng L and Li X. Hierarchical Hybrid Memory Management in OS for Tiered Memory Systems. IEEE Transactions on Parallel and Distributed Systems. 10.1109/TPDS.2019.2908175. 30:10. (2223-2236).

    https://ieeexplore.ieee.org/document/8676386/

  • Chung J, Ro Y, Kim J, Ahn J, Kim J, Kim J, Lee J and Ahn J. Enforcing Last-level Cache Partitioning through Memory Virtual Channels. Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. (97-109).

    https://doi.org/10.1109/PACT.2019.00016

  • Li X, Liu L, Yang S, Peng L and Qiu J. Thinking about A New Mechanism for Huge Page Management. Proceedings of the 10th ACM SIGOPS Asia-Pacific Workshop on Systems. (40-46).

    https://doi.org/10.1145/3343737.3343745

  • Kim Y, Kim J, Choi S, Young Kim S and Chung S. (2019). Temperature-aware Adaptive VM Allocation in Heterogeneous Data Centers 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED.2019.8824825. 978-1-7281-2954-9. (1-6).

    https://ieeexplore.ieee.org/document/8824825/

  • Wu X, Sherwood T, Chong F and Li Y. Protecting Page Tables from RowHammer Attacks using Monotonic Pointers in DRAM True-Cells. Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems. (645-657).

    https://doi.org/10.1145/3297858.3304039

  • Ali W and Yun H. (2019). RT-Gang: Real-Time Gang Scheduling Framework for Safety-Critical Systems 2019 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS.2019.00020. 978-1-7281-0678-6. (143-155).

    https://ieeexplore.ieee.org/document/8743219/

  • Jain S, Baek I, Wang S and Rajkumar R. (2019). Fractional GPUs: Software-Based Compute and Memory Bandwidth Reservation for GPUs 2019 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS.2019.00011. 978-1-7281-0678-6. (29-41).

    https://ieeexplore.ieee.org/document/8743200/

  • Li W, Yang F, Zhu H, Zeng X and Zhou D. (2019). An Efficient Memory Partitioning Approach for Multi-Pattern Data Access via Data Reuse. ACM Transactions on Reconfigurable Technology and Systems. 12:1. (1-22). Online publication date: 31-Mar-2019.

    https://doi.org/10.1145/3301296

  • Hu J and Li J. (2019). Research on Shared Resource Contention of Cloud Data Center. High-Performance Computing Applications in Numerical Simulation and Edge Computing. 10.1007/978-981-32-9987-0_16. (186-197).

    http://link.springer.com/10.1007/978-981-32-9987-0_16

  • Eo J, Kim K and Lee C. (2019). Memory Access Pattern-Aware DRAM Controller Design for Mixed-Criticality Systems. Cyber Physical Systems. Design, Modeling, and Evaluation. 10.1007/978-3-030-17910-6_3. (27-42).

    http://link.springer.com/10.1007/978-3-030-17910-6_3

  • Tang X, Kandemir M, Zhao H, Jung M and Karakoy M. (2018). Computing with Near Data. Proceedings of the ACM on Measurement and Analysis of Computing Systems. 2:3. (1-30). Online publication date: 21-Dec-2018.

    https://doi.org/10.1145/3287321

  • Lin B, Healy M, Miftakhutdinov R, Emma P and Patt Y. Duplicon cache. Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture. (285-297).

    https://doi.org/10.1109/MICRO.2018.00031

  • Kim N, Tang S, Otterness N, Anderson J, Smith F and Porter D. Supporting I/O and IPC via Fine-Grained OS Isolation for Mixed-Criticality Real-Time Tasks. Proceedings of the 26th International Conference on Real-Time Networks and Systems. (191-201).

    https://doi.org/10.1145/3273905.3273911

  • IMAMURA S, YASUI Y, INOUE K, ONO T, SASAKI H and FUJISAWA K. (2018). Evaluating Energy-Efficiency of DRAM Channel Interleaving Schemes for Multithreaded Programs. IEICE Transactions on Information and Systems. 10.1587/transinf.2017EDP7296. E101.D:9. (2247-2257). Online publication date: 1-Sep-2018.

    https://www.jstage.jst.go.jp/article/transinf/E101.D/9/E101.D_2017EDP7296/_article

  • Kim J, Shin P, Noh S, Ham D and Hong S. (2018). Reducing Memory Interference Latency of Safety-Critical Applications via Memory Request Throttling and Linux Cgroup 2018 31st IEEE International System-on-Chip Conference (SOCC). 10.1109/SOCC.2018.8618555. 978-1-5386-1491-4. (215-220).

    https://ieeexplore.ieee.org/document/8618555/

  • VanderLeest S, Millwood J and Guikema C. (2018). A Framework for Analyzing Shared Resource Interference in a Multicore System 2018 IEEE/AIAA 37th Digital Avionics Systems Conference (DASC). 10.1109/DASC.2018.8569651. 978-1-5386-4112-5. (1-10).

    https://ieeexplore.ieee.org/document/8569651/

  • Fang J, Lu J, Wang M and Zhao H. (2018). A Performance Conserving Approach for Reducing Memory Power Consumption in Multi-Core Systems. Journal of Circuits, Systems and Computers. 10.1142/S0218126619501135. (1950113).

    https://www.worldscientific.com/doi/abs/10.1142/S0218126619501135

  • Vijaykumar N, Jain A, Majumdar D, Hsieh K, Pekhimenko G, Ebrahimi E, Hajinazar N, Gibbons P and Mutlu O. A case for richer cross-layer abstractions. Proceedings of the 45th Annual International Symposium on Computer Architecture. (207-220).

    https://doi.org/10.1109/ISCA.2018.00027

  • Jia G, Han G, Jiang J, Chan S and Liu Y. (2018). Dynamic cloud resource management for efficient media applications in mobile computing environments. Personal and Ubiquitous Computing. 22:3. (561-573). Online publication date: 1-Jun-2018.

    https://doi.org/10.1007/s00779-018-1118-5

  • Pan X and Mueller F. Controller-aware memory coloring for multicore real-time systems. Proceedings of the 33rd Annual ACM Symposium on Applied Computing. (584-592).

    https://doi.org/10.1145/3167132.3167196

  • Liao X, Zhang Z, Liu H and Jin H. Improving Bank-level Parallelism for In-Memory Checkpointing in Hybrid Memory Systems. IEEE Transactions on Big Data. 10.1109/TBDATA.2018.2865964. (1-1).

    https://ieeexplore.ieee.org/document/8438981/

  • Angles B, Tarini M, Wyvill B, Barthe L and Tagliasacchi A. (2017). Sketch-based implicit blending. ACM Transactions on Graphics. 36:6. (1-13). Online publication date: 31-Dec-2018.

    https://doi.org/10.1145/3130800.3130825

  • Jia G, Han G, Li A and Lloret J. Coordinate Channel-Aware Page Mapping Policy and Memory Scheduling for Reducing Memory Interference Among Multimedia Applications. IEEE Systems Journal. 10.1109/JSYST.2015.2430522. 11:4. (2839-2851).

    http://ieeexplore.ieee.org/document/7114173/

  • Dym N, Maron H and Lipman Y. (2017). DS++. ACM Transactions on Graphics. 36:6. (1-14). Online publication date: 20-Nov-2017.

    https://doi.org/10.1145/3130800.3130826

  • Pack C, Son S and Shin S. (2017). Computer aided diagnosis with boosted learning for anomaly detection in microwave tomography. ACM SIGAPP Applied Computing Review. 17:3. (39-47). Online publication date: 14-Nov-2017.

    https://doi.org/10.1145/3161534.3161538

  • Lightbody P, Krajník T and Hanheide M. (2017). An efficient visual fiducial localisation system. ACM SIGAPP Applied Computing Review. 17:3. (28-37). Online publication date: 14-Nov-2017.

    https://doi.org/10.1145/3161534.3161537

  • Khlif I, Kacem M, Eichler C and Kacem A. (2017). A multi-scale modeling approach for systems of systems architectures. ACM SIGAPP Applied Computing Review. 17:3. (17-26). Online publication date: 14-Nov-2017.

    https://doi.org/10.1145/3161534.3161536

  • Ecco L and Ernst R. Tackling the Bus Turnaround Overhead in Real-Time SDRAM Controllers. IEEE Transactions on Computers. 10.1109/TC.2017.2714672. 66:11. (1961-1974).

    http://ieeexplore.ieee.org/document/7946168/

  • Piccolboni L, Menon A and Pravadelli G. (2017). Efficient Control-Flow Subgraph Matching for Detecting Hardware Trojans in RTL Models. ACM Transactions on Embedded Computing Systems. 16:5s. (1-19). Online publication date: 31-Oct-2017.

    https://doi.org/10.1145/3126552

  • Riazi M, Samragh M and Koushanfar F. (2017). CAMsure. ACM Transactions on Embedded Computing Systems. 16:5s. (1-20). Online publication date: 31-Oct-2017.

    https://doi.org/10.1145/3126547

  • Tang X, Kislal O, Kandemir M and Karakoy M. Data movement aware computation partitioning. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. (730-744).

    https://doi.org/10.1145/3123939.3123954

  • Tretter A, Giannopoulou G, Baer M and Thiele L. (2017). Minimising Access Conflicts on Shared Multi-Bank Memory. ACM Transactions on Embedded Computing Systems. 16:5s. (1-20). Online publication date: 10-Oct-2017.

    https://doi.org/10.1145/3126535

  • Su J, Yang F, Zeng X, Zhou D and Chen J. Efficient Memory Partitioning for Parallel Data Access in FPGA via Data Reuse. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 10.1109/TCAD.2017.2648838. 36:10. (1674-1687).

    http://ieeexplore.ieee.org/document/7807209/

  • Lee E, Chung J, Jung D, Lee S, Li S and Ahn J. (2017). Work as a team or individual: Characterizing the system-level impacts of main memory partitioning 2017 IEEE International Symposium on Workload Characterization (IISWC). 10.1109/IISWC.2017.8167773. 978-1-5386-1233-0. (156-166).

    http://ieeexplore.ieee.org/document/8167773/

  • Xiong D, Huang K, Jiang X and Yan X. (2017). Providing Predictable Performance via a Slowdown Estimation Model. ACM Transactions on Architecture and Code Optimization. 14:3. (1-26). Online publication date: 30-Sep-2017.

    https://doi.org/10.1145/3124451

  • Hillenbrand M, Gottschlag M, Kehne J and Bellosa F. Multiple Physical Mappings. Proceedings of the 8th Asia-Pacific Workshop on Systems. (1-9).

    https://doi.org/10.1145/3124680.3124742

  • Huang Y and Li D. (2017). Performance Modeling for Optimal Data Placement on GPU with Heterogeneous Memory Systems 2017 IEEE International Conference on Cluster Computing (CLUSTER). 10.1109/CLUSTER.2017.42. 978-1-5386-2326-8. (166-177).

    http://ieeexplore.ieee.org/document/8048928/

  • Valsan P, Yun H and Farshchi F. (2017). Addressing isolation challenges of non-blocking caches for multicore real-time systems. Real-Time Systems. 53:5. (673-708). Online publication date: 1-Sep-2017.

    https://doi.org/10.1007/s11241-017-9280-9

  • Kim N, Ward B, Chisholm M, Anderson J and Smith F. (2017). Attacking the one-out-of-m multicore problem by combining hardware management with mixed-criticality provisioning. Real-Time Systems. 53:5. (709-759). Online publication date: 1-Sep-2017.

    https://doi.org/10.1007/s11241-017-9272-9

  • Yun H, Ali W, Gondi S and Biswas S. BWLOCK: A Dynamic Memory Access Control Framework for Soft Real-Time Applications on Multicore Platforms. IEEE Transactions on Computers. 10.1109/TC.2016.2640961. 66:7. (1247-1252).

    http://ieeexplore.ieee.org/document/7784697/

  • Kotra J, Shahidi N, Chishti Z and Kandemir M. (2017). Hardware-Software Co-design to Mitigate DRAM Refresh Overheads. ACM SIGPLAN Notices. 52:4. (723-736). Online publication date: 12-May-2017.

    https://doi.org/10.1145/3093336.3037724

  • Hu Y, Song M and Li T. (2017). Towards "Full Containerization" in Containerized Network Function Virtualization. ACM SIGPLAN Notices. 52:4. (467-481). Online publication date: 12-May-2017.

    https://doi.org/10.1145/3093336.3037713

  • Kotra J, Shahidi N, Chishti Z and Kandemir M. (2017). Hardware-Software Co-design to Mitigate DRAM Refresh Overheads. ACM SIGARCH Computer Architecture News. 45:1. (723-736). Online publication date: 11-May-2017.

    https://doi.org/10.1145/3093337.3037724

  • Hu Y, Song M and Li T. (2017). Towards "Full Containerization" in Containerized Network Function Virtualization. ACM SIGARCH Computer Architecture News. 45:1. (467-481). Online publication date: 11-May-2017.

    https://doi.org/10.1145/3093337.3037713

  • Kotra J, Shahidi N, Chishti Z and Kandemir M. (2017). Hardware-Software Co-design to Mitigate DRAM Refresh Overheads. ACM SIGOPS Operating Systems Review. 10.1145/3093315.3037724. 51:2. (723-736). Online publication date: 4-Apr-2017.

    http://dl.acm.org/citation.cfm?doid=3093315.3037724

  • Hu Y, Song M and Li T. (2017). Towards "Full Containerization" in Containerized Network Function Virtualization. ACM SIGOPS Operating Systems Review. 10.1145/3093315.3037713. 51:2. (467-481). Online publication date: 4-Apr-2017.

    http://dl.acm.org/citation.cfm?doid=3093315.3037713

  • Kotra J, Shahidi N, Chishti Z and Kandemir M. Hardware-Software Co-design to Mitigate DRAM Refresh Overheads. Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems. (723-736).

    https://doi.org/10.1145/3037697.3037724

  • Hu Y, Song M and Li T. Towards "Full Containerization" in Containerized Network Function Virtualization. Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems. (467-481).

    https://doi.org/10.1145/3037697.3037713

  • Wang X, Chen S, Setter J and Martinez J. (2017). SWAP: Effective Fine-Grain Management of Shared Last-Level Caches with Minimum Hardware Support 2017 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 10.1109/HPCA.2017.65. 978-1-5090-4985-1. (121-132).

    http://ieeexplore.ieee.org/document/7920819/

  • Zhu Q, Wu B, Shen X, Shen K, Shen L and Wang Z. (2017). Understanding co-run performance on CPU-GPU integrated processors. Frontiers of Computer Science: Selected Publications from Chinese Universities. 11:1. (130-146). Online publication date: 1-Feb-2017.

    https://doi.org/10.1007/s11704-016-5468-8

  • Sahoo D, Satpathy M and Mutyam M. (2017). An Experimental Study on Dynamic Bank Partitioning of DRAM in Chip Multiprocessors 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID). 10.1109/VLSID.2017.21. 978-1-5090-5740-5. (35-40).

    http://ieeexplore.ieee.org/document/7884754/

  • Xie M, Liu L, Yang H, Wu C and Geng H. (2017). SysMon: Monitoring Memory Behaviors via OS Approach. Advanced Parallel Processing Technologies. 10.1007/978-3-319-67952-5_5. (51-63).

    http://link.springer.com/10.1007/978-3-319-67952-5_5

  • Xiong D, Huang K, Jiang X and Yan X. (2016). Memory Access Scheduling Based on Dynamic Multilevel Priority in Shared DRAM Systems. ACM Transactions on Architecture and Code Optimization. 13:4. (1-26). Online publication date: 28-Dec-2016.

    https://doi.org/10.1145/3007647

  • Dorier M, Antoniu G, Cappello F, Snir M, Sisneros R, Yildiz O, Ibrahim S, Peterka T and Orf L. (2016). Damaris. ACM Transactions on Parallel Computing. 3:3. (1-43). Online publication date: 26-Dec-2016.

    https://doi.org/10.1145/2987371

  • Imamura S, Yasui Y, Inoue K, Ono T, Sasaki H and Fujisawa K. Power-efficient breadth-first search with DRAM row buffer locality-aware address mapping. Proceedings of the First International Workshop on High Performance Graph Data Management and Processing. (17-24).

    /doi/10.5555/3018830.3018833

  • Imamura S, Yasui Y, Inoue K, Ono T, Sasaki H and Fujisawa K. (2016). Power-Efficient Breadth-First Search with DRAM Row Buffer Locality-Aware Address Mapping 2016 High Performance Graph Data Management and Processing Workshop (HPGDMP). 10.1109/HPGDMP.2016.010. 978-1-5090-3880-0. (17-24).

    http://ieeexplore.ieee.org/document/7830443/

  • Tang X, Kandemir M, Yedlapalli P and Kotra J. Improving bank-level parallelism for irregular applications. The 49th Annual IEEE/ACM International Symposium on Microarchitecture. (1-12).

    /doi/10.5555/3195638.3195708

  • Hu Y and Li T. Towards efficient server architecture for virtualized network function deployment. The 49th Annual IEEE/ACM International Symposium on Microarchitecture. (1-12).

    /doi/10.5555/3195638.3195648

  • Kim D and Erez M. (2016). RelaxFault memory repair. ACM SIGARCH Computer Architecture News. 44:3. (645-657). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001205

  • Zhang L, Neely B, Franklin D, Strukov D, Xie Y and Chong F. (2016). Mellow writes. ACM SIGARCH Computer Architecture News. 44:3. (519-531). Online publication date: 12-Oct-2016.

    https://doi.org/10.1145/3007787.3001192

  • Knyaginin D, Papaefstathiou V and Stenstrom P. Adaptive Row Addressing for Cost-Efficient Parallel Memory Protocols in Large-Capacity Memories. Proceedings of the Second International Symposium on Memory Systems. (121-132).

    https://doi.org/10.1145/2989081.2989103

  • Mantovani P, Cota E, Pilato C, Di Guglielmo G and Carloni L. Handling large data sets for high-performance embedded applications in heterogeneous systems-on-chip. Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems. (1-10).

    https://doi.org/10.1145/2968455.2968509

  • Subramanian L, Lee D, Seshadri V, Rastogi H and Mutlu O. (2016). BLISS. IEEE Transactions on Parallel and Distributed Systems. 27:10. (3071-3087). Online publication date: 1-Oct-2016.

    https://doi.org/10.1109/TPDS.2016.2526003

  • Tang X, Kandemir M, Yedlapalli P and Kotra J. (2016). Improving bank-level parallelism for irregular applications 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO.2016.7783760. 978-1-5090-3508-3. (1-12).

    http://ieeexplore.ieee.org/document/7783760/

  • Hu Y and Li T. (2016). Towards efficient server architecture for virtualized network function deployment: Implications and implementations 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 10.1109/MICRO.2016.7783711. 978-1-5090-3508-3. (1-12).

    http://ieeexplore.ieee.org/document/7783711/

  • Kim J and Lee H. (2016). IP deadline-aware bank partition scheme for memory scheduler in mobile platform 2016 IEEE International Conference on Consumer Electronics - Asia (ICCE-Asia). 10.1109/ICCE-Asia.2016.7804731. 978-1-5090-2743-9. (1-4).

    http://ieeexplore.ieee.org/document/7804731/

  • Liu L, Yang H, Li Y, Xie M, Li L and Wu C. (2016). Memos: A full hierarchy hybrid memory management framework 2016 IEEE 34th International Conference on Computer Design (ICCD). 10.1109/ICCD.2016.7753305. 978-1-5090-5142-7. (368-371).

    http://ieeexplore.ieee.org/document/7753305/

  • Song M, Hu Y, Xu Y, Li C, Chen H, Yuan J and Li T. Bridging the Semantic Gaps of GPU Acceleration for Scale-out CNN-based Big Data Processing. Proceedings of the 2016 International Conference on Parallel Architectures and Compilation. (315-326).

    https://doi.org/10.1145/2967938.2967944

  • Jung D, Li S and Ahn J. (2016). Large Pages on Steroids. IEEE Computer Architecture Letters. 15:2. (101-104). Online publication date: 1-Jul-2016.

    https://doi.org/10.1109/LCA.2015.2495103

  • Ecco L, Kostrzewa A and Ernst R. (2016). Minimizing DRAM Rank Switching Overhead for Improved Timing Bounds and Performance 2016 28th Euromicro Conference on Real-Time Systems (ECRTS). 10.1109/ECRTS.2016.8. 978-1-5090-2811-5. (3-13).

    http://ieeexplore.ieee.org/document/7557864/

  • Blin A, Courtaud C, Sopena J, Lawall J and Muller G. (2016). Maximizing Parallelism without Exploding Deadlines in a Mixed Criticality Embedded System 2016 28th Euromicro Conference on Real-Time Systems (ECRTS). 10.1109/ECRTS.2016.18. 978-1-5090-2811-5. (109-119).

    http://ieeexplore.ieee.org/document/7557873/

  • Jia G, Shi L, Li X and Dai D. (2016). PUMA. Journal of Signal Processing Systems. 84:1. (139-150). Online publication date: 1-Jul-2016.

    https://doi.org/10.1007/s11265-015-1015-3

  • Giannopoulou G, Stoimenov N, Huang P, Thiele L and Dinechin B. (2016). Mixed-criticality scheduling on cluster-based manycores with shared communication and storage resources. Real-Time Systems. 52:4. (399-449). Online publication date: 1-Jul-2016.

    https://doi.org/10.1007/s11241-015-9227-y

  • Kim D and Erez M. RelaxFault memory repair. Proceedings of the 43rd International Symposium on Computer Architecture. (645-657).

    https://doi.org/10.1109/ISCA.2016.62

  • Zhang L, Neely B, Franklin D, Strukov D, Xie Y and Chong F. Mellow writes. Proceedings of the 43rd International Symposium on Computer Architecture. (519-531).

    https://doi.org/10.1109/ISCA.2016.52

  • Mao M, Wen W, Liu X, Hu J, Wang D, Chen Y and Li H. TEMP. Proceedings of the 53rd Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2897937.2898103

  • Liu L, Li Y, Ding C, Yang H and Wu C. (2016). Rethinking Memory Management in Modern Operating System: Horizontal, Vertical or Random?. IEEE Transactions on Computers. 65:6. (1921-1935). Online publication date: 1-Jun-2016.

    https://doi.org/10.1109/TC.2015.2462813

  • Hu Q, Sun G, Shu J and Zhang C. Exploring Main Memory Design Based on Racetrack Memory Technology. Proceedings of the 26th edition on Great Lakes Symposium on VLSI. (397-402).

    https://doi.org/10.1145/2902961.2902967

  • Perais A and Seznec A. (2016). EOLE. ACM Transactions on Computer Systems. 34:2. (1-33). Online publication date: 5-May-2016.

    https://doi.org/10.1145/2870632

  • Jalle J, Quinones E, Abella J, Fossati L, Zulianello M and Cazorla F. (2016). Data Bus Slicing for Contention-Free Multicore Real-Time Memory Systems 2016 11th IEEE Symposium on Industrial Embedded Systems (SIES). 10.1109/SIES.2016.7509441. 978-1-5090-2282-3. (1-8).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=7509441

  • Jalle J, Fernandez M, Abella J, Andersson J, Patte M, Fossati L, Zulianello M and Cazorla F. (2016). Contention-aware performance monitoring counter support for real-time MPSoCs 2016 11th IEEE Symposium on Industrial Embedded Systems (SIES). 10.1109/SIES.2016.7509440. 978-1-5090-2282-3. (1-10).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=7509440

  • Pan X, Gownivaripalli Y and Mueller F. (2016). TintMalloc: Reducing Memory Access Divergence via Controller-Aware Coloring 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 10.1109/IPDPS.2016.26. 978-1-5090-2140-6. (363-372).

    http://ieeexplore.ieee.org/document/7516032/

  • Kim H, De Niz D, Andersson B, Klein M, Mutlu O and Rajkumar R. (2016). Bounding and reducing memory interference in COTS-based multi-core systems. Real-Time Systems. 52:3. (356-395). Online publication date: 1-May-2016.

    https://doi.org/10.1007/s11241-016-9248-1

  • Chandru V and Mueller F. Reducing NoC and Memory Contention for Manycores. Proceedings of the 29th International Conference on Architecture of Computing Systems -- ARCS 2016 - Volume 9637. (293-305).

    https://doi.org/10.1007/978-3-319-30695-7_22

  • Sun B, Li X, Wang C, Wan B and Zhou X. (2016). KUMMS. International Journal of High Performance Systems Architecture. 6:1. (13-27). Online publication date: 1-Apr-2016.

    https://doi.org/10.1504/IJHPSA.2016.076202

  • Valsan P, Yun H and Farshchi F. (2016). Taming Non-Blocking Caches to Improve Isolation in Multicore Real-Time Systems 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS.2016.7461361. 978-1-4673-8639-5. (1-12).

    http://ieeexplore.ieee.org/document/7461361/

  • Kim N, Ward B, Chisholm M, Fu C, Anderson J and Smith F. (2016). Attacking the One-Out-Of-m Multicore Problem by Combining Hardware Management with Mixed-Criticality Provisioning 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS.2016.7461323. 978-1-4673-8639-5. (1-12).

    http://ieeexplore.ieee.org/document/7461323/

  • Shevgoor M, Balasubramonian R, Chatterjee N and Kim J. (2016). Addressing service interruptions in memory with thread-to-rank assignment 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 10.1109/ISPASS.2016.7482071. 978-1-5090-1953-3. (24-35).

    http://ieeexplore.ieee.org/document/7482071/

  • Voulgaris S, Dobson M and Van Steen M. (2016). Decentralized Network-Level Synchronization in Mobile Ad Hoc Networks. ACM Transactions on Sensor Networks. 12:1. (1-42). Online publication date: 21-Mar-2016.

    https://doi.org/10.1145/2880223

  • Wu Y, Liu K, Stankovic J, He T and Lin S. (2016). Efficient Multichannel Communications in Wireless Sensor Networks. ACM Transactions on Sensor Networks. 12:1. (1-23). Online publication date: 21-Mar-2016.

    https://doi.org/10.1145/2840808

  • Liu C, Huang P and Xiao L. (2016). TAS-MAC. ACM Transactions on Sensor Networks. 12:1. (1-30). Online publication date: 21-Mar-2016.

    https://doi.org/10.1145/2835180

  • Zhang X, Zhang Y, Childers B and Yang J. (2016). Restore truncation for performance improvement in future DRAM systems 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2016.7446093. 978-1-4673-9211-2. (543-554).

    http://ieeexplore.ieee.org/document/7446093/

  • Wang H, Zhang J, Shridhar S, Park G, Jung M and Kim N. (2016). DUANG: Fast and lightweight page migration in asymmetric memory systems 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2016.7446088. 978-1-4673-9211-2. (481-493).

    http://ieeexplore.ieee.org/document/7446088/

  • Usui H, Subramanian L, Chang K and Mutlu O. (2016). DASH. ACM Transactions on Architecture and Code Optimization. 12:4. (1-28). Online publication date: 7-Jan-2016.

    https://doi.org/10.1145/2847255

  • Jia G, Han G, Jiang J, Sun N and Wang K. Dynamic Resource Partitioning for Heterogeneous Multi-Core-Based Cloud Computing in Smart Cities. IEEE Access. 10.1109/ACCESS.2015.2507576. 4. (108-118).

    http://ieeexplore.ieee.org/document/7352309/

  • Jonell P and Lopes P. (2015). Affordance++. XRDS: Crossroads, The ACM Magazine for Students. 22:2. (20-21). Online publication date: 30-Dec-2016.

    https://doi.org/10.1145/2849487

  • Shafagh H. (2015). Toward computing over encrypted data in IoT systems. XRDS: Crossroads, The ACM Magazine for Students. 22:2. (48-52). Online publication date: 30-Dec-2016.

    https://doi.org/10.1145/2845157

  • Li Y, Li W, Chen H, Guo D, Zhang T and Qu T. (2015). Congestion-free routing strategy in software defined data center networks. Concurrency and Computation: Practice & Experience. 27:18. (5735-5748). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3650

  • Wei K, Dong M, Weng J, Shi G, Ota K and Xu K. (2015). Congestion-aware message forwarding in delay tolerant networks. Concurrency and Computation: Practice & Experience. 27:18. (5722-5734). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3647

  • Xu J, Guo S, Xiao B and He J. (2015). Energy-efficient big data storage and retrieval for wireless sensor networks with nonuniform node distribution. Concurrency and Computation: Practice & Experience. 27:18. (5765-5779). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3645

  • Yao H, Bai C, Zeng D, Liang Q and Fan Y. (2015). Migrate or not? Exploring virtual machine migration in roadside cloudlet-based vehicular cloud. Concurrency and Computation: Practice & Experience. 27:18. (5780-5792). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3642

  • Hong B, Peng F, Deng B, Hu Y and Wang D. (2015). DAC-Hmm. Concurrency and Computation: Practice & Experience. 27:18. (5749-5764). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3640

  • Tang M, Zhang T, Liu J and Chen J. (2015). Cloud service QoS prediction via exploiting collaborative filtering and location-based data smoothing. Concurrency and Computation: Practice & Experience. 27:18. (5826-5839). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3639

  • Zhu L, Jin H and Liao X. (2015). SymS. Concurrency and Computation: Practice & Experience. 27:18. (5810-5825). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3638

  • Zhang Y, Li B, Huang Z, Wang J and Zhu J. (2015). SGAM. Concurrency and Computation: Practice & Experience. 27:18. (5577-5589). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3605

  • Zhang J, Wang M, Luo J, Dong F and Zhang J. (2015). Towards optimized scheduling for data-intensive scientific workflow in multiple datacenter environment. Concurrency and Computation: Practice & Experience. 27:18. (5606-5622). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3601

  • Wang L, Shen J and Luo J. (2015). Bio-inspired cost-aware optimization for data-intensive service provision. Concurrency and Computation: Practice & Experience. 27:18. (5662-5685). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3589

  • Kołaczek G, Juszczyszyn K, Świltek P, Grzech A, Schauer P, Stelmach P and Falas Ł. (2015). Trust-based security-level evaluation method for dynamic service-oriented environments. Concurrency and Computation: Practice & Experience. 27:18. (5700-5718). Online publication date: 25-Dec-2015.

    https://doi.org/10.1002/cpe.3583

  • Subramanian L, Seshadri V, Ghosh A, Khan S and Mutlu O. The application slowdown model. Proceedings of the 48th International Symposium on Microarchitecture. (62-75).

    https://doi.org/10.1145/2830772.2830803

  • Chisholm M, Ward B, Kim N and Anderson J. Cache Sharing and Isolation Tradeoffs in Multicore Mixed-Criticality Systems. Proceedings of the 2015 IEEE Real-Time Systems Symposium (RTSS). (305-316).

    https://doi.org/10.1109/RTSS.2015.36

  • Ecco L and Ernst R. Improved DRAM Timing Bounds for Real-Time DRAM Controllers with Read/Write Bundling. Proceedings of the 2015 IEEE Real-Time Systems Symposium (RTSS). (53-64).

    https://doi.org/10.1109/RTSS.2015.13

  • Jia G, Han G, Jiang J and Rodrigues J. (2015). PARS. Journal of Network and Computer Applications. 58:C. (327-336). Online publication date: 1-Dec-2015.

    https://doi.org/10.1016/j.jnca.2015.08.001

  • Valsan P and Yun H. MEDUSA. Proceedings of the 2015 IEEE 3rd International Conference on Cyber-Physical Systems, Networks, and Applications. (86-93).

    https://doi.org/10.1109/CPSNA.2015.24

  • Yun H, Pellizzon R and Valsan P. Parallelism-Aware Memory Interference Delay Analysis for COTS Multicore Systems. Proceedings of the 2015 27th Euromicro Conference on Real-Time Systems. (184-195).

    https://doi.org/10.1109/ECRTS.2015.24

  • Carreño P, Gutierrez F, Ochoa S and Fortino G. (2015). Supporting personal security using participatory sensing. Concurrency and Computation: Practice & Experience. 27:10. (2531-2546). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3450

  • Miyaji A and Omote K. (2015). Self-healing wireless sensor networks. Concurrency and Computation: Practice & Experience. 27:10. (2547-2568). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3434

  • Longo F, Bruneo D, Distefano S and Scarpa M. (2015). Variable operating conditions in distributed systems. Concurrency and Computation: Practice & Experience. 27:10. (2506-2530). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3419

  • Vinh P and Oh H. (2015). A slot demand-based path reservation approach for the timely and reliable delivery of bursty traffic in WMSNs. Concurrency and Computation: Practice & Experience. 27:10. (2569-2587). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3401

  • The Dung L and An B. (2015). SCRRM. Concurrency and Computation: Practice & Experience. 27:10. (2588-2601). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3368

  • Zhang J, Shao J, Ling Y, Ji M, Wei G and Ying B. (2015). Efficient multiple sources network coding signature in the standard model. Concurrency and Computation: Practice & Experience. 27:10. (2616-2636). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3322

  • Wang J, Zuo L, Shen J, Li B and Lee S. (2015). Multiple mobile sink-based routing algorithm for data dissemination in wireless sensor networks. Concurrency and Computation: Practice & Experience. 27:10. (2656-2667). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3313

  • Hassan M, Kamruzzaman S, Alamri A, Almogren A, Alelaiwi A, Alnuem M, Islam M and Razzaque M. (2015). Design of an energy-efficient and reliable data delivery mechanism for mobile ad hoc networks. Concurrency and Computation: Practice & Experience. 27:10. (2637-2655). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3309

  • Xie M, Bhanja U, Wei G, Ling Y, Hassan M and Alamri A. (2015). SecNRCC. Concurrency and Computation: Practice & Experience. 27:10. (2668-2680). Online publication date: 1-Jul-2015.

    https://doi.org/10.1002/cpe.3277

  • Prein A, Langhans W, Fosser G, Ferrone A, Ban N, Goergen K, Keller M, Tölle M, Gutjahr O, Feser F, Brisson E, Kollet S, Schmidli J, van Lipzig N and Leung R. (2015). A review on regional convection‐permitting climate modeling: Demonstrations, prospects, and challenges. Reviews of Geophysics. 10.1002/2014RG000475. 53:2. (323-361). Online publication date: 1-Jun-2015.

    https://agupubs.onlinelibrary.wiley.com/doi/10.1002/2014RG000475

  • Ma J, Sui X, Sun N, Li Y, Yu Z, Huang B, Xu T, Yao Z, Chen Y, Wang H, Zhang L and Bao Y. (2015). Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD). ACM SIGARCH Computer Architecture News. 43:1. (131-143). Online publication date: 29-May-2015.

    https://doi.org/10.1145/2786763.2694382

  • Gao K, Fan D, Wu J and Liu Z. Decoupling Contention with Victim Row-Buffer on Multicore Memory Systems. Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop. (454-463).

    https://doi.org/10.1109/IPDPSW.2015.30

  • Ma J, Sui X, Sun N, Li Y, Yu Z, Huang B, Xu T, Yao Z, Chen Y, Wang H, Zhang L and Bao Y. (2015). Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD). ACM SIGPLAN Notices. 50:4. (131-143). Online publication date: 12-May-2015.

    https://doi.org/10.1145/2775054.2694382

  • Ma J, Sui X, Sun N, Li Y, Yu Z, Huang B, Xu T, Yao Z, Chen Y, Wang H, Zhang L and Bao Y. Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD). Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems. (131-143).

    https://doi.org/10.1145/2694344.2694382

  • Wang H, Park C, Byun G, Ahn J and Kim N. (2015). Alloy: Parallel-serial memory channel architecture for single-chip heterogeneous processor systems 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2015.7056041. 978-1-4799-8930-0. (296-308).

    http://ieeexplore.ieee.org/document/7056041/

  • Meng X, Jia G, Wan J and Zhang J. Pseudo Share. Proceedings of the 2014 IEEE 17th International Conference on Computational Science and Engineering. (1894-1899).

    https://doi.org/10.1109/CSE.2014.347

  • Ding W, Guttman D and Kandemir M. Compiler Support for Optimizing Memory Bank-Level Parallelism. Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. (571-582).

    https://doi.org/10.1109/MICRO.2014.34

  • Jalle J, Quinones E, Abella J, Fossati L, Zulianello M and Cazorla F. (2014). A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study 2014 IEEE Real-Time Systems Symposium (RTSS). 10.1109/RTSS.2014.23. 978-1-4799-7288-3. (207-217).

    http://ieeexplore.ieee.org/document/7010488/

  • Jia G, Han G, Shi L, Wan J and Dai D. (2014). Combine thread with memory scheduling for maximizing performance in multi-core systems 2014 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS). 10.1109/PADSW.2014.7097821. 978-1-4799-7615-7. (298-305).

    http://ieeexplore.ieee.org/document/7097821/

  • Kim Y, Daly R, Kim J, Fallin C, Lee J, Lee D, Wilkerson C, Lai K and Mutlu O. (2014). Flipping bits in memory without accessing them. ACM SIGARCH Computer Architecture News. 42:3. (361-372). Online publication date: 16-Oct-2014.

    https://doi.org/10.1145/2678373.2665726

  • Liu L, Li Y, Cui Z, Bao Y, Chen M and Wu C. (2014). Going vertical in memory management. ACM SIGARCH Computer Architecture News. 42:3. (169-180). Online publication date: 16-Oct-2014.

    https://doi.org/10.1145/2678373.2665698

  • Mutlu O and Subramanian L. (2014). Research Problems and Opportunities in Memory Systems. Supercomputing Frontiers and Innovations: an International Journal. 1:3. (19-55). Online publication date: 12-Oct-2014.

    https://doi.org/10.14529/jsfi140302

  • Subramanian L, Lee D, Seshadri V, Rastogi H and Mutlu O. (2014). The Blacklisting Memory Scheduler: Achieving high performance and fairness at low cost 2014 32nd IEEE International Conference on Computer Design (ICCD). 10.1109/ICCD.2014.6974655. 978-1-4799-6492-5. (8-15).

    http://ieeexplore.ieee.org/document/6974655/

  • Inam R and Sjodin M. (2014). Combating unpredictability in multicores through the multi-resource server 2014 IEEE Emerging Technology and Factory Automation (ETFA). 10.1109/ETFA.2014.7005063. 978-1-4799-4845-1. (1-8).

    http://ieeexplore.ieee.org/document/7005063/

  • Ding C, Xiang X, Bao B, Luo H, Luo Y and Wang X. (2014). Performance Metrics and Models for Shared Cache. Journal of Computer Science and Technology. 10.1007/s11390-014-1460-7. 29:4. (692-712). Online publication date: 1-Jul-2014.

    http://link.springer.com/10.1007/s11390-014-1460-7

  • Ren R, Ma J, Sui X and Bao Y. D2P. Proceedings of 5th Asia-Pacific Workshop on Systems. (1-6).

    https://doi.org/10.1145/2637166.2637226

  • Kim Y, Daly R, Kim J, Fallin C, Lee J, Lee D, Wilkerson C, Lai K and Mutlu O. Flipping bits in memory without accessing them. Proceeding of the 41st annual international symposium on Computer architecuture. (361-372).

    /doi/10.5555/2665671.2665726

  • Liu L, Li Y, Cui Z, Bao Y, Chen M and Wu C. Going vertical in memory management. Proceeding of the 41st annual international symposium on Computer architecuture. (169-180).

    /doi/10.5555/2665671.2665698

  • Cui Z, Chen L, Bao Y and Chen M. A Swap-based Cache Set Index Scheme to Leverage both Superpage and Page Coloring Optimizations. Proceedings of the 51st Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2593069.2593078

  • Liu L, Li Y, Cui Z, Bao Y, Chen M and Wu C. (2014). Going vertical in memory management: Handling multiplicity by multi-policy 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 10.1109/ISCA.2014.6853214. 978-1-4799-4394-4. (169-180).

    http://ieeexplore.ieee.org/document/6853214/

  • Kim Y, Daly R, Kim J, Fallin C, Lee J, Lee D, Wilkerson C, Lai K and Mutlu O. (2014). Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 10.1109/ISCA.2014.6853210. 978-1-4799-4394-4. (361-372).

    http://ieeexplore.ieee.org/document/6853210/

  • Jia G, Li X, Yuan Y, Wan J, Jiang C and Dai D. PseudoNUMA for reducing memory interference in multi-core systems. Proceedings of the High Performance Computing Symposium. (1-8).

    /doi/10.5555/2663510.2663516

  • Kim H, de Niz D, Andersson B, Klein M, Mutlu O and Rajkumar R. (2014). Bounding memory interference delay in COTS-based multi-core systems 2014 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 10.1109/RTAS.2014.6925998. 978-1-4799-4829-1. (145-154).

    http://ieeexplore.ieee.org/document/6925998/

  • Giannopoulou G, Stoimenov N, Huang P and Thiele L. Mapping mixed-criticality applications on multi-core architectures. Proceedings of the conference on Design, Automation & Test in Europe. (1-6).

    /doi/10.5555/2616606.2616726

  • Wang Y, Zang L, Han Y and Li H. (2014). Reinventing Memory System Design for Many-Accelerator Architecture. Journal of Computer Science and Technology. 10.1007/s11390-014-1429-6. 29:2. (273-280). Online publication date: 1-Mar-2014.

    http://link.springer.com/10.1007/s11390-014-1429-6

  • Liu L, Cui Z, Li Y, Bao Y, Chen M and Wu C. (2014). BPM/BPM+. ACM Transactions on Architecture and Code Optimization. 11:1. (1-28). Online publication date: 1-Feb-2014.

    https://doi.org/10.1145/2579672

  • Wang W, Dey T, Davidson J and Soffa M. (2014). DraMon: Predicting memory bandwidth usage of multi-threaded programs with high accuracy and low overhead 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2014.6835948. 978-1-4799-3097-5. (380-391).

    http://ieeexplore.ieee.org/document/6835948/

  • Xie M, Tong D, Huang K and Cheng X. (2014). Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA). 10.1109/HPCA.2014.6835945. 978-1-4799-3097-5. (344-355).

    http://ieeexplore.ieee.org/document/6835945/

  • Jia G, Li X, Wan J, Wang C, Dai D and Jiang C. Coordinate Task and Memory Management for Improving Power Efficiency. Proceedings of the 13th International Conference on Algorithms and Architectures for Parallel Processing - Volume 8285. (267-278).

    https://doi.org/10.1007/978-3-319-03859-9_23

  • Suzuki N, Kim H, Niz D, Andersson B, Wrage L, Klein M and Rajkumar R. Coordinated Bank and Cache Coloring for Temporal Protection of Memory Accesses. Proceedings of the 2013 IEEE 16th International Conference on Computational Science and Engineering. (685-692).

    https://doi.org/10.1109/CSE.2013.106

  • Ikeda T and Kise K. (2013). Application Aware DRAM Bank Partitioning in CMP 2013 International Conference on Parallel and Distributed Systems (ICPADS). 10.1109/ICPADS.2013.56. 978-1-4799-2081-5. (349-356).

    http://ieeexplore.ieee.org/document/6808193/

  • Jian X, Duwe H, Sartori J, Sridharan V and Kumar R. Low-power, low-storage-overhead chipkill correct via multi-line error correction. Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis. (1-12).

    https://doi.org/10.1145/2503210.2503243

  • Jia G, Li X, Wan J, Shi L and Wang C. Coordinate page allocation and thread group for improving main memory power efficiency. Proceedings of the Workshop on Power-Aware Computing and Systems. (1-5).

    https://doi.org/10.1145/2525526.2525851

  • Kang D, Park H and Choi J. Effect of page frame allocation pattern on bank conflicts in multi-core systems. Proceedings of the 2013 Research in Adaptive and Convergent Systems. (467-472).

    https://doi.org/10.1145/2513228.2513306

  • Chen L, Wang Y, Cui Z, Huang Y, Bao Y and Chen M. (2013). Scattered superpage: A case for bridging the gap between superpage and page coloring 2013 IEEE 31st International Conference on Computer Design (ICCD). 10.1109/ICCD.2013.6657040. 978-1-4799-2987-0. (177-184).

    http://ieeexplore.ieee.org/document/6657040/

  • Xie M, Tong D, Feng Y, Huang K and Cheng X. Page policy control with memory partitioning for DRAM performance and power efficiency. Proceedings of the 2013 International Symposium on Low Power Electronics and Design. (298-303).

    /doi/10.5555/2648668.2648742

  • Xie M, Tong D, Feng Y, Huang K and Cheng X. (2013). Page policy control with memory partitioning for DRAM performance and power efficiency 2013 IEEE International Symposium on Low Power Electronics and Design (ISLPED). 10.1109/ISLPED.2013.6629312. 978-1-4799-1235-3. (298-303).

    http://ieeexplore.ieee.org/document/6629312/

  • Gupta A, Sampson J and Bedford Taylor M. (2013). TimeCube: A manycore embedded processor with interference-agnostic progress tracking 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIII). 10.1109/SAMOS.2013.6621127. 978-1-4799-0103-6. (227-236).

    http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6621127

  • Vaidya A, Shayesteh A, Woo D, Saharoy R and Azimi M. (2013). SIMD divergence optimization through intra-warp compaction. ACM SIGARCH Computer Architecture News. 41:3. (368-379). Online publication date: 26-Jun-2013.

    https://doi.org/10.1145/2508148.2485954

  • Rhu M and Erez M. (2013). Maximizing SIMD resource utilization in GPGPUs with SIMD lane permutation. ACM SIGARCH Computer Architecture News. 41:3. (356-367). Online publication date: 26-Jun-2013.

    https://doi.org/10.1145/2508148.2485953

  • Rhu M and Erez M. Maximizing SIMD resource utilization in GPGPUs with SIMD lane permutation. Proceedings of the 40th Annual International Symposium on Computer Architecture. (356-367).

    https://doi.org/10.1145/2485922.2485953

  • Silberstein M, Ford B, Keidar I and Witchel E. (2013). GPUfs. ACM SIGARCH Computer Architecture News. 41:1. (485-498). Online publication date: 29-Mar-2013.

    https://doi.org/10.1145/2490301.2451169

  • Lucia B and Ceze L. (2013). Cooperative empirical failure avoidance for multithreaded programs. ACM SIGARCH Computer Architecture News. 41:1. (39-50). Online publication date: 29-Mar-2013.

    https://doi.org/10.1145/2490301.2451121

  • Mrunalini M, Kumar T and Kanth K. (2013). Dynamic process model for identifying modified data using mobile agents in real time ETL processes. ACM SIGSOFT Software Engineering Notes. 37:6. (1-9). Online publication date: 27-Nov-2012.

    https://doi.org/10.1145/2382756.2382767

  • Bell J, Cooper K, Kaiser G and Sheth S. (2013). Report from the second international workshop on games and software engineering (GAS 2012). ACM SIGSOFT Software Engineering Notes. 37:6. (1-6). Online publication date: 27-Nov-2012.

    https://doi.org/10.1145/2382756.2382763

  • Xu L, Yan Q, Xia Y and Jia J. (2012). Structure extraction from texture via relative total variation. ACM Transactions on Graphics. 31:6. (1-10). Online publication date: 1-Nov-2012.

    https://doi.org/10.1145/2366145.2366158

  • Kim Y, Mitra N, Yan D and Guibas L. (2012). Acquiring 3D indoor environments with variability and repetition. ACM Transactions on Graphics. 31:6. (1-11). Online publication date: 1-Nov-2012.

    https://doi.org/10.1145/2366145.2366157

  • Shao T, Xu W, Zhou K, Wang J, Li D and Guo B. (2012). An interactive approach to semantic modeling of indoor scenes with an RGBD camera. ACM Transactions on Graphics. 31:6. (1-11). Online publication date: 1-Nov-2012.

    https://doi.org/10.1145/2366145.2366155

  • Pǎtraşcu M and Thorup M. (2012). The Power of Simple Tabulation Hashing. Journal of the ACM. 59:3. (1-50). Online publication date: 1-Jun-2012.

    https://doi.org/10.1145/2220357.2220361

  • Völzer H and Varacca D. (2012). Defining Fairness in Reactive and Concurrent Systems. Journal of the ACM. 59:3. (1-37). Online publication date: 1-Jun-2012.

    https://doi.org/10.1145/2220357.2220360

  • Chaudhuri S and Koltun V. (2010). Data-driven suggestions for creativity support in 3D modeling. ACM Transactions on Graphics. 29:6. (1-10). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1882261.1866205

  • Fisher M and Hanrahan P. (2010). Context-based search for 3D models. ACM Transactions on Graphics. 29:6. (1-10). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1882261.1866204

  • Reshetov A, Soupikov A and Mark W. (2010). Consistent normal interpolation. ACM Transactions on Graphics. 29:6. (1-8). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1882261.1866168

  • Hoskinson R, Stoeber B, Heidrich W and Fels S. (2010). Light reallocation for high contrast projection using an analog micromirror array. ACM Transactions on Graphics. 29:6. (1-10). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1882261.1866166

  • Ghosh A, Chen T, Peers P, Wilson C and Debevec P. (2010). Circularly polarized spherical illumination reflectometry. ACM Transactions on Graphics. 29:6. (1-12). Online publication date: 1-Dec-2010.

    https://doi.org/10.1145/1882261.1866163

  • Furia C and Rossi M. (2010). A theory of sampling for continuous-time metric temporal logic. ACM Transactions on Computational Logic. 12:1. (1-40). Online publication date: 1-Oct-2010.

    https://doi.org/10.1145/1838552.1838560

  • Billington D, Antoniou G, Governatori G and Maher M. (2010). An inclusion theorem for defeasible logics. ACM Transactions on Computational Logic. 12:1. (1-27). Online publication date: 1-Oct-2010.

    https://doi.org/10.1145/1838552.1838558

  • Abiteboul S and Kanellakis P. (1998). Object identity as a query language primitive. Journal of the ACM. 45:5. (798-842). Online publication date: 1-Sep-1998.

    https://doi.org/10.1145/290179.290182