[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/309847.309919acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Maximizing performance by retiming and clock skew scheduling

Published: 01 June 1999 Publication History
First page of PDF

References

[1]
S. Chakradhar and S. Dey. Resynthesis and retiming for optimum partial scan. In Proceedings of the 31st ACM/IEEE Design Automation Conf., pages 87-93, June 1994.
[2]
L.-E Chao and E. H.-M. Sha. Retiming and clock skew for synchronous systems. In Proc. International Syrup. on Circuits and Systems, pages 283-286, June 1994.
[3]
R. B. Deokar and S. S. Sapatnekar. A graph-theoretic approach to clock skew optimization. In Proc. International Syrup. on Circuits and Systems, pages 407-410, May 1995.
[4]
S. Dey and S. Chakradhar. Retiming sequential circuits to enhance testability. In Proc. 12th IEEE VLSI Test Syrup., pages 28-33, April 1994.
[5]
J. R Fishburn. Clock skew optimization. IEEE Trans. on Computers, 39(7):945-951, July 1990.
[6]
E. G. Friedman. Clock Distribution Networks in VLSI Circuits and Systems. IEEE Press, 1995.
[7]
A. T. Ishii, C. E. Leiserson, and M. C. Papaefthymiou. Optimizing two-phase, level-clocked circuitry. Journal of the ACM, 41 (1): 148- 199, January 1997.
[8]
K. N. Lalgudi and M. C. Papaefthymiou. DELAY: an efficient tool for retiming with realistic delay modeling. In Proc. 32nd ACM/IEEE Design Automation Conf., June 1995.
[9]
C. E. Leiserson and J. B. Saxe. Retiming synchronous circuitry. A1- gorithmica, 6(1), 1991. Also available as MIT/LCS/TM-372.
[10]
X. Liu, M. C. Papaefthymiou, and E. G. Friedman. Optimal clock skew scheduling tolerant to process variations. In Design, Automation, and Test in Europe, pages 643-649, March 1999.
[11]
B. Lockyear and C. Ebeling. Optimal retiming of multi-phase, levelclocked circuits. In Advanced Research in VLSI and Parallel Systems: Proc. 1992 Brown/MIT Conf. MIT Press, March 1992.
[12]
H.-G. Martin. Retiming by combination of relocation and clock delay adjustment. In Proc. European Design Automation Conf., pages 384- 389, September 1993.
[13]
J. Monteiro, S. Devadas, and A. Ghosh. Retiming sequential circuits for low power. In Digest of Technical Papers of the 1993 IEEE International Conf. on CAD, pages 398-402, November 1993.
[14]
J.L. Neves and E. G. Friedman. Optimal clock skew scheduling tolerant to process variations. In Proc. 33rdACM/IEEE Design Automation Conf., pages 623-628, June 1996.
[15]
M. C. Papaefthymiou and K. H. Randall. TIM: a timing package for two-phase, level-clocked circuitry. In Proc. 30th ACM/IEEE Design Automation Conf., June 1993. Also available as an MIT VLSI Memo 92-693, October 1992.
[16]
N. Shenoy, R. K. Brayton, and A. Sangiovanni-Vincentelli. Retiming of circuits with single phase level-sensitive latches. In International Conf. on Computer Design, October 1991.
[17]
T. Soyata, E. G. Friedman, and J. H. Mulligan, Jr. Incorporating interconnect, register, and clock distribution delays into the retiming process. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 16(1): 105-120, January 1997.

Cited By

View all
  • (2018)Opportunistic Design Margining for Area and Power Efficient Processor Pipelines in Real Time ApplicationsJournal of Low Power Electronics and Applications10.3390/jlpea80200098:2(9)Online publication date: 21-Mar-2018
  • (2017)Adjustable Delay Buffer Allocation under Useful Clock Skew SchedulingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.259721336:4(641-654)Online publication date: 1-Apr-2017
  • (2015)Skew Bounded Buffer Tree Resynthesis For Clock Power OptimizationProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742119(87-90)Online publication date: 20-May-2015
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '99: Proceedings of the 36th annual ACM/IEEE Design Automation Conference
June 1999
1000 pages
ISBN:1581131097
DOI:10.1145/309847
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 June 1999

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

DAC99
Sponsor:
DAC99: The 36th ACM/IEEE-CAS/EDAC Design Automation Conference
June 21 - 25, 1999
Louisiana, New Orleans, USA

Acceptance Rates

DAC '99 Paper Acceptance Rate 154 of 451 submissions, 34%;
Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)51
  • Downloads (Last 6 weeks)4
Reflects downloads up to 16 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Opportunistic Design Margining for Area and Power Efficient Processor Pipelines in Real Time ApplicationsJournal of Low Power Electronics and Applications10.3390/jlpea80200098:2(9)Online publication date: 21-Mar-2018
  • (2017)Adjustable Delay Buffer Allocation under Useful Clock Skew SchedulingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.259721336:4(641-654)Online publication date: 1-Apr-2017
  • (2015)Skew Bounded Buffer Tree Resynthesis For Clock Power OptimizationProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742119(87-90)Online publication date: 20-May-2015
  • (2014)Clock tree resynthesis for multi-corner multi-mode timing closureProceedings of the 2014 on International symposium on physical design10.1145/2560519.2560524(69-76)Online publication date: 30-Mar-2014
  • (2012)Exploiting area/delay tradeoffs in high-level synthesisProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492963(1024-1029)Online publication date: 12-Mar-2012
  • (2011)Useful-skew clock optimization for multi-power mode designsProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132470(647-650)Online publication date: 7-Nov-2011
  • (2007)Soft-edge flip-flops for improved timing yieldProceedings of the 2007 IEEE/ACM international conference on Computer-aided design10.5555/1326073.1326214(667-673)Online publication date: 5-Nov-2007
  • (2006)Optimal useful clock skew scheduling in the presence of variations using robust ILP formulationsProceedings of the 2006 IEEE/ACM international conference on Computer-aided design10.1145/1233501.1233508(27-32)Online publication date: 5-Nov-2006
  • (2001)Clustering based fast clock scheduling for light clock-treeProceedings of the conference on Design, automation and test in Europe10.5555/367072.367198(240-245)Online publication date: 13-Mar-2001
  • (2000)A practical clock tree synthesis for semi-synchronous circuitsProceedings of the 2000 international symposium on Physical design10.1145/332357.332393(159-164)Online publication date: 1-May-2000

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media