[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
research-article

COTSon: infrastructure for full system simulation

Published: 01 January 2009 Publication History

Abstract

Simulation has historically been the primary technique used for evaluating the performance of new proposals in computer architecture. Speed and complexity considerations have traditionally limited its applicability to single-thread processors running application-level code. This is no longer sufficient to model modern multicore systems running the complex workloads of commercial interest today.
COTSon is a simulator framework jointly developed by HP Labs and AMD. The goal of COTSon is to provide fast and accurate evaluation of current and future computing systems, covering the full software stack and complete hardware models. It targets cluster-level systems composed of hundreds of commodity multicore nodes and their associated devices connected through a standard communication network. COTSon adopts a functional-directed philosophy, where fast functional emulators and timing models cooperate to improve the simulation accuracy at a speed sufficient to simulate the full stack of applications, middleware and OSs.
This paper describes the changes in simulation philosophy we embraced in COTSon to address these new challenges. We base functional emulation on established, fast and validated tools that support commodity OSs and complex multitier applications. Through a robust interface between the functional and timing domain, we can leverage other existing simulators for individual sub-components, such as disks or networks. We abandon the idea of "always-on" cycle-based simulation in favor of statistical sampling approaches that can trade accuracy for speed.
COTSon opens up a new dimension in the speed/accuracy space, allowing simulation of a cluster of nodes several orders of magnitude faster with a minimal accuracy loss.

References

[1]
Ambric. Massively Parallel Processor Array technology. http://www.ambric.com.
[2]
R. Bedicheck. SimNow: Fast platform simulation purely in software. In Hot Chips 16, Aug. 2004.
[3]
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, and M. Reif. TILE64 processor: A 64-core SoC with mesh interconnect. In Proceedings of the International Solid-State Circuits Conference (ISSCC 2008), Feb. 2008.
[4]
F. Bellard. QEMU, a fast and portable dynamic translator. In USENIX 2005 Annual Technical Conf., FREENIX Track, pages 41--46, Apr. 2005.
[5]
B. Calder. SimPoint. http://www.cse.ucsd.edu/~calder/simpoint.
[6]
J. Dorsey, S. Searles, M. Ciraula, S. Johnson, N. Bujanos, D. Wu, M. Braganza, S. Meyers, E. Fang, and R. Kumar. An integrated quad-core Opteron processor. In IEEE International Solid-State Circuits Conference (ISSCC 2007), Feb. 2007.
[7]
A. Falcón, P. Faraboschi, and D. Ortega. Combining simulation and virtualization through dynamic sampling. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems & Software, Apr. 2007.
[8]
A. Falcón, P. Faraboschi, and D. Ortega. An adaptive synchronization technique for parallel simulation of networked clusters. In Proc. of the 2008 IEEE International Symp. on Performance Analysis of Systems & Software, Apr. 2008.
[9]
R. M. Fujimoto. Parallel discrete event simulation. Commun. ACM, 33(10):30--53, 1990.
[10]
M. Gschwind, H. P. Hofstee, B. Flachs, M. Hopkins, Y. Watanabe, and T. Yamazaki. Synergistic processing in Cell's multicore architecture. IEEE Micro, 26(2):10--24, 2006.
[11]
T. Lafage and A. Seznec. Choosing representative slices of program execution for microarchitecture simulations: A preliminary application to the data stream. Workload Characterization of Emerging Computer applications, pages 145--163, 2001.
[12]
J. Lau, J. Sampson, E. Perelman, G. Hamerly, and B. Calder. The strong correlation between code signatures and performance. In Proceedings of the Intl. Symposium on Performance Analysis of Systems and Software, pages 236--247, Mar. 2005.
[13]
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood. Pin: Building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM Conference on Programming Language Design and Implementation (PLDI), 2005.
[14]
C. J. Mauer, M. D. Hill, and D. A. Wood. Full-system timing-first simulation. In SIGMETRICS '02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, pages 108--116, New York, NY, USA, 2002. ACM.
[15]
J. Misra. Distributed discrete-event simulation. ACM Comput. Surv., 18(1):39--65, 1986.
[16]
M. Monchiero, J.-H. Ahn, A. Falcón, D. Ortega, and P. Faraboschi. How to simulate 1000 cores. In Workshop on Design, Architecture and Simulation of Chip Multiprocessors (dasCMP'08), Nov. 2008.
[17]
NASA Ames Research Center. The NAS parallel benchmarks. http://www.nas.nasa.gov/Resources/Software/npb.html.
[18]
U. G. Nawathe, M. Hassan, L. Warriner, K. Yen, B. Upputuri, D. Greenhill, A. Kumar, and H. Park. An 8-core 64-thread 64-bit power efficient SPARC SoC (Niagara2). In Proceedings of the International Solid-State Circuits Conference (ISSCC 2007), pages 108--109, 2007.
[19]
J. C. Phillips, R. Braun, W. Wang, J. Gumbart, E. Tajkhorshid, E. Villa, C. Chipot, R. D. Skeel, L. Kale, and K. Schulten. Scalable molecular dynamics with NAMD. Journal of Computational Chemistry, 26(16):1781--1802, Oct. 2005.
[20]
M. Rosenblum. VMware's virtual platform: A virtual machine monitor for commodity PCs. In Hot Chips 11, Aug. 1999.
[21]
M. Rosenblum, S. A. Herrod, E. Witchel, and A. Gupta. Complete computer system simulation: The SimOS approach. IEEE Parallel Distrib. Technol., 3(4):34--43, 1995.
[22]
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In Proceedings of the 10th Intl. Conference on Architectural Support for Programming Languages and Operating Systems, pages 45--57, Oct. 2002.
[23]
A. Srivastava and A. Eustace. ATOM -- a system for building customized program analysis tools. In Proceedings of the ACM Conference on Programming Language Design and Implementation (PLDI), 1994.
[24]
Standard Performance Evaluation Corporation. SPEC CPU2000. http://www.spec.org/cpu2000.
[25]
S. Thoziyoor, J. H. Ahn, M. Monchiero, J. B. Brockman, and N. P. Jouppi. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In Proc. of the 35th Annual International Symposium on Computer Architecture, June 2008.
[26]
TOP500 Project. TOP500 Supercomputer Sites. http://www.top500.org.
[27]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. Corona: System implications of emerging nanophotonic technology. In ISCA '08: Proceedings of the 35th International Symposium on Computer Architecture, pages 153--164, 2008.
[28]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proc. of the 22nd Annual International Symposium on Computer Architecture, pages 24--36, June 1995.
[29]
R. E. Wunderlich, T. F. Wenisch, B. Falsafi, and J. C. Hoe. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In Proceedings of the 30th Annual Intl. Symposium on Computer Architecture, pages 84--97, June 2003.
[30]
J. J. Yi, L. Eeckhout, D. J. Lilja, B. Calder, L. K. John, and J. E. Smith. The future of simulation: A field of dreams. Computer, 39(11):22--29, 2006.
[31]
J. J. Yi, S. V. Kodakara, R. Sendag, D. J. Lilja, and D. M. Hawkins. Characterizing and comparing prevailing simulation techniques. In Proceedings of the 11th Intl. Conference on High Performance Computer Architecture, pages 266--277, Feb. 2005.

Cited By

View all
  • (2025)Survey of CPU and memory simulators in computer architecture: A comprehensive analysis including compiler integration and emerging technology applicationsSimulation Modelling Practice and Theory10.1016/j.simpat.2024.103032138(103032)Online publication date: Jan-2025
  • (2024)Pac-Sim: Simulation of Multi-threaded Workloads using Intelligent, Live SamplingACM Transactions on Architecture and Code Optimization10.1145/368054821:4(1-26)Online publication date: 20-Nov-2024
  • (2024)A Comparative Study on Simulation Frameworks for AI Accelerator Evaluation2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00073(321-328)Online publication date: 27-May-2024
  • Show More Cited By

Index Terms

  1. COTSon: infrastructure for full system simulation

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM SIGOPS Operating Systems Review
    ACM SIGOPS Operating Systems Review  Volume 43, Issue 1
    January 2009
    97 pages
    ISSN:0163-5980
    DOI:10.1145/1496909
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 January 2009
    Published in SIGOPS Volume 43, Issue 1

    Check for updates

    Author Tag

    1. full system simulation

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)64
    • Downloads (Last 6 weeks)8
    Reflects downloads up to 31 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2025)Survey of CPU and memory simulators in computer architecture: A comprehensive analysis including compiler integration and emerging technology applicationsSimulation Modelling Practice and Theory10.1016/j.simpat.2024.103032138(103032)Online publication date: Jan-2025
    • (2024)Pac-Sim: Simulation of Multi-threaded Workloads using Intelligent, Live SamplingACM Transactions on Architecture and Code Optimization10.1145/368054821:4(1-26)Online publication date: 20-Nov-2024
    • (2024)A Comparative Study on Simulation Frameworks for AI Accelerator Evaluation2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00073(321-328)Online publication date: 27-May-2024
    • (2024)Viper: Utilizing Hierarchical Program Structure to Accelerate Multi-Core SimulationIEEE Access10.1109/ACCESS.2024.335406912(17669-17678)Online publication date: 2024
    • (2023)Fast Behavioural RTL Simulation of 10B Transistor SoC Designs with Metro-Mpi2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137080(1-6)Online publication date: Apr-2023
    • (2023)Fast, Light-weight, and Accurate Performance Evaluation using Representative Datacenter BehaviorsProceedings of the 24th International Middleware Conference10.1145/3590140.3629117(220-233)Online publication date: 27-Nov-2023
    • (2023)Simulating Wrong-Path Instructions in Decoupled Functional-First Simulation2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS57527.2023.00021(124-133)Online publication date: Apr-2023
    • (2023)A survey on processing-in-memory techniques: Advances and challengesMemories - Materials, Devices, Circuits and Systems10.1016/j.memori.2022.1000224(100022)Online publication date: Jul-2023
    • (2022)MEGsim: A Novel Methodology for Efficient Simulation of Graphics Workloads in GPUs2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS55109.2022.00007(69-78)Online publication date: May-2022
    • (2021)gem5 + rtl: A Framework to Enable RTL Models Inside a Full-System SimulatorProceedings of the 50th International Conference on Parallel Processing10.1145/3472456.3472461(1-11)Online publication date: 9-Aug-2021
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media