[go: up one dir, main page]
More Web Proxy on the site http://driver.im/ skip to main content
10.1145/1128022.1128070acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
Article

Evaluation of the field-programmable cache: performance and energy consumption

Published: 03 May 2006 Publication History

Abstract

Many authors have proposed power management techniques for general-purpose processors at the cost of degraded performance such as lower IPC or longer delay. Some proposals have focused on cache memories because they consume a significant fraction of total microprocessor power. We propose a reconfigurable and adaptive cache microarchitecture based on field-programmable technology that is intended to deliver high performance at low energy consumption. In this paper, we evaluate the performance and energy consumption of a run-time algorithm when used to manage a field-programmable L1 data cache. The adaptation strategy is based on two techniques: a learning process provides the best cache configuration for each program phase, and a recognition process detects program phase changes by using data working-set signatures to activate a low-overhead reconfiguration mechanism. Our proposals achieve performance improvement and cache energy saving at the same time. Considering a design scenario driven by performance constraints, we show that processor execution time and cache energy consumption can be reduced on average by 15.2% and 9.9% compared to a non-adaptive high-performance microarchitecture. Alternatively, when energy saving is prioritized and considering a non-adaptive energy-efficient microarchitecture as baseline, cache energy and processor execution time are reduced on average by 46.7% and 9.4% respectively. In addition to comparing to conventional microarchitectures, we show that the proposed microarchitecture achieves better performance and more cache energy reduction than other configurable caches.

References

[1]
R. Bahar, G. Albera, and S. Manne. Power and performance tradeoffs using various caching strategies. In ISLPED'98: Proc. of the 1998 Intl. Symposium on Low Power Electronics and Design pages 64--69. ACM Press, 1998.]]
[2]
R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. A dynamically tunable memory hierarchy. IEEE Tran. Computers 52(10):1243--1257, 2003.]]
[3]
D. Benitez, J. Moure, D. Rexachs, and E. Luque. Performance and power evaluation of an intelligently adaptive data cache. Lecture Notes in Computer Science 3769: 363--375, December 2005.]]
[4]
D. Boggs, A. Baktha, J. Hawkins, D. Marr, J. Miller, P. Roussel, R. Singhal, B. Toll, and K. Venkatraman. The microarchitecture of the intel pentium 4 processor on 90nm technology. Intel Technology Journal http://developer.intel.com/technology/itj,February 2004.]]
[5]
D. Burger, T. M. Austin, and S. Bennett. Evaluating future microprocessors: The simplescalar tool set. Technical Report CS-TR-1996-1308, University of Wisconsin-Madison. Computer Sciencies Department, 1996.]]
[6]
A. S. Dhodapkarand J. E. Smith. Managing multi-configuration hardware via dynamic working set analysis. In ISCA'02: Proc. of the 29th Intl. Symposium on Computer Architecture pages 233--244. IEEE Computer Society, 2002.]]
[7]
A. S. Dhodapkar and J. E. Smith. Comparing program phase detection techniques. In MICRO'03: Proc. of the 36th IEEE/ACM Intl. Symposium on Microarchitecture pages 217--227. IEEE Computer Society,2003.]]
[8]
R. Duda, P. Hart, and D. Stork. Pattern Classification Wiley Interscience, 2nd edition, 2000.]]
[9]
M. Epalza, P. Ienne, and D. Mlynek. Adding limited reconfigurability to superscalar processors. In PACT'04: Proc. of the 13th Intl. Conference on Parallel Architectures and Compilation Techniques pages 53--62.IEEE Computer Society,2004.]]
[10]
Z. Hu, S. Kaxiras, and M. Martonosi. Timekeeping in the memory system:predicting and optimizing memory behavior. SIGARCH Comput. Archit. News 30(2):209--220,2002.]]
[11]
M. C. Huang, J. Renau, and J. Torrellas. Positional adaptation of processors: application to energy reduction. In ISCA'03: Proc. of the 30th Intl. Symposium on Computer Architecture pages 157--168.ACM Press,2003.]]
[12]
Intel. Intel XScale Microarchitecture for the PXA255 Processor. User Manual Intel, 2003.]]
[13]
C. Kim, D. Burger, and S. W. Keckler. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. In ASPLOS-X: Proc. of the 10th Intl. Conference on Architectural Support for Programming Languages and Operating Systems pages 211--222.ACM Press,2002.]]
[14]
J. Kin, M. Gupta,and W. H. Mangione-Smith. The filter cache: an energy efficient memory structure. In MICRO'97: Proc. of the 30th ACM/IEEE Intl. Symposium on Microarchitecture pages 184--193. IEEE Computer Society, 1997.]]
[15]
C. Lee and D. A. Landgrebe. Feature extraction based on decision boundaries. IEEE Trans. Pattern Anal. Mach. Intell. 15(4):388--400,1993.]]
[16]
G. Magklis, M. L. Scott, G. Semeraro, D. H. Albonesi, and S. Dropsho. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor. In ISCA'03: Proc. of the 30th Intl. Symposium on Computer Architecture pages 14--27. ACM Press, 2003.]]
[17]
P. Shivakumar and N. Jouppi. Cacti 3.0: An integrated cache timing, power, and area model. Technical Report 2001/2, Compact WRL, 2001.]]
[18]
P. Ranganathan, S. Adve, and N. P. Jouppi. Reconfigurable caches and their application to media processing. In ISCA'00: Proc. of the 27th Intl. Symposium on Computer Architecture pages 214--224. ACM Press,2000.]]
[19]
G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, and M. L. Scott. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In HPCA'02: Proc. of the 8th Intl. Symposium on High-Performance Computer Architecture pages 29--42.IEEE Computer Society, 2002.]]
[20]
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In ASPLOS-X: Proc. of the 10th Intl. Conference on Architectural Support for Programming Languages and Operating Systems pages 45--57. ACM Press, 2002.]]
[21]
T. Sherwood, S. Sair, and B. Calder. Phase tracking and prediction. In Proc. of the 30th Intl. Symposium on Computer Architecture pages 336--349. ACM Press, 2003.]]
[22]
S. Vassiliadis, S. Wong, and S. Cotofana. The MOLEN ñ μ-coded processor. Lecture Notes in Computer Science 2147: 275--285,2001.]]
[23]
S. H. Yang, B. Falsa ., M. D. Powell, andT. N. Vijaykumar. Exploiting choice in resizable cache design to optimize deep-submicron processor energy-delay. In HPCA'02: Proc. of the 8th Intl. Symposium on High-Performance Computer Architecture page 151. IEEE Computer Society, 2002.]]
[24]
A. Ye, A. Moshovos, S. Hauck, and P. Banerjee. Chimaera: A high-performance architecture with a tightly-coupled reconfigurable functional unit. In Proc. of the 27th Intl. Symposium on Computer Architecture pages 225--235, June 2000.]]
[25]
C. Zhang, F. Vahid, and W. Najjar. A highly configurable cache architecture for embedded systems. In ISCA'03: Proc. of the 30th Intl. Symposium on Computer Architecture pages 136--146. ACM Press, 2003.]]

Cited By

View all
  • (2013)A survey on cache tuning from a power/energy perspectiveACM Computing Surveys10.1145/2480741.248074945:3(1-49)Online publication date: 3-Jul-2013
  • (2010)Studying Filter Cache Bypassing on Embedded SystemsProceedings of the 2010 10th IEEE International Conference on Computer and Information Technology10.1109/CIT.2010.296(1679-1686)Online publication date: 29-Jun-2010
  • (2009)Instruction Hints for Super Efficient Data CachesProceedings of the 9th International Conference on Computational Science10.1007/978-3-642-01973-9_76(677-685)Online publication date: 25-May-2009
  • Show More Cited By

Index Terms

  1. Evaluation of the field-programmable cache: performance and energy consumption

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CF '06: Proceedings of the 3rd conference on Computing frontiers
    May 2006
    430 pages
    ISBN:1595933026
    DOI:10.1145/1128022
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 May 2006

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. adaptive processors
    2. performance evaluation
    3. reconfigurable cache memory
    4. run-time adaptation
    5. static and dynamic energy consumption

    Qualifiers

    • Article

    Conference

    CF06
    Sponsor:
    CF06: Computing Frontiers Conference
    May 3 - 5, 2006
    Ischia, Italy

    Acceptance Rates

    Overall Acceptance Rate 273 of 785 submissions, 35%

    Upcoming Conference

    CF '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 09 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2013)A survey on cache tuning from a power/energy perspectiveACM Computing Surveys10.1145/2480741.248074945:3(1-49)Online publication date: 3-Jul-2013
    • (2010)Studying Filter Cache Bypassing on Embedded SystemsProceedings of the 2010 10th IEEE International Conference on Computer and Information Technology10.1109/CIT.2010.296(1679-1686)Online publication date: 29-Jun-2010
    • (2009)Instruction Hints for Super Efficient Data CachesProceedings of the 9th International Conference on Computational Science10.1007/978-3-642-01973-9_76(677-685)Online publication date: 25-May-2009
    • (2008)Evaluating the Cache Architecture of Multicore ProcessorsProceedings of the 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008)10.1109/PDP.2008.22(12-19)Online publication date: 13-Feb-2008
    • (2008)Performance advantage of reconfigurable cache design on multicore processor systemsInternational Journal of Parallel Programming10.1007/s10766-008-0075-436:3(347-360)Online publication date: 1-Jun-2008
    • (2007)CMP Cache Architecture and the OpenMP PerformanceProceedings of the 3rd international workshop on OpenMP: A Practical Programming Model for the Multi-Core Era10.1007/978-3-540-69303-1_7(77-88)Online publication date: 3-Jun-2007

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media