US6369430B1 - Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same - Google Patents
Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same Download PDFInfo
- Publication number
- US6369430B1 US6369430B1 US09/823,310 US82331001A US6369430B1 US 6369430 B1 US6369430 B1 US 6369430B1 US 82331001 A US82331001 A US 82331001A US 6369430 B1 US6369430 B1 US 6369430B1
- Authority
- US
- United States
- Prior art keywords
- void
- semiconductor substrate
- contact holes
- doped region
- over
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
- H01L21/823425—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
Definitions
- This invention pertains, in general, to semiconductor processing and, more specifically, to forming an integrated circuit device with a contact hole.
- Interconnect technology is a factor in the ability to reduce the area of integrated circuit devices.
- Multi-level metallization layouts have assisted dimension shrinkage by having multiple metal lines share the same area of the device.
- a dielectric layer termed an interlevel dielectric layer (ILD) or a pre-metal dielectric (PMD) layer, is formed between the first metal layer and the transistors.
- the dielectric layer is formed to isolate the metal layer from the transistors.
- a contact hole is etched within the ILD layer and filled with a conductive material to form a contact plug.
- a contact hole is formed between two transistors. These contact holes are desirably small so the transistors may be close together but must also be reliable. There, thus, is a need for contacts that are reliable and allow for transistors to be close together.
- FIGS. 1 and 2 are cross-sections of a portion of a semiconductor substrate illustrating voids in accordance with the present invention.
- FIGS. 3 and 4 are a portion of a semiconductor substrate illustrating forming an opening in accordance with the present invention.
- FIGS. 5 and 6 illustrate a portion of the semiconductor substrate showing a first liner layer in accordance with the present invention.
- FIGS. 7 and 8 show a portion of a semiconductor substrate after etching the first liner layer in accordance with the present invention.
- FIGS. 9 and 10 illustrate a portion of a semiconductor substrate with an adhesion layer and metal layer in the contact opening as in accordance with the present invention.
- FIG. 11 illustrates a top view of a portion of a semiconductor substrate in accordance with the present invention.
- the distance between transistors decreases.
- the aspect ratio of the height of the transistor stack (gate oxide, gate electrode and capping layer) and the deposited layer to the distance between the transistors is too great.
- the deposited layer does not completely fill the area between the transistors due to the dimensions of the area.
- An example of an aspect ratio that will typically result in a void is approximately 3 to 1. The inventors have discovered that if the void is formed where a contact plug will later be formed, it is possible for the metal layer within the contact plug to substantially fill the void and cause an undesirable short.
- the metal layer Since the void 240 often extends from one active area 210 to another active area 210 , as shown in FIG. 11, it is possible for the metal layer to form a stringer if the metal substantially fills the void. Undesirably, the stringer shorts contacts 230 , and, thus, the active areas 210 together. Thus, it is necessary to prevent the metal from substantially filling void 240 .
- Applicants have discovered that by forming a liner layer, which is preferably deposited by chemical vapor deposition (CVD), within the contact opening, the opening of the void can be blocked, thereby, preventing the stringer from being formed.
- CVD chemical vapor deposition
- FIG. 1 illustrates, in a first direction, void 90 is formed as a result of two transistors being close together, which Applicants have discovered.
- Doped regions 20 are formed within semiconductor substrate 10 .
- Substrate 10 can be monocrystalline or polycrystalline silicon, gallium arsenide, germanium, silicon-on-insulator (SOI), and the like.
- the substrate 10 is monocrystalline silicon and doped regions 20 are formed by ion implantation.
- doped regions 20 can be formed by doping substrate 10 with phosphorus, boron, or arsenic depending on the conductivity type required.
- silicide regions 30 are formed using conventional processing.
- Gate dielectric 40 can be SiO 2 , a mid-k dielectric, such as SiO x Ny and silicon nitride, or a high K dielectric material such as ZrO 2 , HfO 2 and silicates.
- Gate electrode 50 is formed over gate dielectric 40 and is, typically, polysilicon. In other embodiments, gate electrodes can comprise a metal.
- Spacers 70 and capping layer 60 are, typically, dielectric materials. For example, they can be silicon nitride. Spacer 70 and capping layer 60 may or may not be the same material.
- an etch stop layer ESL
- Any insulator with an etch selectivity to the subsequently formed ILD of less than 1 to 1 can be used for the ESL.
- the ESL is a 500 Angstrom thick nitride.
- Interlevel dielectric layer (ILD) 80 is deposited over substrate 10 .
- ILD layer 80 is nitride silicate glass oxide, undoped silicate glass oxide, SOG oxide, metal oxide, and more preferably, undoped TEOS (tetraethylorthosilicate) oxide. It is desirable to dope ILD layer 80 , because a doped oxide is, generally, easier to etch. Also, the doped oxide getters defects that can degrade the underlying transistors.
- the distance between the two shown transistors is approximately 100 nanometers and the thickness of the transistor stack and ILD layer 80 is approximately 300 nanometers, resulting in an aspect ratio of approximately 3 to 1.
- Void 90 is formed during the deposition of ILD layer 80 due to the high aspect ratio of the area between the transistors.
- more transistors are usually formed.
- at least two additional transistors are formed in a row either behind or in front of the two shown transistors in FIG. 1 .
- THE two illustrated silicide regions 30 within shared doped regions 20 separate two pairs of transistors, each pair sharing doped regions 20 .
- FIG. 2 illustrates a cross-section in a second direction, which is along the void.
- a photoresist layer (not shown) is deposited over ILD layer 80 of FIGS. 1 and 2 and patterned for contact holes having sidewalls and bottoms.
- Contact hole 100 is then etched within ILD layer 80 between the two shown transistors of FIG. 1 and over a first shared doped region, resulting in the cross-sections of FIGS. 3 and 4.
- void 90 is not shown in FIG. 3, it still exists. As shown in FIG. 4, void 90 undesirably connects the contact holes 100 over the first shared dope region 20 and second shared doped region 20 shared by two other transistors.
- Liner layer 110 can be a conductive material or a dielectric material such as an oxide, a spin-on-glass (SOG), metal oxide, or a nitride layer.
- Liner layer 110 can be formed by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD) and the like. Physical vapor deposition (PVD), however, is less desirable because PVD films, generally, will not sufficiently constrict exposed void 90 .
- CVD chemical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- ALD atomic layer deposition
- liner layer 110 is undoped TEOS formed by PECVD, to keep the thermal budget low.
- the thickness of liner layer 110 is at most approximately half of the width of contact hole 100 or, more preferably, is approximately ten percent of the contact hole.
- a 100 Angstrom liner layer has been shown to be sufficient within a 1000 Angstrom wide contact hole.
- liner layer 110 may extend into void 90 .
- Liner layer 110 should decrease the size of the opening to void 90 , and may completely cover the opening of void 90 . Both are sufficient for preventing subsequently formed metal layer from filling void 90 , as will be further explained.
- a portion of liner layer 110 may be subsequently removed in order to expose silicide region 30 that lies between the two transistors. If liner layer 110 is a conductive material, a portion of liner layer need not be removed. If, however, liner layer 110 is a nonconductive material, then a portion of liner layer 110 may need to be removed in order to form an electrical connection between the subsequently formed metal layer and a conductive portion of the substrate, such as the silicide region or active region between the transistors. In one embodiment, a dry etch, typically used to etch the material chosen for liner layer 110 , is used to remove a portion liner layer 110 to expose silicide region 30 , as shown in FIG. 7 .
- the removal process should be anisotropic in order to leave liner layer 110 substantially covering the vertical sidewalls of contact hole 100 , as shown in FIG. 8 .
- any other process that removes liner layer 110 from the bottom of the contact hole and does not remove a significant portion of the liner layer covering void 90 can be used.
- liner layer 110 reduces the size of the opening of void 90 so that a conductive layer cannot form a stringer in the void.
- liner layer 110 reduces the size of the opening so much that there is no longer an opening.
- Second liner layer 120 can be a single material or could be a stack of materials. In the event that second liner layer 120 is a stack of materials, one material or group of materials could be chosen because of the good adhesion properties to the liner layer 110 and/or subsequently formed metal layer 130 . The other material or materials in the stack may be chosen because they decrease the contact resistance of the overall conductive plug after metal layer 120 is formed. Second liner layer 120 can be formed by PVD, CVD, metal organic chemical vapor deposition (MOCVD), ALD, any combination of the above, and the like.
- MOCVD metal organic chemical vapor deposition
- Any refractory metal or refractory metal nitride such as titanium nitride, titanium, tantalum, tantalum nitride, tungsten nitride (WN, W 2 N), can be used for second liner layer 120 either alone or in combination.
- metal layer 130 is formed.
- Metal layer 130 can be formed by CVD, PVD, ALD, combinations of the above, or the like. Any metal material can be used for a metal layer, preferably tungsten, aluminum or copper is used. If aluminum is used, a reflow may need to be performed after deposition of metal layer 130 .
- Metal layer 130 is approximately 1,000 to 10,000 angstroms thick or more preferably approximately 1,500 to 5,000 Angstroms in thickness.
- a chemical mechanical polishing process is used to planarize metal layer 130 and remove second liner layer 120 from the top surface of ILD layer 80 .
- metal layer 130 and portions of second liner layer 120 are etched back to produce the structure shown in FIG. 9 . As shown in FIG.
- second liner layer 120 may or may not decrease the opening of void 90 .
- portions of second liner layer 120 may actually fill the hole opening left by liner layer 110 .
- first liner layer 110 and second liner layer 120 prevent metal layer 130 from substantially filling void 90 and, thus, shorting of the contact holes is avoided. In many of the mentioned cases, metal layer 130 does not substantially fill void 90 and thus does not short the contact holes.
- FIG. 11 shows a top view of a portion of a semiconductor substrate after the process described in regards to FIGS. 1-10.
- the gate stack or transistors are located at the intersection of void lines 200 and active areas 210 . Active areas 210 are separated from each other by isolation regions 220 .
- Contacts 230 comprise metal layer 130 , second liner layer 120 and liner layer 110 . Contacts 230 are connected by voids 240 . If contact 230 does not comprise liner layer 110 , voids 240 will be filled with metal layer 130 and thus create stringers which short active areas 210 to each other.
- the liner layer previously described may be used for other reasons than substantially covering a void.
- the liner layer may be useful as an adhesion layer.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
Claims (14)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/823,310 US6369430B1 (en) | 2001-04-02 | 2001-04-02 | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/823,310 US6369430B1 (en) | 2001-04-02 | 2001-04-02 | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
Publications (1)
Publication Number | Publication Date |
---|---|
US6369430B1 true US6369430B1 (en) | 2002-04-09 |
Family
ID=25238389
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/823,310 Expired - Fee Related US6369430B1 (en) | 2001-04-02 | 2001-04-02 | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
Country Status (1)
Country | Link |
---|---|
US (1) | US6369430B1 (en) |
Cited By (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020195709A1 (en) * | 1996-06-03 | 2002-12-26 | Micron Technology, Inc. | Method for forming a metallization layer |
US20020197863A1 (en) * | 2001-06-20 | 2002-12-26 | Mak Alfred W. | System and method to form a composite film stack utilizing sequential deposition techniques |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20030104126A1 (en) * | 2001-10-10 | 2003-06-05 | Hongbin Fang | Method for depositing refractory metal layers employing sequential deposition techniques |
US20030127043A1 (en) * | 2001-07-13 | 2003-07-10 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20030153181A1 (en) * | 2002-02-11 | 2003-08-14 | Applied Materials, Inc. | Deposition of tungsten films |
US20030161952A1 (en) * | 2002-02-26 | 2003-08-28 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20030190423A1 (en) * | 2002-04-08 | 2003-10-09 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US20030190497A1 (en) * | 2002-04-08 | 2003-10-09 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6660619B1 (en) * | 2001-01-31 | 2003-12-09 | Advanced Micro Devices, Inc. | Dual damascene metal interconnect structure with dielectric studs |
US20030232497A1 (en) * | 2002-04-16 | 2003-12-18 | Ming Xi | System and method for forming an integrated barrier layer |
US20040014315A1 (en) * | 2001-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of composite tungsten films |
US20040018723A1 (en) * | 2000-06-27 | 2004-01-29 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040127000A1 (en) * | 2002-12-31 | 2004-07-01 | Luigi Colombo | High-K gate dielectric defect gettering using dopants |
US20040209465A1 (en) * | 2000-06-28 | 2004-10-21 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US6818517B1 (en) * | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20050009325A1 (en) * | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US6867101B1 (en) * | 2001-04-04 | 2005-03-15 | Advanced Micro Devices, Inc. | Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed |
US20050059241A1 (en) * | 2000-06-28 | 2005-03-17 | Moris Kori | Method and system for controlling the presence of fluorine in refractory metal layers |
US20050282350A1 (en) * | 2004-06-22 | 2005-12-22 | You-Hua Chou | Atomic layer deposition for filling a gap between devices |
US20050287798A1 (en) * | 2004-06-28 | 2005-12-29 | International Business Machines Corporation | Preventing cavitation in high aspect ratio dielectric regions of semiconductor device |
US20060075966A1 (en) * | 2002-01-26 | 2006-04-13 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US20060128150A1 (en) * | 2004-12-10 | 2006-06-15 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US20080268171A1 (en) * | 2005-11-04 | 2008-10-30 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20080272492A1 (en) * | 2007-05-01 | 2008-11-06 | Freescale Semiconductor, Inc. | Method of blocking a void during contact formation process and device having the same |
US20080272410A1 (en) * | 2007-05-02 | 2008-11-06 | Chung-Te Lin | Self-Aligned Spacer Contact |
US7670945B2 (en) | 1998-10-01 | 2010-03-02 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7732325B2 (en) | 2002-01-26 | 2010-06-08 | Applied Materials, Inc. | Plasma-enhanced cyclic layer deposition process for barrier layers |
US7745333B2 (en) | 2000-06-28 | 2010-06-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7780788B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
CN102214687A (en) * | 2010-04-07 | 2011-10-12 | 中国科学院微电子研究所 | Gate stack structure, semiconductor device and manufacturing method of gate stack structure and semiconductor device |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US8187970B2 (en) | 2001-07-25 | 2012-05-29 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8409956B1 (en) * | 2011-10-27 | 2013-04-02 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices using self-aligned contact formation techniques |
US20150137378A1 (en) * | 2013-11-15 | 2015-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device having Voids and Method of Forming Same |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US9337094B1 (en) | 2015-01-05 | 2016-05-10 | International Business Machines Corporation | Method of forming contact useful in replacement metal gate processing and related semiconductor structure |
EP3454377A1 (en) * | 2017-09-08 | 2019-03-13 | Renesas Electronics Corporation | Semiconductor device and manufacturing method therefor |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6184073B1 (en) | 1997-12-23 | 2001-02-06 | Motorola, Inc. | Process for forming a semiconductor device having an interconnect or conductive film electrically insulated from a conductive member or region |
US6228731B1 (en) * | 1999-08-16 | 2001-05-08 | Taiwan Semiconductor Manufacturing Company | Re-etched spacer process for a self-aligned structure |
US6274426B1 (en) * | 1999-02-25 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Self-aligned contact process for a crown shaped dynamic random access memory capacitor structure |
-
2001
- 2001-04-02 US US09/823,310 patent/US6369430B1/en not_active Expired - Fee Related
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6184073B1 (en) | 1997-12-23 | 2001-02-06 | Motorola, Inc. | Process for forming a semiconductor device having an interconnect or conductive film electrically insulated from a conductive member or region |
US6274426B1 (en) * | 1999-02-25 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Self-aligned contact process for a crown shaped dynamic random access memory capacitor structure |
US6228731B1 (en) * | 1999-08-16 | 2001-05-08 | Taiwan Semiconductor Manufacturing Company | Re-etched spacer process for a self-aligned structure |
Cited By (97)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7126195B1 (en) * | 1996-06-03 | 2006-10-24 | Micron Technology, Inc. | Method for forming a metallization layer |
US20040192003A1 (en) * | 1996-06-03 | 2004-09-30 | Micron Technology, Inc. | Method for forming a metallization layer |
US20020195709A1 (en) * | 1996-06-03 | 2002-12-26 | Micron Technology, Inc. | Method for forming a metallization layer |
US7189317B2 (en) | 1996-06-03 | 2007-03-13 | Micron Technology, Inc. | Semiconductor manufacturing system for forming metallization layer |
US6753254B2 (en) | 1996-06-03 | 2004-06-22 | Micron Technology, Inc. | Method for forming a metallization layer |
US7276442B2 (en) | 1996-06-03 | 2007-10-02 | Micron Technology, Inc. | Method for forming a metallization layer |
US7670945B2 (en) | 1998-10-01 | 2010-03-02 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US20040018723A1 (en) * | 2000-06-27 | 2004-01-29 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6831004B2 (en) | 2000-06-27 | 2004-12-14 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7709385B2 (en) | 2000-06-28 | 2010-05-04 | Applied Materials, Inc. | Method for depositing tungsten-containing layers by vapor deposition techniques |
US7674715B2 (en) | 2000-06-28 | 2010-03-09 | Applied Materials, Inc. | Method for forming tungsten materials during vapor deposition processes |
US20060128132A1 (en) * | 2000-06-28 | 2006-06-15 | Applied Materials, Inc. | Method and system for controlling the presence of fluorine in refractory metal layers |
US7465665B2 (en) | 2000-06-28 | 2008-12-16 | Applied Materials, Inc. | Method for depositing tungsten-containing layers by vapor deposition techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7745333B2 (en) | 2000-06-28 | 2010-06-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7220673B2 (en) | 2000-06-28 | 2007-05-22 | Applied Materials, Inc. | Method for depositing tungsten-containing layers by vapor deposition techniques |
US7846840B2 (en) | 2000-06-28 | 2010-12-07 | Applied Materials, Inc. | Method for forming tungsten materials during vapor deposition processes |
US20040209465A1 (en) * | 2000-06-28 | 2004-10-21 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US20050059241A1 (en) * | 2000-06-28 | 2005-03-17 | Moris Kori | Method and system for controlling the presence of fluorine in refractory metal layers |
US20060264031A1 (en) * | 2000-06-28 | 2006-11-23 | Ming Xi | Method for depositing tungsten-containing layers by vapor deposition techniques |
US6660619B1 (en) * | 2001-01-31 | 2003-12-09 | Advanced Micro Devices, Inc. | Dual damascene metal interconnect structure with dielectric studs |
US6867101B1 (en) * | 2001-04-04 | 2005-03-15 | Advanced Micro Devices, Inc. | Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US20020197863A1 (en) * | 2001-06-20 | 2002-12-26 | Mak Alfred W. | System and method to form a composite film stack utilizing sequential deposition techniques |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US20030127043A1 (en) * | 2001-07-13 | 2003-07-10 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US7695563B2 (en) | 2001-07-13 | 2010-04-13 | Applied Materials, Inc. | Pulsed deposition process for tungsten nucleation |
US7749815B2 (en) | 2001-07-16 | 2010-07-06 | Applied Materials, Inc. | Methods for depositing tungsten after surface treatment |
US6939804B2 (en) | 2001-07-16 | 2005-09-06 | Applied Materials, Inc. | Formation of composite tungsten films |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7238552B2 (en) | 2001-07-16 | 2007-07-03 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7384867B2 (en) | 2001-07-16 | 2008-06-10 | Applied Materials, Inc. | Formation of composite tungsten films |
US7605083B2 (en) | 2001-07-16 | 2009-10-20 | Applied Materials, Inc. | Formation of composite tungsten films |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20040014315A1 (en) * | 2001-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of composite tungsten films |
US20050287807A1 (en) * | 2001-07-16 | 2005-12-29 | Applied Materials, Inc. | Formation of composite tungsten films |
US8187970B2 (en) | 2001-07-25 | 2012-05-29 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8563424B2 (en) | 2001-07-25 | 2013-10-22 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US9209074B2 (en) | 2001-07-25 | 2015-12-08 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20060040052A1 (en) * | 2001-10-10 | 2006-02-23 | Hongbin Fang | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US20030104126A1 (en) * | 2001-10-10 | 2003-06-05 | Hongbin Fang | Method for depositing refractory metal layers employing sequential deposition techniques |
US6797340B2 (en) | 2001-10-10 | 2004-09-28 | Applied Materials, Inc. | Method for depositing refractory metal layers employing sequential deposition techniques |
US20040247788A1 (en) * | 2001-10-10 | 2004-12-09 | Hongbin Fang | Method for depositing refractory metal layers employing sequential deposition techniques |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7780788B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US8668776B2 (en) | 2001-10-26 | 2014-03-11 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US7732325B2 (en) | 2002-01-26 | 2010-06-08 | Applied Materials, Inc. | Plasma-enhanced cyclic layer deposition process for barrier layers |
US7779784B2 (en) | 2002-01-26 | 2010-08-24 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US20060075966A1 (en) * | 2002-01-26 | 2006-04-13 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US20030153181A1 (en) * | 2002-02-11 | 2003-08-14 | Applied Materials, Inc. | Deposition of tungsten films |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US7745329B2 (en) | 2002-02-26 | 2010-06-29 | Applied Materials, Inc. | Tungsten nitride atomic layer deposition processes |
US20030161952A1 (en) * | 2002-02-26 | 2003-08-28 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20050176240A1 (en) * | 2002-02-26 | 2005-08-11 | Shulin Wang | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20030190423A1 (en) * | 2002-04-08 | 2003-10-09 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US20030190497A1 (en) * | 2002-04-08 | 2003-10-09 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US7867914B2 (en) | 2002-04-16 | 2011-01-11 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20080014352A1 (en) * | 2002-04-16 | 2008-01-17 | Ming Xi | System and method for forming an integrated barrier layer |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030232497A1 (en) * | 2002-04-16 | 2003-12-18 | Ming Xi | System and method for forming an integrated barrier layer |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040127000A1 (en) * | 2002-12-31 | 2004-07-01 | Luigi Colombo | High-K gate dielectric defect gettering using dopants |
US7015088B2 (en) | 2002-12-31 | 2006-03-21 | Texas Instruments Incorporated | High-K gate dielectric defect gettering using dopants |
US20050009325A1 (en) * | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US7211508B2 (en) | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
US6818517B1 (en) * | 2003-08-29 | 2004-11-16 | Asm International N.V. | Methods of depositing two or more layers on a substrate in situ |
US20050282350A1 (en) * | 2004-06-22 | 2005-12-22 | You-Hua Chou | Atomic layer deposition for filling a gap between devices |
US20050287798A1 (en) * | 2004-06-28 | 2005-12-29 | International Business Machines Corporation | Preventing cavitation in high aspect ratio dielectric regions of semiconductor device |
US7683434B2 (en) | 2004-06-28 | 2010-03-23 | International Business Machines Corporation | Preventing cavitation in high aspect ratio dielectric regions of semiconductor device |
US20080303070A1 (en) * | 2004-06-28 | 2008-12-11 | Agnello Paul D | Preventing cavitation in high aspect ratio dielectric regions of semiconductor device |
US7459384B2 (en) * | 2004-06-28 | 2008-12-02 | International Business Machines Corporation | Preventing cavitation in high aspect ratio dielectric regions of semiconductor device |
US20060128150A1 (en) * | 2004-12-10 | 2006-06-15 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US20080268171A1 (en) * | 2005-11-04 | 2008-10-30 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US9032906B2 (en) | 2005-11-04 | 2015-05-19 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7651939B2 (en) * | 2007-05-01 | 2010-01-26 | Freescale Semiconductor, Inc | Method of blocking a void during contact formation |
US20080272492A1 (en) * | 2007-05-01 | 2008-11-06 | Freescale Semiconductor, Inc. | Method of blocking a void during contact formation process and device having the same |
US20080272410A1 (en) * | 2007-05-02 | 2008-11-06 | Chung-Te Lin | Self-Aligned Spacer Contact |
CN102214687A (en) * | 2010-04-07 | 2011-10-12 | 中国科学院微电子研究所 | Gate stack structure, semiconductor device and manufacturing method of gate stack structure and semiconductor device |
US8409956B1 (en) * | 2011-10-27 | 2013-04-02 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices using self-aligned contact formation techniques |
US20150137378A1 (en) * | 2013-11-15 | 2015-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device having Voids and Method of Forming Same |
US10269634B2 (en) * | 2013-11-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having voids and method of forming same |
US10784160B2 (en) | 2013-11-15 | 2020-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having voids and method of forming same |
US11011421B2 (en) | 2013-11-15 | 2021-05-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having voids and method of forming same |
US9337094B1 (en) | 2015-01-05 | 2016-05-10 | International Business Machines Corporation | Method of forming contact useful in replacement metal gate processing and related semiconductor structure |
EP3454377A1 (en) * | 2017-09-08 | 2019-03-13 | Renesas Electronics Corporation | Semiconductor device and manufacturing method therefor |
US10644017B2 (en) | 2017-09-08 | 2020-05-05 | Renesas Electronics Corporation | Semiconductor device and manufacturing method therefor |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6369430B1 (en) | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same | |
US11837501B2 (en) | Selective recessing to form a fully aligned via | |
US7651939B2 (en) | Method of blocking a void during contact formation | |
KR101606246B1 (en) | Replacement metal gate with borderless contact | |
US7405112B2 (en) | Low contact resistance CMOS circuits and methods for their fabrication | |
US5408130A (en) | Interconnection structure for conductive layers | |
US6583460B1 (en) | Method of forming a metal to polysilicon contact in oxygen environment | |
US8633520B2 (en) | Semiconductor device | |
US5874317A (en) | Trench isolation for integrated circuits | |
US10326002B1 (en) | Self-aligned gate contact and cross-coupling contact formation | |
US12034041B2 (en) | Semiconductor devices having gate isolation layers | |
US6833291B2 (en) | Semiconductor processing methods | |
US20240055493A1 (en) | Semiconductor device | |
US10916470B2 (en) | Modified dielectric fill between the contacts of field-effect transistors | |
US11621332B2 (en) | Wraparound contact to a buried power rail | |
US20110266685A1 (en) | Semiconductor Device Comprising Sophisticated Conductive Elements in a Dielectric Material System Formed by Using a Barrier Layer | |
US20230120532A1 (en) | Semiconductor device including air gap | |
US20030036240A1 (en) | Method of simultaneous formation of local interconnect and gate electrode | |
US20240321982A1 (en) | Middle of line contact for advanced nodes | |
US20240371729A1 (en) | Backside self aligned skip via | |
US10573553B2 (en) | Semiconductor product and fabrication process | |
US10629516B2 (en) | Hybrid dual damascene structures with enlarged contacts | |
EP0929100A2 (en) | Process for controlling the height of a stud intersecting an interconnect | |
US20070010089A1 (en) | Method of forming bit line of semiconductor device | |
CN115223995A (en) | Semiconductor memory structure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: MOTOROLA, INC., ILLINOIS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADETUTU, OLUBUNMI O.;LII, YEONG-JYH T.;GRUDOWSKI, PAUL A.;REEL/FRAME:011707/0852 Effective date: 20010323 |
|
AS | Assignment |
Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOTOROLA, INC.;REEL/FRAME:015698/0657 Effective date: 20040404 Owner name: FREESCALE SEMICONDUCTOR, INC.,TEXAS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOTOROLA, INC.;REEL/FRAME:015698/0657 Effective date: 20040404 |
|
FPAY | Fee payment |
Year of fee payment: 4 |
|
AS | Assignment |
Owner name: CITIBANK, N.A. AS COLLATERAL AGENT, NEW YORK Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129 Effective date: 20061201 Owner name: CITIBANK, N.A. AS COLLATERAL AGENT,NEW YORK Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129 Effective date: 20061201 |
|
REMI | Maintenance fee reminder mailed | ||
LAPS | Lapse for failure to pay maintenance fees | ||
STCH | Information on status: patent discontinuation |
Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362 |
|
FP | Lapsed due to failure to pay maintenance fee |
Effective date: 20100409 |
|
AS | Assignment |
Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS Free format text: PATENT RELEASE;ASSIGNOR:CITIBANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:037354/0225 Effective date: 20151207 |