US20090152636A1 - High-k/metal gate stack using capping layer methods, ic and related transistors - Google Patents
High-k/metal gate stack using capping layer methods, ic and related transistors Download PDFInfo
- Publication number
- US20090152636A1 US20090152636A1 US11/954,749 US95474907A US2009152636A1 US 20090152636 A1 US20090152636 A1 US 20090152636A1 US 95474907 A US95474907 A US 95474907A US 2009152636 A1 US2009152636 A1 US 2009152636A1
- Authority
- US
- United States
- Prior art keywords
- oxide
- metal
- dielectric layer
- over
- type transistor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 99
- 239000002184 metal Substances 0.000 title claims abstract description 99
- 238000000034 method Methods 0.000 title claims abstract description 29
- 150000002910 rare earth metals Chemical class 0.000 claims abstract description 34
- 229910052761 rare earth metal Inorganic materials 0.000 claims abstract description 30
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 10
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 10
- 239000001301 oxygen Substances 0.000 claims abstract description 10
- 238000002955 isolation Methods 0.000 claims abstract description 8
- 239000000758 substrate Substances 0.000 claims description 36
- 238000000151 deposition Methods 0.000 claims description 19
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 13
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 12
- 229920005591 polysilicon Polymers 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 12
- 229910052779 Neodymium Inorganic materials 0.000 claims description 9
- 229910044991 metal oxide Inorganic materials 0.000 claims description 9
- 150000004706 metal oxides Chemical class 0.000 claims description 9
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical compound [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 claims description 9
- VQCBHWLJZDBHOS-UHFFFAOYSA-N erbium(iii) oxide Chemical compound O=[Er]O[Er]=O VQCBHWLJZDBHOS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052741 iridium Inorganic materials 0.000 claims description 8
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 8
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 claims description 8
- 239000010955 niobium Substances 0.000 claims description 8
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 8
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 claims description 8
- 229910003468 tantalcarbide Inorganic materials 0.000 claims description 8
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 claims description 8
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 claims description 8
- 229910052684 Cerium Inorganic materials 0.000 claims description 7
- 229910052692 Dysprosium Inorganic materials 0.000 claims description 7
- 229910052691 Erbium Inorganic materials 0.000 claims description 7
- 229910052688 Gadolinium Inorganic materials 0.000 claims description 7
- 229910052777 Praseodymium Inorganic materials 0.000 claims description 7
- 229910052788 barium Inorganic materials 0.000 claims description 7
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 claims description 7
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims description 7
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 claims description 7
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 claims description 7
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 claims description 7
- 229910052746 lanthanum Inorganic materials 0.000 claims description 7
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 claims description 7
- PUDIUYLPXJFUGB-UHFFFAOYSA-N praseodymium atom Chemical compound [Pr] PUDIUYLPXJFUGB-UHFFFAOYSA-N 0.000 claims description 7
- 229910052706 scandium Inorganic materials 0.000 claims description 7
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 claims description 7
- 229910052712 strontium Inorganic materials 0.000 claims description 7
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 claims description 7
- 229910052727 yttrium Inorganic materials 0.000 claims description 7
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 7
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims description 6
- UFQXGXDIJMBKTC-UHFFFAOYSA-N oxostrontium Chemical compound [Sr]=O UFQXGXDIJMBKTC-UHFFFAOYSA-N 0.000 claims description 6
- 229910052769 Ytterbium Inorganic materials 0.000 claims description 5
- 230000005669 field effect Effects 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 5
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 claims description 5
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 claims description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 4
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- MIQVEZFSDIJTMW-UHFFFAOYSA-N aluminum hafnium(4+) oxygen(2-) Chemical compound [O-2].[Al+3].[Hf+4] MIQVEZFSDIJTMW-UHFFFAOYSA-N 0.000 claims description 4
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 4
- 229910003440 dysprosium oxide Inorganic materials 0.000 claims description 4
- NLQFUUYNQFMIJW-UHFFFAOYSA-N dysprosium(iii) oxide Chemical compound O=[Dy]O[Dy]=O NLQFUUYNQFMIJW-UHFFFAOYSA-N 0.000 claims description 4
- 229910001938 gadolinium oxide Inorganic materials 0.000 claims description 4
- 229940075613 gadolinium oxide Drugs 0.000 claims description 4
- CMIHHWBVHJVIGI-UHFFFAOYSA-N gadolinium(iii) oxide Chemical compound [O-2].[O-2].[O-2].[Gd+3].[Gd+3] CMIHHWBVHJVIGI-UHFFFAOYSA-N 0.000 claims description 4
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 claims description 4
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 claims description 4
- 229910052758 niobium Inorganic materials 0.000 claims description 4
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 claims description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 4
- 229910003447 praseodymium oxide Inorganic materials 0.000 claims description 4
- 229910052702 rhenium Inorganic materials 0.000 claims description 4
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- HYXGAEYDKFCVMU-UHFFFAOYSA-N scandium oxide Chemical compound O=[Sc]O[Sc]=O HYXGAEYDKFCVMU-UHFFFAOYSA-N 0.000 claims description 4
- 229910021332 silicide Inorganic materials 0.000 claims description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 4
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- 239000010936 titanium Substances 0.000 claims description 4
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims description 4
- QVQLCTNNEUAWMS-UHFFFAOYSA-N barium oxide Chemical compound [Ba]=O QVQLCTNNEUAWMS-UHFFFAOYSA-N 0.000 claims description 2
- MMKQUGHLEMYQSG-UHFFFAOYSA-N oxygen(2-);praseodymium(3+) Chemical compound [O-2].[O-2].[O-2].[Pr+3].[Pr+3] MMKQUGHLEMYQSG-UHFFFAOYSA-N 0.000 claims description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000000313 electron-beam-induced deposition Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000007737 ion beam deposition Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
- H01L29/4975—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
Definitions
- the disclosure relates generally to integrated circuit (IC) fabrication, and more particularly, to forming of high dielectric constant (high-k) and metal gate stacks using a capping layer.
- IC integrated circuit
- Metal gate electrodes are being pursued for, for example, the 45 nanometer (nm) and 32 nm technology nodes as a replacement for doped polysilicon (poly-Si) gate electrodes for a number of reasons.
- Metal gate electrodes include a high dielectric constant (high-k) dielectric within a metal gate stack.
- CMOS complementary metal oxide semiconductor
- FET field effect transistor
- Vt threshold voltage
- dual metal/dual dielectric gate stacks One challenge for this technology is that the continual reduction in device dimensions that define, for example, the 45 nm and 32 nm technology nodes, impose an ever reducing distance between the different active regions, e.g., approximately 102 nm for the 45 nm node and approximately 72 nm for the 32 nm node.
- the reduction in device dimensions presents a problem because tuning layers for NMOS and PMOS regions are not interchangeable.
- gate stack layers particular to each region must be formed in both regions and then the opposing NMOS and PMOS region's stack must be completely removed, which is costly and induces process variations.
- the IC includes a first type transistor having a gate electrode including a first metal, a second metal and a first dielectric layer, the first dielectric layer including oxygen; a second type transistor separated from the first type transistor by an isolation region, the second type transistor having a gate electrode including the second metal having a work function appropriate for the second type transistor and the first dielectric layer; and wherein the gate electrode of the first type transistor includes a rare earth metal between the first metal and the second metal and the gate electrode of the second type transistor includes a second dielectric layer made of an oxide of the rare earth metal.
- a first aspect of the disclosure provides a method comprising: providing an implanted substrate with a n-type metal oxide semiconductor (NMOS) region and a p-type metal oxide semiconductor (PMOS) region; depositing a high dielectric constant (high-k) dielectric layer over the implanted substrate; forming a first metal having a work function commensurate with a first one of the NMOS region and the PMOS region over the first one of the NMOS and the PMOS regions only, leaving the high-k dielectric layer exposed over a second one of the NMOS and PMOS regions; depositing a second metal having a work function commensurate with the second one of the NMOS region and the PMOS region over the implanted substrate; depositing a polysilicon over the implanted substrate; and patterning to form a first gate stack over the NMOS region and a second gate stack over the PMOS region on the implanted substrate.
- NMOS n-type metal oxide semiconductor
- PMOS p-type metal oxide semiconductor
- a second aspect of the disclosure provides an integrated circuit comprising: a first type transistor having a gate electrode including a first metal, a second metal and a first dielectric layer, the first dielectric layer including oxygen; a second type transistor separated from the first type transistor by an isolation region, the second type transistor having a gate electrode including the second metal having a work function appropriate for the second type transistor and the first dielectric layer; and wherein the gate electrode of the first type transistor includes a rare earth metal between the first metal and the second metal and the gate electrode of the second type transistor includes a second dielectric layer made of an oxide of the rare earth metal.
- a third aspect of the disclosure provides a transistor comprising: a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, a capping layer including an oxide of a rare earth metal over the high-k dielectric layer, a metal over the oxide of the rare earth metal, the metal having a work function commensurate with a well in the substrate, and a polysilicon over the metal.
- a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, a capping layer including an oxide of a rare earth metal over the high-k dielectric layer, a metal over the oxide of the rare earth metal, the metal having a work function commensurate with a well in the substrate, and a polysilicon over the metal.
- a fourth aspect of the disclosure provides a transistor comprising: a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, the high-k dielectric layer including oxygen, a first metal having a work function commensurate with a well in the substrate, a capping layer including a rare earth metal over the first metal, a second metal over the capping layer, the second metal having a work function incompatible with the well in the substrate, and a polysilicon over the second metal.
- a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, the high-k dielectric layer including oxygen, a first metal having a work function commensurate with a well in the substrate, a capping layer including a rare earth metal over the first metal, a second metal over the capping layer, the second metal having a work function incompatible with the well in the substrate, and a polysilicon over the second metal.
- FIGS. 1-6 show embodiments of a method according to the disclosure, with FIG. 6 showing embodiments of an integrated circuit (IC) and related transistors according to the disclosure.
- IC integrated circuit
- FIG. 1 shows providing an implanted substrate 120 including an n-type metal oxide semiconductor (NMOS) region 116 and a p-type metal oxide semiconductor (PMOS) region 118 .
- NMOS region 116 and PMOS region 118 may include a doped N-well 122 and doped P-well 124 , respectively, separated by an isolation region 126 , e.g., a shallow trench isolation (STI) of silicon oxide.
- N-type and p-type dopants may be any appropriate dopants now known or later developed.
- FIG. 1 also shows depositing a high dielectric constant (high-k) dielectric layer 130 over implanted substrate 120 .
- high-k dielectric layer 130 may include any dielectric including oxygen and having dielectric constant greater than that of the vacuum level (K>1).
- High-k dielectric 130 may include, but is not limited to: hafnium oxide (HfO 2 ), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), hafnium silicon oxide (HfSiO x ), hafnium aluminum oxide (HfAlO), zirconium oxide (ZrO), zirconium silicon oxide (ZrSiO), yttrium oxide (Y 2 O 3 ), strontium oxide (SrO) and strontium titanium oxide (SrTiO).
- Depositing may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation.
- CVD chemical vapor deposition
- LPCVD low-pressure CVD
- PECVD plasma-enhanced CVD
- SACVD semi
- FIGS. 1-2 show forming of a first metal 140 (e.g., deposited or grown ( FIG. 1 )) having a work function commensurate with a first one of NMOS region 116 and PMOS region 118 over the first one of NMOS and PMOS regions 116 , 118 only.
- “commensurate with” indicates that the metal is commensurate with a doping of the region 116 , 118 in question, i.e., it's work function enhances performance of devices built in that particular region.
- first metal 140 is formed over PMOS region 118 , and thus first metal 140 would be commensurate with PMOS region 118 .
- first metal 140 would have a work function that would cause a threshold voltage to a band edge for a PFET 200 ( FIG. 6 ) to be formed over PMOS region 118 .
- First metal 140 may be deposited, as shown in FIG. 1 , and then patterned and etched off of a second one of NMOS and PMOS regions 116 , 118 , as shown in FIG. 2 , leaving high-k dielectric layer 130 exposed over the second one of NMOS and PMOS regions 116 , 118 . In this case, high-k dielectric layer 130 of NMOS region 116 is exposed. This is in contrast to conventional techniques that would remove high-k dielectric layer 130 from NMOS region 116 .
- First metal 140 may be removed using any now known or later developed technique, e.g., depositing a photoresist, patterning and etching the photoresist and etching first metal 140 using the photoresist. It is emphasized that first metal 140 may be for either NMOS region 116 or PMOS region 118 , i.e., the order of which region is generated first may switch without departing from the scope of the disclosure.
- first metal 140 may include, but is not limited to: titanium nitride (TiN), ruthenium (Ru), tantalum nitride (TaN), tantalum carbide (TaC), titanium carbide (TiC), titanium oxy-nitride (TiON), rhenium (Re), tungsten (W), tantalum silicon nitride (TaSiN), iridium (Ir), nickel silicide (NiSi), iridium silicide (IrSi), niobium (Nb), vanadium (V) and aluminum (Al).
- FIG. 3 shows depositing a second metal 150 having a work function commensurate with the second one of NMOS and PMOS regions 116 , 118 over implanted substrate 120 .
- the second one is the NMOS region 116 .
- second metal 150 may include, but is not limited to: titanium nitride (TiN ⁇ , ruthenium (Ru), tantalum nitride (TaN), tantalum carbide (TaC), titanium carbide (TiC), titanium oxy-nitride (TiON), rhenium (Re), tungsten (W), tantalum silicon nitride (TaSiN), iridium (Ir), nickel silicide (NiSi), iridium silicide (IrSi), niobium (Nb), vanadium (V) and aluminum (Al).
- metal over NMOS may be also selected from this list when it is the first metal deposited, rather than the second as illustrated.
- metal over PMOS may be selected from the above-described list therefor when it is the second metal deposited, rather than the first as illustrated.
- FIG. 4 shows an optional process that includes depositing a capping layer 160 over implanted substrate 120 prior to depositing second metal 150 , then depositing second metal 150 .
- Capping layer 160 can take a variety of forms.
- capping layer 160 includes but is not limited to a dielectric such as: lanthanum oxide (La 2 O 3 ), dysprosium oxide (DyO), yttrium oxide (Y 2 O 3 ), barium oxide (BaO), strontium oxide (SrO), scandium oxide (ScO), cerium oxide (CeO), praseodymium oxide (PrO), neodymium (NdO), gadolinium oxide (GdO), erbium oxide (ErO).
- lanthanum oxide La 2 O 3
- DyO dysprosium oxide
- Y 2 O 3 barium oxide
- ScO scandium oxide
- CeO cerium oxide
- PrO praseodymium oxide
- NdO
- capping layer 160 may provide extra threshold voltage (Vt) shift—here NFET capping layer.
- capping layer 160 may include a metal such as, but not limited to: lanthanum (La), dysprosium (Dy), yttrium (Y), strontium (Sr), scandium (Sc), barium (Ba), cerium (Ce), praseodymium (Pr), neodymium (Nd), gadolinium (Gd), erbium (Er).
- La lanthanum
- Dy dysprosium
- Y yttrium
- strontium Sr
- Sc scandium
- barium Ba
- Ce cerium
- Pr praseodymium
- Nd neodymium
- Gd gadolinium
- Er erbium
- capping layer 160 includes a metal, typically a rare earth metal, that reacts with high-k dielectric layer 130 over the first one of the NMOS and PMOS regions (i.e., NMOS region 116 as illustrated) to form a dielectric 180 , but remains metallic 190 over the second one of the NMOS and PMOS regions 116 , 118 (i.e., PMOS region 116 as illustrated).
- the rare earth metal may include a multilayer composite of metals (known as a bilayer). In terms of dielectric 180 , rare earth metal reacts with the oxygen in high-k dielectric layer 130 to form an oxide that is formed from the rare earth metal.
- Capping layer 160 may include a rare earth metal such as, but not limited to: ytterbium (Yb), dysprosium (Dy), lanthanum (La), yttrium (Y), strontium (Sr), scandium (Sc), barium (Ba), cerium (Ce), praseodymium (Pr), neodymium (Nd), gadolinium (Gd) and erbium (Er).
- Yb ytterbium
- Dy dysprosium
- La lanthanum
- Y yttrium
- strontium Sr
- Sc scandium
- barium Ba
- Ce cerium
- Pr praseodymium
- Nd neodymium
- Gd gadolinium
- Er erbium
- FIG. 5 shows depositing a polysilicon 170 over implanted substrate 120 based on the FIG. 4 embodiment.
- FIG. 6 shows patterning to form a first gate stack 194 over NMOS region 116 and a second gate stack 196 over PMOS region 118 on implanted substrate 120 .
- each gate stack 194 , 196 eventually becomes a gate electrode 194 , 196 for an n-type field effect transistor (NFET) 202 and a p-type field effect transistor (PFET) 200 , respectively.
- NFET n-type field effect transistor
- PFET p-type field effect transistor
- An integrated circuit 210 including PFET 200 and NFET 202 thus includes a first type transistor (i.e., PFET 200 as illustrated) having gate electrode 194 including a first metal 140 , a second metal 150 having a work function commensurate with the second type transistor (i.e., NFET 202 as illustrated) and a first dielectric layer 130 including oxygen.
- IC 210 also includes a second type transistor (i.e., NFET 202 as illustrated) separated from first type transistor (PFET) 200 by an isolation region 126 .
- the second type transistor (NFET) 202 includes gate electrode 196 including second metal 150 and first dielectric layer 130 .
- Gate electrode 194 of first type transistor (PFET) 200 also includes a rare earth metal 190 between first metal 140 and second metal 150
- gate electrode 196 of second type transistor (NFET) 202 includes a second dielectric layer 180 made of an oxide of the rare earth metal.
- First dielectric layer 130 is under first metal 140 in PFET 200 so as to act as a gate dielectric for gate electrode 194 .
- second dielectric layer 180 contacts first dielectric layer 130 in first type transistor (NFET) 202 , and thus collectively act as a gate dielectric for gate electrode 196 .
- Each gate electrode 194 , 196 further includes a polysilicon portion 170 .
- second metal 150 in first type transistor (PFET) 200 and second type transistor (NFET) 202 are electrically coupled, which is impossible with conventional processing due to the gap that forms between devices during the patterning and removal process.
- a transistor 200 includes gate stack 194 including high-k dielectric layer 130 over substrate 120 , first metal 140 having a work function commensurate with a well 124 in the substrate, capping layer 160 including a rare earth metal 190 over first metal 140 , a second metal 150 over capping layer 160 , and a polysilicon 170 over second metal 150 .
- second metal 150 has a work function incompatible with well 124 (p-well) in substrate 120 , i.e., it is harmful to threshold voltage (Vt) if allowed to impact operation.
- Transistor (NFET) 202 includes a gate stack 196 including high-k dielectric layer 130 over substrate 120 , capping layer 160 including an oxide 180 of a rare earth metal over high-k dielectric layer 130 , a metal 150 over the oxide of the rare earth metal, the metal having a work function commensurate with a well 122 (n-well) in substrate 120 , and a polysilicon 170 over the metal 150 .
- the above-described methods, IC and transistors incorporate a capping layer in the form of an rare earth metal to intermix with high-k dielectric layer 130 (and not the metal 150 ) to shift the work function of the NMOS region 116 to band-edge, but when interposed in the metals 140 , 150 they do not cause a threshold voltage shift in the PMOS region 118 .
- the methods allow for meeting of ground rules, and do not require removal of both NMOS and PMOS region metals form the opposing region.
- the methods also allow for butted junctions with the NFET 202 always self-aligned to PFET 200 since only one metal layer is removed over isolation region 126 , i.e., second metal 150 .
- the method as described above is used in the fabrication of integrated circuit chips.
- the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
- the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
- the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
- the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Composite Materials (AREA)
- Manufacturing & Machinery (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
Description
- 1. Technical Field
- The disclosure relates generally to integrated circuit (IC) fabrication, and more particularly, to forming of high dielectric constant (high-k) and metal gate stacks using a capping layer.
- 2. Background Art
- In the integrated circuit (IC) fabrication industry, metal gate electrodes are being pursued for, for example, the 45 nanometer (nm) and 32 nm technology nodes as a replacement for doped polysilicon (poly-Si) gate electrodes for a number of reasons. Metal gate electrodes include a high dielectric constant (high-k) dielectric within a metal gate stack. The best known self aligned process flows for complementary metal oxide semiconductor (CMOS) fabrication with the high-k dielectrics and metal gate stack use a dual field effect transistor (FET) threshold voltage (Vt) work function tuning layers scheme to tune the threshold voltage of adjacent n-type metal oxide semiconductor (NMOS) region (for NFETs) and p-type metal oxide semiconductor (PMOS) region (for PFETs). That is, dual metal/dual dielectric gate stacks. One challenge for this technology is that the continual reduction in device dimensions that define, for example, the 45 nm and 32 nm technology nodes, impose an ever reducing distance between the different active regions, e.g., approximately 102 nm for the 45 nm node and approximately 72 nm for the 32 nm node. The reduction in device dimensions presents a problem because tuning layers for NMOS and PMOS regions are not interchangeable. As a result, in order to accommodate formation of the appropriate gate stack for each region, gate stack layers particular to each region must be formed in both regions and then the opposing NMOS and PMOS region's stack must be completely removed, which is costly and induces process variations. Since the distance between active regions is so small, etching the gate stacks and continuing to meet ground rule restrictions is currently unachievable for the 45 nm and 32 nm CMOS technology nodes, and beyond. In particular, the ability to form material layers such that they are thin enough to fill a gap between partially formed gate stacks and such that the materials can be removed from the gap to ultimately form the gate stacks in the NMOS and PMOS regions is currently unfeasible. Butted junctions are also not available using current practices because of the removal of layers between the different NMOS and PMOS gate stacks.
- Methods, IC and related transistors using capping layer with high-k/metal gate stacks are disclosed. In one embodiment, the IC includes a first type transistor having a gate electrode including a first metal, a second metal and a first dielectric layer, the first dielectric layer including oxygen; a second type transistor separated from the first type transistor by an isolation region, the second type transistor having a gate electrode including the second metal having a work function appropriate for the second type transistor and the first dielectric layer; and wherein the gate electrode of the first type transistor includes a rare earth metal between the first metal and the second metal and the gate electrode of the second type transistor includes a second dielectric layer made of an oxide of the rare earth metal.
- A first aspect of the disclosure provides a method comprising: providing an implanted substrate with a n-type metal oxide semiconductor (NMOS) region and a p-type metal oxide semiconductor (PMOS) region; depositing a high dielectric constant (high-k) dielectric layer over the implanted substrate; forming a first metal having a work function commensurate with a first one of the NMOS region and the PMOS region over the first one of the NMOS and the PMOS regions only, leaving the high-k dielectric layer exposed over a second one of the NMOS and PMOS regions; depositing a second metal having a work function commensurate with the second one of the NMOS region and the PMOS region over the implanted substrate; depositing a polysilicon over the implanted substrate; and patterning to form a first gate stack over the NMOS region and a second gate stack over the PMOS region on the implanted substrate.
- A second aspect of the disclosure provides an integrated circuit comprising: a first type transistor having a gate electrode including a first metal, a second metal and a first dielectric layer, the first dielectric layer including oxygen; a second type transistor separated from the first type transistor by an isolation region, the second type transistor having a gate electrode including the second metal having a work function appropriate for the second type transistor and the first dielectric layer; and wherein the gate electrode of the first type transistor includes a rare earth metal between the first metal and the second metal and the gate electrode of the second type transistor includes a second dielectric layer made of an oxide of the rare earth metal.
- A third aspect of the disclosure provides a transistor comprising: a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, a capping layer including an oxide of a rare earth metal over the high-k dielectric layer, a metal over the oxide of the rare earth metal, the metal having a work function commensurate with a well in the substrate, and a polysilicon over the metal.
- A fourth aspect of the disclosure provides a transistor comprising: a gate stack including: a high dielectric constant (high-k) dielectric layer over a substrate, the high-k dielectric layer including oxygen, a first metal having a work function commensurate with a well in the substrate, a capping layer including a rare earth metal over the first metal, a second metal over the capping layer, the second metal having a work function incompatible with the well in the substrate, and a polysilicon over the second metal.
- The illustrative aspects of the present disclosure are designed to solve the problems herein described and/or other problems not discussed.
- These and other features of this disclosure will be more readily understood from the following detailed description of the various aspects of the disclosure taken in conjunction with the accompanying drawings that depict various embodiments of the disclosure, in which:
-
FIGS. 1-6 show embodiments of a method according to the disclosure, withFIG. 6 showing embodiments of an integrated circuit (IC) and related transistors according to the disclosure. - It is noted that the drawings of the disclosure are not to scale. The drawings are intended to depict only typical aspects of the disclosure, and therefore should not be considered as limiting the scope of the disclosure. In the drawings, like numbering represents like elements between the drawings.
- Referring to the drawings, embodiments of a method according to the disclosure are shown in
FIGS. 1-6 .FIG. 1 shows providing an implantedsubstrate 120 including an n-type metal oxide semiconductor (NMOS)region 116 and a p-type metal oxide semiconductor (PMOS)region 118. In particular,NMOS region 116 andPMOS region 118 may include a doped N-well 122 and doped P-well 124, respectively, separated by anisolation region 126, e.g., a shallow trench isolation (STI) of silicon oxide. N-type and p-type dopants may be any appropriate dopants now known or later developed. Implantedsubstrate 120 may include but is not limited to silicon, germanium, silicon germanium, silicon carbide, and those consisting essentially of one or more III-V compound semiconductors having a composition defined by the formula AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1 (1 being the total relative mole quantity). Other suitable substrates include II-VI compound semiconductors having a composition ZnA1CdA2SeB1TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1 (1 being a total mole quantity). Furthermore, a portion orentire semiconductor substrate 120 may be strained. Implantedsubstrate 120 may be formed using any now known or later developed processes, e.g., deposition, masking, ion implantation, etc. -
FIG. 1 also shows depositing a high dielectric constant (high-k)dielectric layer 130 over implantedsubstrate 120. In one embodiment, high-kdielectric layer 130 may include any dielectric including oxygen and having dielectric constant greater than that of the vacuum level (K>1). High-k dielectric 130 may include, but is not limited to: hafnium oxide (HfO2), aluminum oxide (Al2O3), lanthanum oxide (La2O3), hafnium silicon oxide (HfSiOx), hafnium aluminum oxide (HfAlO), zirconium oxide (ZrO), zirconium silicon oxide (ZrSiO), yttrium oxide (Y2O3), strontium oxide (SrO) and strontium titanium oxide (SrTiO). “Depositing,” as used herein, may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation. -
FIGS. 1-2 show forming of a first metal 140 (e.g., deposited or grown (FIG. 1 )) having a work function commensurate with a first one ofNMOS region 116 andPMOS region 118 over the first one of NMOS andPMOS regions region first metal 140 is formed overPMOS region 118, and thusfirst metal 140 would be commensurate withPMOS region 118. For example,first metal 140 would have a work function that would cause a threshold voltage to a band edge for a PFET 200 (FIG. 6 ) to be formed overPMOS region 118.First metal 140 may be deposited, as shown inFIG. 1 , and then patterned and etched off of a second one of NMOS andPMOS regions FIG. 2 , leaving high-kdielectric layer 130 exposed over the second one of NMOS andPMOS regions dielectric layer 130 ofNMOS region 116 is exposed. This is in contrast to conventional techniques that would remove high-kdielectric layer 130 fromNMOS region 116.First metal 140 may be removed using any now known or later developed technique, e.g., depositing a photoresist, patterning and etching the photoresist and etchingfirst metal 140 using the photoresist. It is emphasized thatfirst metal 140 may be for eitherNMOS region 116 orPMOS region 118, i.e., the order of which region is generated first may switch without departing from the scope of the disclosure. Wherefirst metal 140 is overPMOS region 118,first metal 140 may include, but is not limited to: titanium nitride (TiN), ruthenium (Ru), tantalum nitride (TaN), tantalum carbide (TaC), titanium carbide (TiC), titanium oxy-nitride (TiON), rhenium (Re), tungsten (W), tantalum silicon nitride (TaSiN), iridium (Ir), nickel silicide (NiSi), iridium silicide (IrSi), niobium (Nb), vanadium (V) and aluminum (Al). -
FIG. 3 shows depositing asecond metal 150 having a work function commensurate with the second one of NMOS andPMOS regions substrate 120. In the illustrative case, the second one is the NMOSregion 116. Wheresecond metal 150 is overNMOS region 116,second metal 150 may include, but is not limited to: titanium nitride (TiN}, ruthenium (Ru), tantalum nitride (TaN), tantalum carbide (TaC), titanium carbide (TiC), titanium oxy-nitride (TiON), rhenium (Re), tungsten (W), tantalum silicon nitride (TaSiN), iridium (Ir), nickel silicide (NiSi), iridium silicide (IrSi), niobium (Nb), vanadium (V) and aluminum (Al). It is understood that the metal over NMOS may be also selected from this list when it is the first metal deposited, rather than the second as illustrated. Similarly, it is understood that the metal over PMOS may be selected from the above-described list therefor when it is the second metal deposited, rather than the first as illustrated. -
FIG. 4 shows an optional process that includes depositing acapping layer 160 over implantedsubstrate 120 prior to depositingsecond metal 150, then depositingsecond metal 150.Capping layer 160 can take a variety of forms. In one embodiment,capping layer 160 includes but is not limited to a dielectric such as: lanthanum oxide (La2O3), dysprosium oxide (DyO), yttrium oxide (Y2O3), barium oxide (BaO), strontium oxide (SrO), scandium oxide (ScO), cerium oxide (CeO), praseodymium oxide (PrO), neodymium (NdO), gadolinium oxide (GdO), erbium oxide (ErO). In this case,capping layer 160 may provide extra threshold voltage (Vt) shift—here NFET capping layer. In another embodiment,capping layer 160 may include a metal such as, but not limited to: lanthanum (La), dysprosium (Dy), yttrium (Y), strontium (Sr), scandium (Sc), barium (Ba), cerium (Ce), praseodymium (Pr), neodymium (Nd), gadolinium (Gd), erbium (Er). In another embodiment, as shown inFIG. 5 ,capping layer 160 includes a metal, typically a rare earth metal, that reacts with high-kdielectric layer 130 over the first one of the NMOS and PMOS regions (i.e.,NMOS region 116 as illustrated) to form a dielectric 180, but remains metallic 190 over the second one of the NMOS andPMOS regions 116, 118 (i.e.,PMOS region 116 as illustrated). The rare earth metal may include a multilayer composite of metals (known as a bilayer). In terms ofdielectric 180, rare earth metal reacts with the oxygen in high-k dielectric layer 130 to form an oxide that is formed from the rare earth metal. Whererare earth metal 190 remains metallic it is sealed betweenfirst metal 140 andsecond metal 150, and thus is sealed against reaction with the atmosphere. Cappinglayer 160 may include a rare earth metal such as, but not limited to: ytterbium (Yb), dysprosium (Dy), lanthanum (La), yttrium (Y), strontium (Sr), scandium (Sc), barium (Ba), cerium (Ce), praseodymium (Pr), neodymium (Nd), gadolinium (Gd) and erbium (Er). -
FIG. 5 shows depositing apolysilicon 170 over implantedsubstrate 120 based on theFIG. 4 embodiment.FIG. 6 shows patterning to form afirst gate stack 194 overNMOS region 116 and asecond gate stack 196 overPMOS region 118 on implantedsubstrate 120. As understood, eachgate stack gate electrode integrated circuit 210 includingPFET 200 and NFET 202 thus includes a first type transistor (i.e.,PFET 200 as illustrated) havinggate electrode 194 including afirst metal 140, asecond metal 150 having a work function commensurate with the second type transistor (i.e., NFET 202 as illustrated) and a firstdielectric layer 130 including oxygen.IC 210 also includes a second type transistor (i.e., NFET 202 as illustrated) separated from first type transistor (PFET) 200 by anisolation region 126. The second type transistor (NFET) 202 includesgate electrode 196 includingsecond metal 150 and firstdielectric layer 130.Gate electrode 194 of first type transistor (PFET) 200 also includes arare earth metal 190 betweenfirst metal 140 andsecond metal 150, andgate electrode 196 of second type transistor (NFET) 202 includes asecond dielectric layer 180 made of an oxide of the rare earth metal. Firstdielectric layer 130 is underfirst metal 140 inPFET 200 so as to act as a gate dielectric forgate electrode 194. Similarly,second dielectric layer 180 contacts firstdielectric layer 130 in first type transistor (NFET) 202, and thus collectively act as a gate dielectric forgate electrode 196. Eachgate electrode polysilicon portion 170. In one embodiment,second metal 150 in first type transistor (PFET) 200 and second type transistor (NFET) 202 are electrically coupled, which is impossible with conventional processing due to the gap that forms between devices during the patterning and removal process. - As also shown in
FIG. 6 , atransistor 200 includesgate stack 194 including high-k dielectric layer 130 oversubstrate 120,first metal 140 having a work function commensurate with a well 124 in the substrate, cappinglayer 160 including arare earth metal 190 overfirst metal 140, asecond metal 150 overcapping layer 160, and apolysilicon 170 oversecond metal 150. In this case,second metal 150 has a work function incompatible with well 124 (p-well) insubstrate 120, i.e., it is harmful to threshold voltage (Vt) if allowed to impact operation. Transistor (NFET) 202 includes agate stack 196 including high-k dielectric layer 130 oversubstrate 120, cappinglayer 160 including anoxide 180 of a rare earth metal over high-k dielectric layer 130, ametal 150 over the oxide of the rare earth metal, the metal having a work function commensurate with a well 122 (n-well) insubstrate 120, and apolysilicon 170 over themetal 150. - The above-described methods, IC and transistors incorporate a capping layer in the form of an rare earth metal to intermix with high-k dielectric layer 130 (and not the metal 150) to shift the work function of the
NMOS region 116 to band-edge, but when interposed in themetals PMOS region 118. In addition, the methods allow for meeting of ground rules, and do not require removal of both NMOS and PMOS region metals form the opposing region. The methods also allow for butted junctions with the NFET 202 always self-aligned to PFET 200 since only one metal layer is removed overisolation region 126, i.e.,second metal 150. - The method as described above is used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
- The foregoing description of various aspects of the disclosure has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the disclosure to the precise form disclosed, and obviously, many modifications and variations are possible. Such modifications and variations that may be apparent to a person skilled in the art are intended to be included within the scope of the disclosure as defined by the accompanying claims.
Claims (25)
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/954,749 US20090152636A1 (en) | 2007-12-12 | 2007-12-12 | High-k/metal gate stack using capping layer methods, ic and related transistors |
US13/433,659 US9236314B2 (en) | 2007-12-12 | 2012-03-29 | High-K/metal gate stack using capping layer methods, IC and related transistors |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/954,749 US20090152636A1 (en) | 2007-12-12 | 2007-12-12 | High-k/metal gate stack using capping layer methods, ic and related transistors |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/433,659 Division US9236314B2 (en) | 2007-12-12 | 2012-03-29 | High-K/metal gate stack using capping layer methods, IC and related transistors |
Publications (1)
Publication Number | Publication Date |
---|---|
US20090152636A1 true US20090152636A1 (en) | 2009-06-18 |
Family
ID=40752068
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/954,749 Abandoned US20090152636A1 (en) | 2007-12-12 | 2007-12-12 | High-k/metal gate stack using capping layer methods, ic and related transistors |
US13/433,659 Expired - Fee Related US9236314B2 (en) | 2007-12-12 | 2012-03-29 | High-K/metal gate stack using capping layer methods, IC and related transistors |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/433,659 Expired - Fee Related US9236314B2 (en) | 2007-12-12 | 2012-03-29 | High-K/metal gate stack using capping layer methods, IC and related transistors |
Country Status (1)
Country | Link |
---|---|
US (2) | US20090152636A1 (en) |
Cited By (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080277736A1 (en) * | 2007-05-08 | 2008-11-13 | Kazuaki Nakajima | Semiconductor device and method of manufacturing the same |
US20090090971A1 (en) * | 2007-09-20 | 2009-04-09 | Interuniversitair Microelektronica Centrum Vzw (Imec) | Mosfet devices and methods for making them |
US20090212369A1 (en) * | 2008-02-26 | 2009-08-27 | International Business Machines Corporation | Gate Effective-Workfunction Modification for CMOS |
US20090230479A1 (en) * | 2008-03-12 | 2009-09-17 | Peng-Fu Hsu | Hybrid Process for Forming Metal Gates of MOS Devices |
US20090294867A1 (en) * | 2008-05-30 | 2009-12-03 | Lee Byoung H | Dual metal gates using one metal to alter work function of another metal |
US20100059833A1 (en) * | 2008-09-11 | 2010-03-11 | Chih-Hao Yu | Metal gate transistor and method for fabricating the same |
US20100102393A1 (en) * | 2008-10-29 | 2010-04-29 | Chartered Semiconductor Manufacturing, Ltd. | Metal gate transistors |
US20100327364A1 (en) * | 2009-06-29 | 2010-12-30 | Toshiba America Electronic Components, Inc. | Semiconductor device with metal gate |
US20110001194A1 (en) * | 2007-01-23 | 2011-01-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid Process for Forming Metal Gates |
US20110223756A1 (en) * | 2010-03-11 | 2011-09-15 | Schaeffer James K | Method of Enhancing Photoresist Adhesion to Rare Earth Oxides |
US20110291198A1 (en) * | 2010-05-27 | 2011-12-01 | International Business Machines Corporation | Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices |
CN102299155A (en) * | 2010-06-22 | 2011-12-28 | 中国科学院微电子研究所 | Semiconductor device and manufacturing method thereof |
US20120080760A1 (en) * | 2010-10-01 | 2012-04-05 | National Chiao Tung University | Dielectric structure, transistor and manufacturing method thereof |
US20120139014A1 (en) * | 2010-12-01 | 2012-06-07 | International Business Machines Corporation | Structure and method for low temperature gate stack for advanced substrates |
US20120187502A1 (en) * | 2009-10-20 | 2012-07-26 | International Business Machines Corporation | Application of cluster beam implantation for fabricating threshold voltage adjusted fets |
US20130032872A1 (en) * | 2011-08-05 | 2013-02-07 | Silicon Storage Technology, Inc. | Non-volatile Memory Cell Having A High K Dielectric And Metal Gate |
CN103165606A (en) * | 2011-12-16 | 2013-06-19 | 台湾积体电路制造股份有限公司 | Enhanced gate replacement process for high-k metal gate technology |
US8492852B2 (en) | 2010-06-02 | 2013-07-23 | International Business Machines Corporation | Interface structure for channel mobility improvement in high-k metal gate stack |
CN103367132A (en) * | 2012-03-29 | 2013-10-23 | 台湾积体电路制造股份有限公司 | Method of fabricating a metal gate semiconductor device |
US8853751B2 (en) * | 2012-06-28 | 2014-10-07 | International Business Machines Corporation | Reducing the inversion oxide thickness of a high-K stack fabricated on high mobility semiconductor material |
KR20150054422A (en) * | 2013-11-12 | 2015-05-20 | 에스케이하이닉스 주식회사 | Method and gate ructure for threshold voltage modulation in transistors |
US20150263124A1 (en) * | 2012-08-29 | 2015-09-17 | Ps4 Luxco S.A.R.L. | Semiconductor device, and method for producing same |
US9153584B2 (en) * | 2008-06-09 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor device and a method of manufacturing same |
US9177956B2 (en) * | 2013-07-31 | 2015-11-03 | Globalfoundries Inc. | Field effect transistor (FET) with self-aligned contacts, integrated circuit (IC) chip and method of manufacture |
US20150325681A1 (en) * | 2014-05-06 | 2015-11-12 | GlobalFoundries, Inc. | Methods of fabricating integrated circuits |
US9190409B2 (en) | 2013-02-25 | 2015-11-17 | Renesas Electronics Corporation | Replacement metal gate transistor with controlled threshold voltage |
US9553092B2 (en) | 2015-06-12 | 2017-01-24 | Globalfoundries Inc. | Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs |
US20170154890A1 (en) * | 2015-11-30 | 2017-06-01 | Samsung Electronics Co., Ltd. | One time programmable memory device, method of manufacturing the same, and electronic device including the same |
US9859392B2 (en) | 2015-09-21 | 2018-01-02 | Samsung Electronics Co., Ltd. | Integrated circuit device and method of manufacturing the same |
CN109801878A (en) * | 2017-11-16 | 2019-05-24 | 三星电子株式会社 | Semiconductor device and the method for gate structure is provided for its multiple component |
US10319818B2 (en) | 2017-10-30 | 2019-06-11 | International Business Machines Corporation | Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end |
US10804158B2 (en) * | 2018-04-10 | 2020-10-13 | Samsung Electronics Co., Ltd. | Methods of fabricating semiconductor devices including differing barrier layer structures |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102066851B1 (en) | 2013-02-25 | 2020-02-11 | 삼성전자 주식회사 | Semiconductor device and the fabricating method thereof |
KR102056582B1 (en) | 2013-06-05 | 2020-01-22 | 삼성전자 주식회사 | Semiconductor device and method for the same |
US11088258B2 (en) * | 2017-11-16 | 2021-08-10 | Samsung Electronics Co., Ltd. | Method of forming multiple-Vt FETs for CMOS circuit applications |
US11289579B2 (en) | 2019-09-29 | 2022-03-29 | Applied Materials, Inc. | P-type dipole for p-FET |
US12112951B2 (en) | 2022-02-17 | 2024-10-08 | Applied Materials, Inc. | Integrated dipole region for transistor |
Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020142624A1 (en) * | 2000-09-19 | 2002-10-03 | Mattson Technology, Inc. | Method of forming dielectric films |
US20040023478A1 (en) * | 2002-07-31 | 2004-02-05 | Samavedam Srikanth B. | Capped dual metal gate transistors for CMOS process and method for making the same |
US20040106249A1 (en) * | 2002-12-03 | 2004-06-03 | Hannu Huotari | Method to fabricate dual metal CMOS devices |
US20050224897A1 (en) * | 2004-03-26 | 2005-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics |
US20050280105A1 (en) * | 2004-06-22 | 2005-12-22 | International Business Machines Corporation | Method of forming metal/high-k gate stacks with high mobility |
US20060084247A1 (en) * | 2004-10-20 | 2006-04-20 | Kaiping Liu | Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation |
US7105889B2 (en) * | 2004-06-04 | 2006-09-12 | International Business Machines Corporation | Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics |
US20070148838A1 (en) * | 2005-12-28 | 2007-06-28 | International Business Machines Corporation | Metal gate CMOS with at least a single gate metal and dual gate dielectrics |
US20070152276A1 (en) * | 2005-12-30 | 2007-07-05 | International Business Machines Corporation | High performance CMOS circuits, and methods for fabricating the same |
US7378713B2 (en) * | 2006-10-25 | 2008-05-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with dual-metal gate structures and fabrication methods thereof |
US20090039447A1 (en) * | 2007-08-06 | 2009-02-12 | Copel Matthew W | FET Device with Stabilized Threshold Modifying Material |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7445976B2 (en) * | 2006-05-26 | 2008-11-04 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device having an interlayer and structure therefor |
US7863124B2 (en) * | 2007-05-10 | 2011-01-04 | International Business Machines Corporation | Residue free patterned layer formation method applicable to CMOS structures |
-
2007
- 2007-12-12 US US11/954,749 patent/US20090152636A1/en not_active Abandoned
-
2012
- 2012-03-29 US US13/433,659 patent/US9236314B2/en not_active Expired - Fee Related
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020142624A1 (en) * | 2000-09-19 | 2002-10-03 | Mattson Technology, Inc. | Method of forming dielectric films |
US20040023478A1 (en) * | 2002-07-31 | 2004-02-05 | Samavedam Srikanth B. | Capped dual metal gate transistors for CMOS process and method for making the same |
US20040106249A1 (en) * | 2002-12-03 | 2004-06-03 | Hannu Huotari | Method to fabricate dual metal CMOS devices |
US20050224897A1 (en) * | 2004-03-26 | 2005-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics |
US7105889B2 (en) * | 2004-06-04 | 2006-09-12 | International Business Machines Corporation | Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics |
US20050280105A1 (en) * | 2004-06-22 | 2005-12-22 | International Business Machines Corporation | Method of forming metal/high-k gate stacks with high mobility |
US7115959B2 (en) * | 2004-06-22 | 2006-10-03 | International Business Machines Corporation | Method of forming metal/high-k gate stacks with high mobility |
US20060289903A1 (en) * | 2004-06-22 | 2006-12-28 | Wanda Andreoni | Method of forming metal/high-k gate stacks with high mobility |
US20060084247A1 (en) * | 2004-10-20 | 2006-04-20 | Kaiping Liu | Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation |
US20070148838A1 (en) * | 2005-12-28 | 2007-06-28 | International Business Machines Corporation | Metal gate CMOS with at least a single gate metal and dual gate dielectrics |
US20070152276A1 (en) * | 2005-12-30 | 2007-07-05 | International Business Machines Corporation | High performance CMOS circuits, and methods for fabricating the same |
US7378713B2 (en) * | 2006-10-25 | 2008-05-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with dual-metal gate structures and fabrication methods thereof |
US20090039447A1 (en) * | 2007-08-06 | 2009-02-12 | Copel Matthew W | FET Device with Stabilized Threshold Modifying Material |
Cited By (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8836038B2 (en) | 2007-01-23 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS dual metal gate semiconductor device |
US20110001194A1 (en) * | 2007-01-23 | 2011-01-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid Process for Forming Metal Gates |
US7768076B2 (en) * | 2007-05-08 | 2010-08-03 | Kabushiki Kaisha Toshiba | Semiconductor device comprising an n-channel and p-channel MISFET |
US20080277736A1 (en) * | 2007-05-08 | 2008-11-13 | Kazuaki Nakajima | Semiconductor device and method of manufacturing the same |
US20090090971A1 (en) * | 2007-09-20 | 2009-04-09 | Interuniversitair Microelektronica Centrum Vzw (Imec) | Mosfet devices and methods for making them |
US7812413B2 (en) * | 2007-09-20 | 2010-10-12 | Imec | MOSFET devices and methods for making them |
US20110121401A1 (en) * | 2008-02-26 | 2011-05-26 | International Business Machines Corporation | Gate Effective-Workfunction Modification for CMOS |
US7947549B2 (en) * | 2008-02-26 | 2011-05-24 | International Business Machines Corporation | Gate effective-workfunction modification for CMOS |
US20090212369A1 (en) * | 2008-02-26 | 2009-08-27 | International Business Machines Corporation | Gate Effective-Workfunction Modification for CMOS |
US8183642B2 (en) | 2008-02-26 | 2012-05-22 | International Business Machines Corporation | Gate effective-workfunction modification for CMOS |
US20090230479A1 (en) * | 2008-03-12 | 2009-09-17 | Peng-Fu Hsu | Hybrid Process for Forming Metal Gates of MOS Devices |
US8536660B2 (en) * | 2008-03-12 | 2013-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid process for forming metal gates of MOS devices |
US8236686B2 (en) * | 2008-05-30 | 2012-08-07 | International Business Machines Corporation | Dual metal gates using one metal to alter work function of another metal |
US20090294867A1 (en) * | 2008-05-30 | 2009-12-03 | Lee Byoung H | Dual metal gates using one metal to alter work function of another metal |
US9646892B2 (en) | 2008-06-09 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor device and a method of manufacturing same |
US9153584B2 (en) * | 2008-06-09 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor device and a method of manufacturing same |
US20100059833A1 (en) * | 2008-09-11 | 2010-03-11 | Chih-Hao Yu | Metal gate transistor and method for fabricating the same |
US8404535B2 (en) | 2008-09-11 | 2013-03-26 | United Microelectronics Corp. | Metal gate transistor and method for fabricating the same |
US8084824B2 (en) * | 2008-09-11 | 2011-12-27 | United Microelectronics Corp. | Metal gate transistor and method for fabricating the same |
US20100102393A1 (en) * | 2008-10-29 | 2010-04-29 | Chartered Semiconductor Manufacturing, Ltd. | Metal gate transistors |
US20100327364A1 (en) * | 2009-06-29 | 2010-12-30 | Toshiba America Electronic Components, Inc. | Semiconductor device with metal gate |
US20120187502A1 (en) * | 2009-10-20 | 2012-07-26 | International Business Machines Corporation | Application of cluster beam implantation for fabricating threshold voltage adjusted fets |
US8557652B2 (en) | 2009-10-20 | 2013-10-15 | International Business Machines Corporation | Application of cluster beam implantation for fabricating threshold voltage adjusted FETs |
US8492848B2 (en) * | 2009-10-20 | 2013-07-23 | International Business Machines Corporation | Application of cluster beam implantation for fabricating threshold voltage adjusted FETs |
US8415212B2 (en) | 2010-03-11 | 2013-04-09 | Freescale Semiconductor, Inc. | Method of enhancing photoresist adhesion to rare earth oxides |
US20110223756A1 (en) * | 2010-03-11 | 2011-09-15 | Schaeffer James K | Method of Enhancing Photoresist Adhesion to Rare Earth Oxides |
US8940599B2 (en) * | 2010-05-27 | 2015-01-27 | International Business Machines Corporation | Scaled equivalent oxide thickness for field effect transistor devices |
US20110291198A1 (en) * | 2010-05-27 | 2011-12-01 | International Business Machines Corporation | Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices |
US8343839B2 (en) * | 2010-05-27 | 2013-01-01 | International Business Machines Corporation | Scaled equivalent oxide thickness for field effect transistor devices |
US20120286363A1 (en) * | 2010-05-27 | 2012-11-15 | International Business Machines Corporation | Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices |
US20140199828A1 (en) * | 2010-05-27 | 2014-07-17 | International Business Machines Corporation | Scaled equivalent oxide thickness for field effect transistor devices |
US8716813B2 (en) * | 2010-05-27 | 2014-05-06 | International Business Machines Corporation | Scaled equivalent oxide thickness for field effect transistor devices |
US8492852B2 (en) | 2010-06-02 | 2013-07-23 | International Business Machines Corporation | Interface structure for channel mobility improvement in high-k metal gate stack |
CN102299155A (en) * | 2010-06-22 | 2011-12-28 | 中国科学院微电子研究所 | Semiconductor device and manufacturing method thereof |
US20120080760A1 (en) * | 2010-10-01 | 2012-04-05 | National Chiao Tung University | Dielectric structure, transistor and manufacturing method thereof |
US20120139014A1 (en) * | 2010-12-01 | 2012-06-07 | International Business Machines Corporation | Structure and method for low temperature gate stack for advanced substrates |
CN103748686A (en) * | 2011-08-05 | 2014-04-23 | 硅存储技术公司 | A non-volatile memory cell having a high k dielectric and metal gate |
TWI473210B (en) * | 2011-08-05 | 2015-02-11 | Silicon Storage Tech Inc | A non-volatile memory cell having a high k dielectric and metal gate |
US20130032872A1 (en) * | 2011-08-05 | 2013-02-07 | Silicon Storage Technology, Inc. | Non-volatile Memory Cell Having A High K Dielectric And Metal Gate |
WO2013022618A1 (en) * | 2011-08-05 | 2013-02-14 | Silicon Storage Technology, Inc. | A non-volatile memory cell having a high k dielectric and metal gate |
KR101552448B1 (en) * | 2011-08-05 | 2015-09-10 | 실리콘 스토리지 테크놀로지 인크 | A non-volatile memory cell having a high k dielectric and metal gate |
US8883592B2 (en) * | 2011-08-05 | 2014-11-11 | Silicon Storage Technology, Inc. | Non-volatile memory cell having a high K dielectric and metal gate |
CN103165606A (en) * | 2011-12-16 | 2013-06-19 | 台湾积体电路制造股份有限公司 | Enhanced gate replacement process for high-k metal gate technology |
US20130154021A1 (en) * | 2011-12-16 | 2013-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Enhanced gate replacement process for high-k metal gate technology |
US9177870B2 (en) * | 2011-12-16 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company Ltd. | Enhanced gate replacement process for high-K metal gate technology |
US9691876B2 (en) | 2011-12-16 | 2017-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Enhanced gate replacement process for high-K metal gate technology |
CN103367132A (en) * | 2012-03-29 | 2013-10-23 | 台湾积体电路制造股份有限公司 | Method of fabricating a metal gate semiconductor device |
US8865551B2 (en) * | 2012-06-28 | 2014-10-21 | International Business Machines Corporation | Reducing the inversion oxide thickness of a high-k stack fabricated on high mobility semiconductor material |
US8853751B2 (en) * | 2012-06-28 | 2014-10-07 | International Business Machines Corporation | Reducing the inversion oxide thickness of a high-K stack fabricated on high mobility semiconductor material |
US20150263124A1 (en) * | 2012-08-29 | 2015-09-17 | Ps4 Luxco S.A.R.L. | Semiconductor device, and method for producing same |
US9786762B2 (en) * | 2012-08-29 | 2017-10-10 | Longitude Semiconductor S.A.R.L. | Gate electrode of a semiconductor device, and method for producing same |
US9190409B2 (en) | 2013-02-25 | 2015-11-17 | Renesas Electronics Corporation | Replacement metal gate transistor with controlled threshold voltage |
US9177956B2 (en) * | 2013-07-31 | 2015-11-03 | Globalfoundries Inc. | Field effect transistor (FET) with self-aligned contacts, integrated circuit (IC) chip and method of manufacture |
US9281310B2 (en) | 2013-11-12 | 2016-03-08 | SK Hynix Inc. | Semiconductor device including gate structure for threshold voltage modulation in transistors and method for fabricating the same |
US9548304B2 (en) * | 2013-11-12 | 2017-01-17 | SK Hynix Inc. | Semiconductor device including gate structure for threshold voltage modulation in transistors and method for fabricating the same |
KR102128450B1 (en) * | 2013-11-12 | 2020-06-30 | 에스케이하이닉스 주식회사 | Method and gate ructure for threshold voltage modulation in transistors |
KR20150054422A (en) * | 2013-11-12 | 2015-05-20 | 에스케이하이닉스 주식회사 | Method and gate ructure for threshold voltage modulation in transistors |
US9472465B2 (en) * | 2014-05-06 | 2016-10-18 | GlobalFoundries, Inc. | Methods of fabricating integrated circuits |
US20150325681A1 (en) * | 2014-05-06 | 2015-11-12 | GlobalFoundries, Inc. | Methods of fabricating integrated circuits |
US9553092B2 (en) | 2015-06-12 | 2017-01-24 | Globalfoundries Inc. | Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs |
US9905476B2 (en) | 2015-06-12 | 2018-02-27 | Globalfoundries Inc. | Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs |
US9859392B2 (en) | 2015-09-21 | 2018-01-02 | Samsung Electronics Co., Ltd. | Integrated circuit device and method of manufacturing the same |
US10312341B2 (en) | 2015-09-21 | 2019-06-04 | Samsung Electronics Co., Ltd. | Integrated circuit device and method of manufacturing the same |
US20170154890A1 (en) * | 2015-11-30 | 2017-06-01 | Samsung Electronics Co., Ltd. | One time programmable memory device, method of manufacturing the same, and electronic device including the same |
CN106910740A (en) * | 2015-11-30 | 2017-06-30 | 三星电子株式会社 | One Time Programmable storage device |
US10186516B2 (en) * | 2015-11-30 | 2019-01-22 | Samsung Electronics Co., Ltd. | One time programmable memory device, method of manufacturing the same, and electronic device including the same |
US10686039B2 (en) | 2017-10-30 | 2020-06-16 | International Business Machines Corporation | Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end |
US10319818B2 (en) | 2017-10-30 | 2019-06-11 | International Business Machines Corporation | Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end |
US10686040B2 (en) | 2017-10-30 | 2020-06-16 | International Business Machines Corporation | Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end |
CN109801878A (en) * | 2017-11-16 | 2019-05-24 | 三星电子株式会社 | Semiconductor device and the method for gate structure is provided for its multiple component |
US10804158B2 (en) * | 2018-04-10 | 2020-10-13 | Samsung Electronics Co., Ltd. | Methods of fabricating semiconductor devices including differing barrier layer structures |
Also Published As
Publication number | Publication date |
---|---|
US20120184093A1 (en) | 2012-07-19 |
US9236314B2 (en) | 2016-01-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9236314B2 (en) | High-K/metal gate stack using capping layer methods, IC and related transistors | |
US8021939B2 (en) | High-k dielectric and metal gate stack with minimal overlap with isolation region and related methods | |
US8383483B2 (en) | High performance CMOS circuits, and methods for fabricating same | |
US10424517B2 (en) | Method for manufacturing a dual work function semiconductor device and the semiconductor device made thereof | |
CN101421839B (en) | Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled cmos devices | |
US8704280B2 (en) | Semiconductor device with strained channels induced by high-k capping metal layers | |
US7592678B2 (en) | CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof | |
US7425497B2 (en) | Introduction of metal impurity to change workfunction of conductive electrodes | |
US6653698B2 (en) | Integration of dual workfunction metal gate CMOS devices | |
US8685814B2 (en) | Transistor device and method of manufacture thereof | |
US7432567B2 (en) | Metal gate CMOS with at least a single gate metal and dual gate dielectrics | |
US8053306B2 (en) | PFET with tailored dielectric and related methods and integrated circuit | |
EP2112687B1 (en) | Method for fabricating a dual workfunction semiconductor device and the device made thereof | |
US8105892B2 (en) | Thermal dual gate oxide device integration | |
US20120273890A1 (en) | Method of Fabricating a Gate Stack Integration of Complementary MOS Device | |
US8786022B2 (en) | Semiconductor device and semiconductor device manufacturing method | |
US20100308418A1 (en) | Semiconductor Devices and Methods of Manufacture Thereof | |
KR20110050599A (en) | Changing effective work function using ion implantation during dual work function metal gate integration | |
US7833849B2 (en) | Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode | |
US8846474B2 (en) | Dual workfunction semiconductor devices and methods for forming thereof | |
US20090250760A1 (en) | Methods of forming high-k/metal gates for nfets and pfets |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUDZIK, MICHAEL P;MOUMEN, NAIM;NARAYANAN, VIJAY;AND OTHERS;REEL/FRAME:020235/0018;SIGNING DATES FROM 20071115 TO 20071130 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001 Effective date: 20150629 |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001 Effective date: 20150910 |
|
AS | Assignment |
Owner name: ALSEPHINA INNOVATIONS INC., CANADA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049709/0871 Effective date: 20181126 |