US10056367B2 - Gate stack integrated metal resistors - Google Patents
Gate stack integrated metal resistors Download PDFInfo
- Publication number
- US10056367B2 US10056367B2 US15/293,580 US201615293580A US10056367B2 US 10056367 B2 US10056367 B2 US 10056367B2 US 201615293580 A US201615293580 A US 201615293580A US 10056367 B2 US10056367 B2 US 10056367B2
- Authority
- US
- United States
- Prior art keywords
- gate stack
- metal
- semiconductor device
- forming
- gate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 95
- 239000002184 metal Substances 0.000 title claims abstract description 95
- 238000000034 method Methods 0.000 claims abstract description 75
- 239000004065 semiconductor Substances 0.000 claims abstract description 72
- 239000000758 substrate Substances 0.000 claims abstract description 19
- 238000000151 deposition Methods 0.000 claims abstract description 14
- 238000000059 patterning Methods 0.000 claims abstract description 14
- 239000000463 material Substances 0.000 claims description 16
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 4
- 239000002019 doping agent Substances 0.000 claims description 4
- 238000000609 electron-beam lithography Methods 0.000 claims description 3
- 229920003229 poly(methyl methacrylate) Polymers 0.000 claims 1
- 239000004926 polymethyl methacrylate Substances 0.000 claims 1
- 230000008569 process Effects 0.000 description 33
- 229910052581 Si3N4 Inorganic materials 0.000 description 14
- 238000005530 etching Methods 0.000 description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 14
- 239000000203 mixture Substances 0.000 description 7
- 238000002955 isolation Methods 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 3
- 229910052737 gold Inorganic materials 0.000 description 3
- 239000010931 gold Substances 0.000 description 3
- 210000002381 plasma Anatomy 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 239000004332 silver Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 239000004615 ingredient Substances 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- RJCQBQGAPKAMLL-UHFFFAOYSA-N bromotrifluoromethane Chemical compound FC(F)(F)Br RJCQBQGAPKAMLL-UHFFFAOYSA-N 0.000 description 1
- 238000000224 chemical solution deposition Methods 0.000 description 1
- 239000000306 component Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- -1 for example Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000013208 measuring procedure Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 235000011007 phosphoric acid Nutrition 0.000 description 1
- 150000003016 phosphoric acids Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0611—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
- H01L27/0617—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
- H01L27/0629—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/07—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
- H01L27/0705—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
- H01L27/0727—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
- H01L27/0738—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with resistors only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/20—Resistors
- H01L28/24—Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
- H01L29/4238—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/435—Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/495—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
- H01L29/4958—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0248—Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
- H01L27/0251—Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
- H01L27/0288—Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0611—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
- H01L27/0617—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
- H01L27/0635—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors and diodes, or resistors, or capacitors
Definitions
- the present invention relates to metal-oxide-semiconductor field-effect transistors (MOSFET), and more specifically, to forming metal resistors.
- MOSFET metal-oxide-semiconductor field-effect transistors
- the MOSFET is a transistor used for amplifying or switching electronic signals.
- the MOSFET has a source, a drain, and a metal oxide gate electrode.
- the metal gate is electrically insulated from the main semiconductor n-channel or p-channel by a thin layer of insulating material, for example, silicon dioxide or glass, which makes the input resistance of the MOSFET relatively high.
- the gate voltage controls whether the path from drain to source is an open circuit (“off”) or a resistive path (“on”).
- NFET N-type field effect transistors
- PFET p-type field effect transistors
- the NFET uses electrons as the current carriers and is built with n-doped source and drain junctions.
- the PFET uses holes as the current carriers and is built with p-doped source and drain junctions.
- the fin-type field effect transistor is a type of MOSFET.
- the FinFET contains a conformal gate around the fin that mitigates the effects of short channels and reduces drain-induced barrier lowering.
- the “fin” refers to the narrow channel between source and drain regions.
- a thin insulating high-k gate oxide layer around the fin separates the fin channel from the gate metal.
- CMOS complementary metal-oxide semiconductor
- CMOS complementary metal-oxide semiconductor
- CMOS complementary metal-oxide semiconductor
- RM metal resistors
- MOL middle of line
- methods of forming a semiconductor device includes forming a gate stack having a self-aligning cap and a gate metal on a substrate, depositing a resist mask onto the semiconductor device, and patterning the resist mask such that the gate stack is exposed. Additionally, methods include removing the self-aligning cap and the gate metal from the exposed gate stack, depositing a resistor metal on the semiconductor device such that a metal resistor is formed within the exposed gate stack, and forming a bar contact and contact via above the metal resistor.
- methods of forming a semiconductor device include forming a gate stack in a dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above a shallow-trench-isolation oxide layer disposed on a substrate. Methods, in some aspects, further include forming a gate stack in a non-dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above the substrate. Further, in some aspects methods include, depositing a resist mask onto the semiconductor device, patterning the resist mask such that the gate stack in the dummy gate region is exposed, and removing the self-aligning cap and the gate metal from the exposed gate stack. Additionally, in some aspects methods include depositing a resistor metal on the semiconductor device such that a metal resistor is formed within the exposed gate stack, and forming a bar contact and contact via above the metal resistor.
- semiconductor devices that include, in some aspects, a gate stack in a dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above a shallow-trench-isolation oxide layer disposed on a substrate.
- the devices can also include a gate stack in a non-dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above the substrate, wherein the gate stack in the dummy gate region is replaced with a metal resistor.
- FIG. 1 illustrates a semiconductor device shown from a top-down perspective
- FIG. 2 illustrates a cross-sectional view of the semiconductor device of FIG. 1 cut along line A-A;
- FIG. 3 illustrates a cross-sectional view of the semiconductor device of FIG. 1 cut along line B-B;
- FIG. 4 illustrates an example starting point for forming a semiconductor device having metal resistor within a gate stack
- FIG. 5A illustrates the semiconductor device having a lithography resist mask disposed on the semiconductor device such that the dummy gate stack is exposed;
- FIG. 5B illustrates the semiconductor device having a lithography resist mask disposed on the semiconductor device such that the dummy gate stack is exposed;
- FIG. 6 illustrates the semiconductor device having the nitride cap removed
- FIG. 7 illustrates the semiconductor device having the gate metal recessed
- FIG. 8 illustrates the semiconductor device having an RM metal layer
- FIG. 9 illustrates the semiconductor device following a planarization process
- FIG. 10 illustrates the semiconductor device having a bar contact formed thereon
- FIG. 11 illustrates the semiconductor device having a dielectric layer disposed thereon
- FIG. 12 illustrates the semiconductor device having a V 0 contact via and a metal interconnect formed thereon.
- the present invention relates to MOSFETs, and particularly to forming metal resistors, which are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments.
- compositions comprising, “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion.
- a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but includes other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
- invention or “present invention” are non-limiting terms and not intended to refer to any single aspect of the particular invention but encompass all possible aspects as described in the specification and the claims.
- the term “about” modifying the quantity of an ingredient, component, or reactant of the invention employed refers to variation in the numerical quantity that can occur, for example, through typical measuring and liquid handling procedures used for making concentrates or solutions. Furthermore, variation can occur from inadvertent error in measuring procedures, differences in the manufacture, source, or purity of the ingredients employed to make the compositions or carry out the methods, and the like.
- the term “about” means within 10% of the reported numerical value.
- the term “about” means within 5% of the reported numerical value.
- the term “about” means within 10, 9, 8, 7, 6, 5, 4, 3, 2, or 1% of the reported numerical value.
- RM metal resistors
- MOL middle of line
- metal resistor can be integrated into a gate stack structure.
- RM formation within the gate stack provides scaling benefit and can solve patterning problems that are typically associated with MOL resistor forming techniques.
- FIG. 1 a semiconductor device 100 is shown from a top-down perspective.
- the semiconductor device 100 includes a metal resistor (RM) 102 , a contact bar (CA or CB) 104 , a V 0 contact via 106 , and a metal interconnect 108 .
- FIG. 1 illustrates only a portion of the semiconductor device 100 , as is shown in FIGS. 2-3 , as FIG. 1 illustrates a dummy gate region 101 of the semiconductor device 100 .
- the semiconductor device 100 can have any number of other features that are not shown in FIG. 1 .
- FIG. 2 is a cross-sectional view of the semiconductor device 100 cut along line A-A.
- FIG. 3 is a cross-sectional view of the semiconductor device 100 cut along line B-B.
- the semiconductor device 100 includes a substrate 105 , an oxide layer 107 , a liner 109 , and any other layer desired.
- the semiconductor device 100 contains a dummy gate region 101 and a non-dummy gate region 103 .
- the non-dummy gate region 103 contains an active gate stack 110 .
- the active gate stack 110 can have a self-aligned cap 112 , gate metal 113 , a source/drain epitaxial growth layer 116 , and any other layer desired for gate formation such as a low-k dielectric layer, high-k dielectric layer, liners such as SiN, and any other layer.
- the metal interconnect 108 shown in FIG. 1 is not shown in FIGS. 2-3 .
- the gates 110 can be formed over fins (not shown) patterned from a substrate. Epitaxial contacts 116 forming source and drain regions on opposing sides of the gates can be positioned over the fins. While any suitable method of forming gates 110 can be used, in some aspects, initially, fins (not shown) are patterned and etched into an underlying substrate 105 and separated by shallow trench isolation (STI) regions (such as layer 107 ). The fins may be formed from a substrate made of, for example, silicon, silicon germanium, or other suitable semiconductor material. A sacrificial insulator layer (not shown) can surround the fins. A STI etching and dielectric fill process can be performed to form the STI regions between sets of fins.
- STI shallow trench isolation
- the STI regions are isolation regions formed by etching trenches in the substrate and then filling the trenches with, for example, silicon oxide.
- the trenches may be lined with a silicon oxide liner formed by a thermal oxidation process and then filled with additional silicon oxide or another material.
- Replacement gates 110 can then be formed over the fins.
- the replacement gates 110 are filled with a suitable replacement material, for example, amorphous silicon (polysilicon).
- An insulating hard mask layer for example, silicon nitride (SiN), SiOCN, or SiBCN is deposited on the replacement gate silicon to form a PC hard mask.
- the replacement gate 110 is then patterned and etched into the silicon and hard mask layer to form high aspect-ratio replacement gates over the substrate 105 .
- An insulating liner material for example, silicon nitride (SiN), SiOCN, or SiBCN, is deposited over the replacement gates 110 , and then a reactive ion etch (RIE) process can be performed to form spacers surrounding the replacement gates 110 .
- RIE reactive ion etch
- n-type (or p-type) epitaxial contacts can be formed around the fins, by applying an organic patterning stack (not shown) over the p-type gate (or n-type gate) replacement gate 110 and patterned.
- a directional ME process can be performed to remove the spacer material to expose the underlying fins.
- An epitaxial growth process over the fins can form the source and drain regions.
- Suitable materials for the epitaxial contacts depend on the type of MOSFET (n-type or p-type). Non-limiting examples of suitable materials include silicon or silicon-germanium containing p-type dopants (e.g., boron), n-type dopants (e.g., phosphorus), or any combination thereof.
- a low-k dielectric oxide forming the ILD layer 118 can then disposed over the epitaxial contacts 116 .
- the ILD layer 118 may be formed from, for example, a low-k dielectric oxide, including but not limited to, spin-on-glass, a flowable oxide, a high density plasma oxide, or any combination thereof.
- the dummy gate region 101 in some aspects, can be formed at the same time as the non-dummy gate region 103 .
- the dummy gate region 101 include an ILD oxide layer 118 and a liner 120 disposed above the oxide layer 107 , and a dummy gate stack (not shown in FIGS. 1-3 ). As described herein, it is this dummy gate stack that can be replaced to form a metal resistor 102 that is integrated into the gate stack.
- the contact (CA or CB) bar 104 can be formed, along with other desired layers such as flowable oxide layer 122 and/or a dielectric layer 124 .
- FIGS. 4-12 A variety of methods can be utilized to form the semiconductor device 100 having metal resistor 102 within a gate stack. Some of such methods are illustrated in FIGS. 4-12 .
- FIG. 4 illustrates an example starting point for forming a semiconductor device 400 having metal resistor within a gate stack.
- the semiconductor device 400 having an integrated metal resistor can be formed by first starting with a semiconductor device 400 having a dummy gate region 401 and a non-dummy gate region 403 .
- the semiconductor device 400 includes a substrate 405 , an oxide layer 407 , a liner 409 , and any other layer desired.
- the non-dummy gate region 403 contains an active gate stack 410 .
- the gate stack 410 can have a silicon nitride (SiN) cap 412 , gate metal 414 , a source/drain epitaxial growth layer 416 , and any other layer desired for gate formation such as a low-k dielectric layer, high-k dielectric layer, liners such as SiN, and any other layer.
- the semiconductor device 400 can optionally include a liner 409 formed above substrate 405 .
- the liner 409 can be silicon nitride (SiN), however, any suitable liner material can be used.
- the semiconductor device 400 can also include an oxide layer 407 . As shown, the oxide 407 can be beneath the dummy gates in the dummy gate region 401 . In some aspects the oxide 407 can be a shallow-trench-isolation (STI) oxide. Above the oxide 407 , the device 400 includes a dummy gate stack 402 d.
- STI shallow-trench-isolation
- the dummy gate stack 402 d can include any desired gate materials and layers and can be formed using any known gate formation technique.
- the dummy gate stack 402 d can be formed simultaneously with gate stacks 402 and can be formed using either a gate-first or a gate-last technique.
- a replacement metal gate (RMG) can be used.
- the gate stacks 402 and 402 d include a high-k oxide, work function metal, and a gate metal.
- suitable high-k oxides include hafnium dioxide, aluminum oxide, zirconium dioxide, hafnium silicate, zirconium silicate or any combination thereof.
- Non-limiting examples of suitable work function metals include aluminum, titanium, silver, copper, gold, or any combination thereof.
- suitable gate metals include tungsten, tungsten titanium nitride, titanium, titanium nitride, tantalum, molybdenum, or any combination thereof.
- a self-aligned contact (SAC) cap 112 , 428 can also be deposited which includes a hard mask material, for example, SiN.
- a CMP process can be performed over the SAC cap 112 , 428 to planarize the structure.
- FIGS. 5A-5B illustrate the semiconductor device 400 having a lithography resist mask 426 disposed on the semiconductor device 400 such that the dummy gate stack 402 d is exposed.
- the resist mask 426 can be deposited on the device 400 such that the resist covers the entire exposed surface of the device 400 . Then, the resist mask 426 can be patterned as shown in FIGS. 5A-5B to expose the dummy gate stacks 402 d . Any suitable resist mask can be used and any suitable method of depositing and patterning the resist mask 426 can be used. In some aspects, the resist mask 426 can also be referred to as a sacrificial patterning layer.
- the resist mask 426 can be deposited by any suitable method depending on the type of material and can be, for example, plasma-enhanced chemical vapor deposition (PECVD) or atomic layer deposition (ALD).
- PECVD plasma-enhanced chemical vapor deposition
- ALD atomic layer deposition
- the resist mask 426 can be any lithographic materials including but not limited to photo resists, BARC/Resist bilayer, and/or organic planarization layer (OPL)/SiARC/Resist.
- the resist mask 426 can be patterned using electron beam lithography.
- the dummy gate structure 402 d can be removed systematically such that the gate structure 402 d can be replaced with a metal resistor.
- FIG. 6 illustrates the semiconductor device 400 having the nitride cap 428 removed.
- the gate structure 402 d exposed by the patterned resist mask 426 can be etched to remove the nitride cap 428 .
- the nitride cap 428 in some aspects and as described above, can be formed of silicon nitride (SiN). As such, a selective etching process that removes only SiN can be used. Any suitable etching process can be used though.
- the remaining gate metal 430 and other layers of the dummy gate stack 402 d are exposed along with portion of the oxide layer 422 in the space vacated by the nitride cap 428 , as shown in FIG. 6 .
- the gate metal 430 can be recessed or removed.
- FIG. 7 illustrates the semiconductor device 400 having the gate metal 430 recessed.
- Any suitable gate metal can be used, such as for example, tungsten (W), titanium nitride (TiN), aluminum (Al) doped TiN, and/or tantalum nitride (TaN).
- the gate metal 430 can be removed or recessed using any known technique including but not limited to isotropic or anisotropic etching processes. In some aspects, etching can be performed with fluorinated gas plasmas, such as SF6, CF5, CBrF3 and/or CHF3.
- the resist mask 426 can be removed from the semiconductor device 400 . Any suitable process can be used to remove the resist mask 426 including but not limited to chemical-mechanical planarization (CMP) or an etching process. Moreover, once the resist mask 426 is removed, the exposed dummy gate stack 402 d can be filled with a metal resistor (RM) metal layer.
- FIG. 8 illustrates the semiconductor device 400 having an RM metal layer 432 . As shown, the RM metal layer 432 can be deposited across the entire surface of the semiconductor device 400 such that the dummy gate stack 402 d is filled with the RM metal as well as the rest of the device 400 surface.
- the RM metal layer 432 can be any suitable resistor metal. For example, in some aspects the RM metal layer 432 is any of tungsten, tungsten carbide, or tungsten silicide (WSi). In some aspects, the RM metal layer 432 is tungsten carbide.
- FIG. 9 illustrates the semiconductor device 400 following a planarization process.
- the semiconductor device 400 can be planarized using any suitable planarization technique or other etching technique.
- a CMP process can be used to planarize the device, stopping at the oxide layer 422 .
- an etching process can be used that is selective to the oxide layer 422 . As such, the RM metal layer 432 will remain in the dummy gate stack 402 d and the surface of the device 400 will have a planar surface.
- a CA or CB bar contact 436 and V 0 contact via 440 can be formed on the semiconductor device 400 .
- Any suitable technique such as typical middle-of-line (MOL) processing, can be used to form the bar contact 436 and V 0 contact 440 .
- FIG. 10 illustrates the semiconductor device 400 having a bar contact 436 formed thereon.
- the bar contact 436 can be formed along with an additional oxide layer 434 .
- the oxide layer 434 can be of the same material as oxide layer 422 , or in some aspects, the oxide layer 434 can be a different oxide than that of layer 422 .
- the bar contact 436 can be any suitable bar contact material.
- the bar contact 436 is formed of any of nickel, cobalt, iron, copper, gold, silver, ruthenium, palladium, platinum, iridium, and any mixtures or alloys thereof. Additionally, the bar contact 436 can have any suitable dimensions. For example, in some aspects the bar contact 436 can be between about 20 nanometers (nm) and 100 nanometers (nm) thick. The bar contact 436 , as shown in FIGS. 10-12 , can span the length of the dummy gate stacks 402 d in dummy gate region 401 but any other suitable configuration can be used.
- FIG. 11 illustrates the semiconductor device 400 having a dielectric layer 438 disposed thereon.
- a dielectric layer 438 can be deposited above the bar contact 436 .
- Any suitable dielectric material can be used.
- dielectric layer 438 is a low-k dielectric material.
- FIG. 12 illustrates the semiconductor device 400 having a V 0 contact via 440 and a metal interconnect 442 formed thereon.
- a V 0 contact via 440 can be formed therein. Any suitable method of forming contact vias can be used. For example, the contact via 440 can be drilled or etched into the dielectric layer. Once the contact via 440 is formed, such as by drilling, a metal interconnect 442 can be formed on the surface of the device 400 such that the vias 440 are filled with the metal forming the metal interconnect 442 . In some aspects, the metal interconnect 442 can be formed of any suitable metal interconnect material.
- the metal interconnect 442 can be formed of any of nickel, cobalt, iron, copper, gold, silver, ruthenium, palladium, platinum, iridium, and any mixtures or alloys thereof.
- the metal interconnect 442 (and the V 0 contact via 440 ) can be copper.
- methods of forming a semiconductor device include forming a gate stack having a self-aligning cap and a gate metal on a substrate.
- a method of forming a semiconductor device includes forming a gate stack in a dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above a shallow-trench-isolation oxide layer disposed on a substrate and forming a gate stack in a non-dummy gate region of the semiconductor device, the gate stack having a self-aligning cap and a gate metal and being disposed above the substrate.
- Methods can further include depositing a resist mask onto the semiconductor device and patterning the resist mask such that the gate stack is exposed (for example, the exposed gate stack can be a gate stack in the dummy gate region).
- the exposed gate stack can be a gate stack in the dummy gate region.
- methods include removing the self-aligning cap and the gate metal from the exposed gate stack and depositing a resistor metal on the semiconductor device such that a metal resistor is formed within the exposed gate stack. Once the resistor is formed, methods include forming a bar contact and contact via above the metal resistor.
- deposition means any suitable deposition process including but not limited to chemical vapor deposition (CVD), plasma vapor deposition (PVD), plasma enhanced CVD, atomic layer deposition (ALD), evaporation, chemical solution deposition, or like processes.
- etching includes any suitable etching process including but not limited to wet etching processes using aqueous hydrofluoric acid (HF) and phosphoric acids, lithographic patterning and etching processes, reactive ion etching (RIE).
- patterning means any suitable patterning process, including electron beam lithography.
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
Description
Claims (10)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/293,580 US10056367B2 (en) | 2015-11-18 | 2016-10-14 | Gate stack integrated metal resistors |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/944,436 US9570571B1 (en) | 2015-11-18 | 2015-11-18 | Gate stack integrated metal resistors |
US15/293,580 US10056367B2 (en) | 2015-11-18 | 2016-10-14 | Gate stack integrated metal resistors |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/944,436 Continuation US9570571B1 (en) | 2015-11-18 | 2015-11-18 | Gate stack integrated metal resistors |
Publications (2)
Publication Number | Publication Date |
---|---|
US20170140993A1 US20170140993A1 (en) | 2017-05-18 |
US10056367B2 true US10056367B2 (en) | 2018-08-21 |
Family
ID=57964987
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/944,436 Expired - Fee Related US9570571B1 (en) | 2015-11-18 | 2015-11-18 | Gate stack integrated metal resistors |
US15/158,682 Active 2036-04-04 US10056366B2 (en) | 2015-11-18 | 2016-05-19 | Gate stack integrated metal resistors |
US15/293,580 Active 2036-03-26 US10056367B2 (en) | 2015-11-18 | 2016-10-14 | Gate stack integrated metal resistors |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/944,436 Expired - Fee Related US9570571B1 (en) | 2015-11-18 | 2015-11-18 | Gate stack integrated metal resistors |
US15/158,682 Active 2036-04-04 US10056366B2 (en) | 2015-11-18 | 2016-05-19 | Gate stack integrated metal resistors |
Country Status (1)
Country | Link |
---|---|
US (3) | US9570571B1 (en) |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9570571B1 (en) | 2015-11-18 | 2017-02-14 | International Business Machines Corporation | Gate stack integrated metal resistors |
US10734522B2 (en) * | 2016-06-15 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with gate stacks |
US9824967B1 (en) * | 2016-07-28 | 2017-11-21 | International Business Machines Corporation | Semiconductor resistor structures embedded in a middle-of-the-line (MOL) dielectric |
US9917082B1 (en) * | 2017-01-17 | 2018-03-13 | International Business Machines Corporation | Approach to fabrication of an on-chip resistor with a field effect transistor |
US10811320B2 (en) * | 2017-09-29 | 2020-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Footing removal in cut-metal process |
CN111194482A (en) * | 2017-11-30 | 2020-05-22 | 英特尔公司 | Fin patterning for advanced integrated circuit structure fabrication |
JP2020031149A (en) * | 2018-08-23 | 2020-02-27 | キオクシア株式会社 | Semiconductor memory and method for manufacturing semiconductor memory |
US11056537B2 (en) | 2019-03-27 | 2021-07-06 | International Business Machines Corporation | Self-aligned gate contact integration with metal resistor |
US11075197B2 (en) * | 2019-07-22 | 2021-07-27 | Samsung Electronics Co., Ltd. | Resistor with doped regions and semiconductor devices having the same |
TWI809384B (en) * | 2020-04-28 | 2023-07-21 | 台灣積體電路製造股份有限公司 | Integrated circuit structure and method of forming the same |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050142797A1 (en) * | 2003-12-24 | 2005-06-30 | Ahn Heui G. | Isolation methods in semiconductor devices |
US20090090977A1 (en) * | 2007-10-09 | 2009-04-09 | International Business Machines Corporation | Resistor and fet formed from the metal portion of a mosfet metal gate stack |
US20090286378A1 (en) * | 2008-05-19 | 2009-11-19 | Nec Electronics Corporation | Semiconductor device and method of manufacturing the same |
US20100308330A1 (en) * | 2009-06-05 | 2010-12-09 | Knut Stahrenberg | Methods of Manufacturing Resistors and Structures Thereof |
US20110198705A1 (en) * | 2010-02-18 | 2011-08-18 | Broadcom Corporation | Integrated resistor using gate metal for a resistive element |
US20140084381A1 (en) * | 2012-09-24 | 2014-03-27 | Jeng-Ya D. Yeh | Precision resistor for non-planar semiconductor device architecture |
US20140167180A1 (en) * | 2012-12-13 | 2014-06-19 | Samsung Electronics Co., Ltd. | Semiconductor devices including a resistor structure |
US20140167181A1 (en) * | 2012-12-13 | 2014-06-19 | Samsung Electronics Co., Ltd. | Semiconductor devices including a resistor structure and methods of forming the same |
US9570571B1 (en) | 2015-11-18 | 2017-02-14 | International Business Machines Corporation | Gate stack integrated metal resistors |
Family Cites Families (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL188432C (en) * | 1980-12-26 | 1992-06-16 | Nippon Telegraph & Telephone | METHOD FOR MANUFACTURING A MOSFET |
NL8900305A (en) * | 1989-02-08 | 1990-09-03 | Philips Nv | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE |
US6406956B1 (en) * | 2001-04-30 | 2002-06-18 | Taiwan Semiconductor Manufacturing Company | Poly resistor structure for damascene metal gate |
US7397087B2 (en) | 2004-08-06 | 2008-07-08 | International Business Machines Corporation | FEOL/MEOL metal resistor for high end CMOS |
US20080067629A1 (en) | 2006-08-17 | 2008-03-20 | Toshiba America Electronic Components, Inc. | Electrical Fuse Having Resistor Materials Of Different Thermal Stability |
US7879666B2 (en) | 2008-07-23 | 2011-02-01 | Freescale Semiconductor, Inc. | Semiconductor resistor formed in metal gate stack |
US7994576B2 (en) | 2009-06-22 | 2011-08-09 | United Microelectronics Corp. | Metal gate transistor and resistor and method for fabricating the same |
US8890260B2 (en) * | 2009-09-04 | 2014-11-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Polysilicon design for replacement gate technology |
US8361848B2 (en) * | 2010-04-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Precise resistor on a semiconductor device |
DE102010028465B4 (en) * | 2010-04-30 | 2013-09-19 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | A method of fabricating a metal gate semiconductor device and semiconductor resistors made on the basis of an exchange gate method |
US8058125B1 (en) | 2010-08-04 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Poly resistor on a semiconductor device |
US8492286B2 (en) | 2010-11-22 | 2013-07-23 | International Business Machines Corporation | Method of forming E-fuse in replacement metal gate manufacturing process |
JP5539537B2 (en) * | 2010-11-29 | 2014-07-02 | ルネサスエレクトロニクス株式会社 | Semiconductor device |
KR20120102443A (en) | 2011-03-08 | 2012-09-18 | 삼성전자주식회사 | Lighting device and display device therewith |
US8981527B2 (en) * | 2011-08-23 | 2015-03-17 | United Microelectronics Corp. | Resistor and manufacturing method thereof |
US8680618B2 (en) | 2011-10-17 | 2014-03-25 | Texas Instruments Incorporated | Structure and method for integrating front end SiCr resistors in HiK metal gate technologies |
US9070624B2 (en) * | 2011-12-16 | 2015-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including polysilicon resistor and metal gate resistor and methods of fabricating thereof |
US9147678B2 (en) * | 2012-01-04 | 2015-09-29 | United Microelectronics Corp. | Resistor and fabrication method thereof |
US8586436B2 (en) * | 2012-03-20 | 2013-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device |
US8786025B2 (en) * | 2012-04-19 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for forming same |
US9000564B2 (en) | 2012-12-21 | 2015-04-07 | Stmicroelectronics, Inc. | Precision polysilicon resistors |
US9012293B2 (en) | 2013-01-10 | 2015-04-21 | Globalfoundries Singapore Pte. Ltd. | Sandwich damascene resistor |
KR102274587B1 (en) * | 2014-07-16 | 2021-07-08 | 삼성전자주식회사 | Semiconductor Device and Method of fabricating the same |
-
2015
- 2015-11-18 US US14/944,436 patent/US9570571B1/en not_active Expired - Fee Related
-
2016
- 2016-05-19 US US15/158,682 patent/US10056366B2/en active Active
- 2016-10-14 US US15/293,580 patent/US10056367B2/en active Active
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050142797A1 (en) * | 2003-12-24 | 2005-06-30 | Ahn Heui G. | Isolation methods in semiconductor devices |
US20090090977A1 (en) * | 2007-10-09 | 2009-04-09 | International Business Machines Corporation | Resistor and fet formed from the metal portion of a mosfet metal gate stack |
US20090286378A1 (en) * | 2008-05-19 | 2009-11-19 | Nec Electronics Corporation | Semiconductor device and method of manufacturing the same |
US20100308330A1 (en) * | 2009-06-05 | 2010-12-09 | Knut Stahrenberg | Methods of Manufacturing Resistors and Structures Thereof |
US20110198705A1 (en) * | 2010-02-18 | 2011-08-18 | Broadcom Corporation | Integrated resistor using gate metal for a resistive element |
US20140084381A1 (en) * | 2012-09-24 | 2014-03-27 | Jeng-Ya D. Yeh | Precision resistor for non-planar semiconductor device architecture |
US20140167180A1 (en) * | 2012-12-13 | 2014-06-19 | Samsung Electronics Co., Ltd. | Semiconductor devices including a resistor structure |
US20140167181A1 (en) * | 2012-12-13 | 2014-06-19 | Samsung Electronics Co., Ltd. | Semiconductor devices including a resistor structure and methods of forming the same |
US9570571B1 (en) | 2015-11-18 | 2017-02-14 | International Business Machines Corporation | Gate stack integrated metal resistors |
Non-Patent Citations (2)
Title |
---|
List of IBM Patents or Patent Applications Treated as Related; (Appendix P), Date Filed-Mar. 29, 2017; 2 pages. |
List of IBM Patents or Patent Applications Treated as Related; (Appendix P), Date Filed—Mar. 29, 2017; 2 pages. |
Also Published As
Publication number | Publication date |
---|---|
US9570571B1 (en) | 2017-02-14 |
US20170141102A1 (en) | 2017-05-18 |
US10056366B2 (en) | 2018-08-21 |
US20170140993A1 (en) | 2017-05-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10056367B2 (en) | Gate stack integrated metal resistors | |
US10177240B2 (en) | FinFET device formed by a replacement metal-gate method including a gate cut-last step | |
US9853132B2 (en) | Nanosheet MOSFET with full-height air-gap spacer | |
US9640436B1 (en) | MOSFET with asymmetric self-aligned contact | |
US9202698B2 (en) | Replacement gate electrode with multi-thickness conductive metallic nitride layers | |
US10325848B2 (en) | Self-aligned local interconnect technology | |
US9773709B2 (en) | Forming CMOSFET structures with different contact liners | |
US10833019B2 (en) | Dual metal-insulator-semiconductor contact structure and formulation method | |
US9337254B1 (en) | Integrated FinFET capacitor | |
US9530890B1 (en) | Parasitic capacitance reduction | |
US9793161B2 (en) | Methods for contact formation for 10 nanometers and beyond with minimal mask counts | |
US10468491B1 (en) | Low resistance contact for transistors |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BASKER, VEERARAGHAVAN S.;CHENG, KANGGUO;STANDAERT, THEODORUS E.;AND OTHERS;REEL/FRAME:040017/0029 Effective date: 20151118 |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
FEPP | Fee payment procedure |
Free format text: SURCHARGE FOR LATE PAYMENT, LARGE ENTITY (ORIGINAL EVENT CODE: M1554); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 4 |