TWI730247B - Semiconductor devices and methods of fabricating the same - Google Patents
Semiconductor devices and methods of fabricating the same Download PDFInfo
- Publication number
- TWI730247B TWI730247B TW107127426A TW107127426A TWI730247B TW I730247 B TWI730247 B TW I730247B TW 107127426 A TW107127426 A TW 107127426A TW 107127426 A TW107127426 A TW 107127426A TW I730247 B TWI730247 B TW I730247B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- gate
- metal
- opening
- contact
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 179
- 239000004065 semiconductor Substances 0.000 title claims description 63
- 229910052751 metal Inorganic materials 0.000 claims abstract description 348
- 239000002184 metal Substances 0.000 claims abstract description 348
- 239000000758 substrate Substances 0.000 claims abstract description 113
- 239000002131 composite material Substances 0.000 claims abstract description 43
- 238000000151 deposition Methods 0.000 claims abstract description 40
- 238000004519 manufacturing process Methods 0.000 claims description 49
- 238000005530 etching Methods 0.000 claims description 28
- 125000006850 spacer group Chemical group 0.000 claims description 21
- 239000000126 substance Substances 0.000 claims description 21
- 238000007517 polishing process Methods 0.000 claims description 11
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052737 gold Inorganic materials 0.000 claims description 2
- 239000010931 gold Substances 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 447
- 230000008569 process Effects 0.000 description 88
- 239000000463 material Substances 0.000 description 31
- 230000004888 barrier function Effects 0.000 description 20
- 239000003292 glue Substances 0.000 description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 18
- 229910052710 silicon Inorganic materials 0.000 description 18
- 239000010703 silicon Substances 0.000 description 18
- 210000000746 body region Anatomy 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- 238000002955 isolation Methods 0.000 description 15
- 230000008901 benefit Effects 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 238000000059 patterning Methods 0.000 description 12
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 10
- 238000013461 design Methods 0.000 description 10
- 238000005516 engineering process Methods 0.000 description 10
- 238000001459 lithography Methods 0.000 description 10
- 229910052718 tin Inorganic materials 0.000 description 10
- 238000001312 dry etching Methods 0.000 description 9
- 230000005669 field effect Effects 0.000 description 9
- 230000006870 function Effects 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 9
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 9
- 238000001039 wet etching Methods 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000005380 borophosphosilicate glass Substances 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 239000005350 fused silica glass Substances 0.000 description 7
- 229910044991 metal oxide Inorganic materials 0.000 description 7
- 150000004706 metal oxides Chemical class 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- 238000001465 metallisation Methods 0.000 description 6
- 239000005360 phosphosilicate glass Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 230000008021 deposition Effects 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 229910052750 molybdenum Inorganic materials 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 229910052703 rhodium Inorganic materials 0.000 description 5
- 229910052707 ruthenium Inorganic materials 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- 239000003990 capacitor Substances 0.000 description 4
- 230000000295 complement effect Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 239000005368 silicate glass Substances 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 229910010038 TiAl Inorganic materials 0.000 description 3
- 229910010037 TiAlN Inorganic materials 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000002305 electric material Substances 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- -1 LaSiO Inorganic materials 0.000 description 2
- 229910004166 TaN Inorganic materials 0.000 description 2
- 229910010041 TiAlC Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32115—Planarisation
- H01L21/3212—Planarisation by chemical mechanical polishing [CMP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823468—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66537—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66613—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
- H01L29/66621—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Composite Materials (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
本發明實施例有關於半導體裝置及其製造方法,且特別有關於形成導孔先製金屬閘極接觸件的方法及其相關結構。 The embodiment of the present invention relates to a semiconductor device and a manufacturing method thereof, and particularly relates to a method of forming a via hole pre-made metal gate contact and its related structure.
半導體工業已經歷了對於更小且更快速的電子裝置之更增加的需求,且電子裝置同時能夠支撐大量的越來越複雜和精密的功能。因此,在半導體工業上持續朝向製造低成本、高效能和低功率的積體電路發展。這些遠大的目標已經藉由縮減半導體積體電路的尺寸(例如最小部件尺寸)而大部分達成,並藉此改善生產效率和降低伴隨的成本。然而,這樣的縮減也使得半導體製造製程增加複雜度。因此,在半導體積體電路和裝置上的持續發展之實現需要在半導體製造製程和技術上有類似的發展。 The semiconductor industry has experienced an increasing demand for smaller and faster electronic devices, and electronic devices can simultaneously support a large number of increasingly complex and sophisticated functions. Therefore, the semiconductor industry continues to develop towards manufacturing low-cost, high-efficiency, and low-power integrated circuits. These ambitious goals have been largely achieved by reducing the size of semiconductor integrated circuits (such as the smallest component size), thereby improving production efficiency and reducing accompanying costs. However, this reduction also increases the complexity of the semiconductor manufacturing process. Therefore, the realization of continuous development in semiconductor integrated circuits and devices requires similar development in semiconductor manufacturing process and technology.
僅作為一例子,形成可靠的接觸件至金屬閘極層,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間,其需要高度的重疊控制(例如圖案對圖案的對準)以及足夠大的製程容許度。然而,隨著積體電路尺寸的持續縮減,以及與新的圖案化技術(例如像是雙重圖案化)的連結,準確的重疊控制比以往更關鍵。此外,對於劇烈地縮減的積體電路而言, 其製程容許度變得更窄,這會導致裝置的劣化及/或失效。對於至少一些傳統製程而言,用於形成這樣的接觸件至金屬閘極層,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間,其半導體製造製程的製程容許度已經變得太窄,且沒有辦法更久地滿足製程容許度的需求。 Just as an example, a reliable contact is formed to the metal gate layer and is located between the metal gate layer and the adjacent source, drain, and/or body regions, which requires a high degree of overlap control (such as pattern pairing). Pattern alignment) and sufficient process tolerance. However, with the continuous reduction in the size of integrated circuits and the connection with new patterning technologies (such as double patterning), accurate overlap control is more critical than ever. In addition, for a drastically reduced integrated circuit, The process tolerance becomes narrower, which may lead to device degradation and/or failure. For at least some conventional processes, for forming such contacts to the metal gate layer, and between the metal gate layer and the adjacent source, drain, and/or body regions, the semiconductor manufacturing process The process tolerance has become too narrow, and there is no way to meet the process tolerance requirements for longer.
因此,現存的技術無法在全方位完全令人滿意。 Therefore, the existing technology cannot be completely satisfactory in all aspects.
在一些實施例中,半導體裝置的製造方法包含沉積第一介電層於基底之上,基底包含的閘極結構具有金屬閘極層;形成開口於第一介電層內,以露出相鄰於閘極結構之基底的一部分,並且沉積第一金屬層於開口內;沉積第二介電層於第一介電層之上和第一金屬層之上;蝕刻第一介電層和第二介電層,以形成閘極導孔的開口,其露出閘極結構的金屬閘極層;移除第二介電層的一部分,以形成接觸件開口,其露出第一金屬層,其中閘極導孔的開口和接觸件開口合併,以形成複合開口;以及沉積第二金屬層於複合開口內,其中第二金屬層經由第二金屬層的閘極導孔部分,電性連接閘極結構的金屬閘極層至第一金屬層。 In some embodiments, the method for manufacturing a semiconductor device includes depositing a first dielectric layer on a substrate, the substrate includes a gate structure having a metal gate layer; forming an opening in the first dielectric layer to expose adjacent Part of the base of the gate structure, and deposit a first metal layer in the opening; deposit a second dielectric layer on the first dielectric layer and on the first metal layer; etch the first dielectric layer and the second dielectric layer Electrical layer to form the opening of the gate via hole, which exposes the metal gate layer of the gate structure; remove a part of the second dielectric layer to form a contact opening, which exposes the first metal layer, wherein the gate conductive The opening of the hole and the contact opening are merged to form a composite opening; and a second metal layer is deposited in the composite opening, wherein the second metal layer is electrically connected to the metal of the gate structure through the gate via portion of the second metal layer The gate layer to the first metal layer.
在另一些實施例中,半導體裝置的製造方法包含形成第一金屬層,其鄰接閘極結構的側壁,其中第一金屬層接觸位於第一金屬層下方之基底的一區,且其中閘極結構包含金屬閘極;沉積第一介電層於基底之上;蝕刻在閘極結構之上的一區中的第一介電層,以形成閘極導孔的開口,其中閘極導孔的開口露出閘極結構的金屬閘極;蝕刻在第一金屬層之上的一 區中的第一介電層,以形成接觸件導孔的開口,其中接觸件導孔的開口露出第一金屬層;從閘極導孔的開口與接觸件導孔的開口之間的一區移除第一介電層,以形成接觸件開口,其中接觸件開口、閘極導孔的開口和接觸件導孔的開口合併,以形成複合開口;以及形成第二金屬層於複合開口內,經由第二金屬層的閘極導孔部分和接觸件導孔部分,電性連接閘極結構的金屬閘極至第一金屬層。 In other embodiments, the manufacturing method of the semiconductor device includes forming a first metal layer adjacent to the sidewall of the gate structure, wherein the first metal layer contacts a region of the substrate below the first metal layer, and wherein the gate structure Including a metal gate; depositing a first dielectric layer on the substrate; etching the first dielectric layer in a region above the gate structure to form the opening of the gate via, wherein the opening of the gate via The metal gate of the gate structure is exposed; the one etched on the first metal layer The first dielectric layer in the region to form the opening of the contact via hole, wherein the opening of the contact via hole exposes the first metal layer; from a region between the opening of the gate via hole and the opening of the contact via hole Removing the first dielectric layer to form a contact opening, wherein the contact opening, the opening of the gate via hole and the opening of the contact via hole merge to form a composite opening; and forming a second metal layer in the composite opening, The metal gate of the gate structure is electrically connected to the first metal layer through the gate via hole portion of the second metal layer and the contact via hole portion.
在又另一些實施例中,半導體裝置包含基底,基底包含的閘極結構具有金屬閘極;第一金屬層鄰接於側壁間隔物,側壁間隔物設置在閘極結構的側壁上,其中第一金屬層接觸在第一金屬層下方之基底的一區;以及介電層位於基底之上,介電層包含用第二金屬層填充的複合開口;其中第二金屬層包含閘極導孔,其定義於複合開口的閘極導孔部分中,閘極導孔接觸金屬閘極,且閘極導孔對齊金屬閘極;且其中第二金屬層接觸複合開口的接觸件部分中的第一金屬層。 In still other embodiments, the semiconductor device includes a substrate, and the gate structure included in the substrate has a metal gate; the first metal layer is adjacent to the sidewall spacer, and the sidewall spacer is disposed on the sidewall of the gate structure, wherein the first metal The layer is in contact with a region of the substrate below the first metal layer; and the dielectric layer is located on the substrate, the dielectric layer includes a composite opening filled with a second metal layer; wherein the second metal layer includes a gate via, which defines In the gate via part of the composite opening, the gate via contacts the metal gate, and the gate via is aligned with the metal gate; and the second metal layer contacts the first metal layer in the contact part of the composite opening.
100‧‧‧電晶體 100‧‧‧Transistor
102‧‧‧基底 102‧‧‧Base
104‧‧‧閘極堆疊 104‧‧‧Gate Stack
106‧‧‧閘極介電層 106‧‧‧Gate Dielectric Layer
108‧‧‧閘極電極層 108‧‧‧Gate electrode layer
110‧‧‧源極區 110‧‧‧Source area
112‧‧‧汲極區 112‧‧‧Dip pole area
114‧‧‧通道區 114‧‧‧Access area
W‧‧‧通道寬度 W‧‧‧Channel width
L‧‧‧通道長度 L‧‧‧Channel length
150‧‧‧FinFET裝置 150‧‧‧FinFET device
152‧‧‧基底 152‧‧‧Base
154‧‧‧鰭元件 154‧‧‧Fin element
155‧‧‧源極區 155‧‧‧Source Region
156‧‧‧隔離區 156‧‧‧Isolation Area
157‧‧‧汲極區 157‧‧‧Dip pole area
158‧‧‧閘極結構 158‧‧‧Gate structure
160‧‧‧界面層 160‧‧‧Interface layer
162‧‧‧閘極介電層 162‧‧‧Gate Dielectric Layer
164‧‧‧金屬層 164‧‧‧Metal layer
200、700、1600‧‧‧方法 200, 700, 1600‧‧‧Method
202、204、206、208、210、702、704、706、708、710、712、714、716、1602、1604、1606、1608、1610、1612、1614、 1616‧‧‧區塊 202, 204, 206, 208, 210, 702, 704, 706, 708, 710, 712, 714, 716, 1602, 1604, 1606, 1608, 1610, 1612, 1614, 1616‧‧‧block
800、1700‧‧‧裝置 800, 1700‧‧‧ device
802、1702‧‧‧基底 802、1702‧‧‧Base
804、806、808、1704、1706、1708‧‧‧閘極結構 804, 806, 808, 1704, 1706, 1708‧‧‧Gate structure
810、812、1710、1712‧‧‧區域 810, 812, 1710, 1712‧‧‧ area
814、1714‧‧‧金屬閘極層 814、1714‧‧‧Metal gate layer
816、818、1716、1718‧‧‧側壁間隔物層 816, 818, 1716, 1718‧‧‧ sidewall spacer layer
820、832、1720、1732‧‧‧介電層 820, 832, 1720, 1732‧‧‧Dielectric layer
822、824、1722、1724‧‧‧開口 822, 824, 1722, 1724‧‧‧ opening
826、840、1726、1742‧‧‧膠層或阻障層 826, 840, 1726, 1742‧‧‧Glue layer or barrier layer
828、829、842、1728、1729、1744‧‧‧金屬層 828, 829, 842, 1728, 1729, 1744‧‧‧Metal layer
830、1730‧‧‧接觸蝕刻停止層 830、1730‧‧‧Contact etching stop layer
834、1734‧‧‧閘極導孔的開口 834, 1734‧‧‧ Gate openings
836、1738‧‧‧接觸件開口 836、1738‧‧‧Contact opening
838、1740‧‧‧複合開口 838、1740‧‧‧Composite opening
A、A’、B、B’、C、C’‧‧‧距離 A, A’, B, B’, C, C’‧‧‧distance
Z‧‧‧增加的距離 Z‧‧‧ Increased distance
900、1800‧‧‧布局設計 900、1800‧‧‧Layout design
914、1814‧‧‧金屬閘極層 914、1814‧‧‧Metal gate layer
928、929、942、1828、1829‧‧‧金屬層 928, 929, 942, 1828, 1829‧‧‧Metal layer
934、1834‧‧‧閘極導孔 934、1834‧‧‧Gate via
1736‧‧‧接觸件導孔的開口 1736‧‧‧The opening of the contact guide hole
1836‧‧‧接觸件導孔 1836‧‧‧Contact guide hole
為了讓本發明實施例的各個方面能更容易理解,以下配合所附圖式作詳細說明。應該注意,根據工業上的標準範例,各個部件(feature)未必按照比例繪製。實際上,為了讓討論清晰易懂,各個部件的尺寸可能被任意放大或縮小。 In order to make the various aspects of the embodiments of the present invention easier to understand, detailed descriptions are given below in conjunction with the accompanying drawings. It should be noted that according to industry standard paradigms, various features are not necessarily drawn to scale. In fact, in order to make the discussion clear and easy to understand, the size of each component may be arbitrarily enlarged or reduced.
第1A圖是根據一些實施例,金屬氧化物半導體(MOS)電晶體的剖面圖。 FIG. 1A is a cross-sectional view of a metal oxide semiconductor (MOS) transistor according to some embodiments.
第1B圖是根據本發明實施例的一或多個方面,鰭式場效電晶體(FinFET)裝置的一實施例之立體透視圖。 FIG. 1B is a perspective view of an embodiment of a FinFET device according to one or more aspects of the embodiments of the present invention.
第2圖是在金屬閘極與相鄰的源極、汲極、和/或本體區之間形成直接接觸件的方法之流程圖。 FIG. 2 is a flowchart of a method of forming direct contacts between a metal gate and adjacent source, drain, and/or body regions.
第3至6圖是根據第2圖的方法,在製造和處理的中間階段之裝置的剖面圖。 Figures 3 to 6 are cross-sectional views of the device in an intermediate stage of manufacturing and processing according to the method of Figure 2.
第7圖是根據一些實施例,形成導孔先製金屬閘極接觸件的方法之流程圖。 FIG. 7 is a flowchart of a method of forming a via hole pre-made metal gate contact according to some embodiments.
第8至14圖是根據第7圖的方法,在製造和處理的中間階段之裝置的剖面圖。 Figures 8 to 14 are cross-sectional views of the device in an intermediate stage of manufacturing and processing according to the method of Figure 7.
第15圖提供布局設計,繪示說明本發明一些實施例的各個方面。 Figure 15 provides a layout design and illustrates various aspects of some embodiments of the present invention.
第16圖是根據一些實施例,形成導孔先製金屬閘極接觸件的另一方法之流程圖。 FIG. 16 is a flowchart of another method of forming a via hole pre-made metal gate contact according to some embodiments.
第17至23圖是根據第16圖的方法,在製造和處理的中間階段之裝置的剖面圖。 Figures 17 to 23 are cross-sectional views of the device at an intermediate stage of manufacturing and processing according to the method of Figure 16.
第24圖提供布局設計,繪示說明本發明其他實施例的各個方面。 Figure 24 provides a layout design and illustrates various aspects of other embodiments of the present invention.
以下內容提供了許多不同實施例或範例,以實現所提供標的物之不同部件(feature)。以下描述組件和配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二 部件可以不直接接觸的實施例。此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。 The following content provides many different embodiments or examples to realize different features of the provided subject matter. Specific examples of components and configuration methods are described below to simplify the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, in the following description, it is mentioned that the first part is formed above or on the second part, which may include an embodiment in which the first part and the second part are formed in direct contact, and may also be included in the first part. An additional part is formed between the part and the second part, so that the first part and the second part An embodiment where the components may not be in direct contact. In addition, in the embodiments of the present invention, reference numerals and/or letters may be repeated in each example. This repetition is for the purpose of simplification and clarity, and is not used in itself to specify the relationship between the various embodiments and/or configurations discussed.
再者,為了容易描述圖示中一個元件或部件與另一元件或部件之間的關係,在此可以使用空間相關用語,像是“在...下方”、“在...底下”、“較低”、“較高”、“在...上方”、”之上”和類似用語。這些空間相關用語意欲涵蓋除了圖示所繪製的方向以外,在使用或操作中的裝置之不同方向。設備可以用其他方向定位(旋轉90度或在其他方向),且在此描述中所使用的空間相關用語可以依此做相應的解讀。 Furthermore, in order to easily describe the relationship between one element or component and another element or component in the figure, spatially related terms such as "below", "below", "Lower", "higher", "above", "above" and similar terms. These spatially related terms are intended to cover different directions of the device in use or operation in addition to the directions drawn in the illustration. The device can be positioned in other directions (rotated by 90 degrees or in other directions), and the space-related terms used in this description can be interpreted accordingly.
應注意的是,本發明實施例是以導孔先製金屬閘極接觸件(via-first metal gate contact)的形式說明,但是也可以在任何種類的裝置類型中使用。舉例而言,本發明實施例可用於形成導孔先製金屬閘極接觸件在平面式塊體的金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors,MOSFETs);多閘極電晶體(平面式或垂直式),像是鰭式場效電晶體(FinFET)裝置、閘極全環繞(gate-all-around,GAA)裝置、Ω形閘極(Ω-gate)裝置、或Π形閘極(Π-gate)裝置中;以及在應變半導體裝置、絕緣體上的矽(silicon-on-insulator,SOI)裝置、部份空乏(partially-depleted)SOI裝置、全空乏(fully-depleted)SOI裝置、或其他已知的裝置中形成導孔先製金屬閘極接觸件。此外,本發明實施例可在P型及/或N型裝置的形成中使用。本發明所屬 技術領域中具有通常知識者可以理解,半導體裝置的其他實施例也可從本發明實施例的各個方面得到好處。 It should be noted that the embodiment of the present invention is described in the form of a via-first metal gate contact, but it can also be used in any type of device. For example, the embodiment of the present invention can be used to form metal-oxide-semiconductor field-effect transistors (MOSFETs) in which the metal gate contacts are formed in a planar block with via holes; Polar transistors (planar or vertical), such as FinFET devices, gate-all-around (GAA) devices, Ω-gate devices, or Π-gate devices; and strained semiconductor devices, silicon-on-insulator (SOI) devices, partially-depleted SOI devices, fully-depleted devices 1) Pre-made metal gate contacts with via holes formed in SOI devices or other known devices. In addition, the embodiments of the present invention may be used in the formation of P-type and/or N-type devices. This invention belongs to Those with ordinary knowledge in the technical field can understand that other embodiments of the semiconductor device can also benefit from various aspects of the embodiments of the present invention.
參閱第1A圖的例子,在此說明金屬氧化物半導體(MOS)電晶體100,其僅提供做為可包含本發明實施例的一種裝置類型的例子。可以理解的是,示範的電晶體100並不限於任何形式,且本發明所屬技術領域中具有通常知識者可以理解,本發明實施例可同樣地應用於任何種類的其他裝置類型,像是以上描述的那些裝置。電晶體100在基底102上製造,且包含閘極堆疊104。基底102可以是半導體基底,像是矽基底。基底102可包含各種層,包含導電或絕緣層形成於基底102上。基底102可包含各種摻雜配置,其取決於熟知的設計需求。基底102也可包含其他半導體材料,像是鍺、碳化矽(SiC)、矽鍺(SiGe)、或金剛石(diamond)。另外,基底102可包含化合物半導體及/或合金半導體。此外,在一些實施例中,基底102可包含磊晶層(epi-layer)。基底102可以是應變的,以提升效能。基底102可包含絕緣體上的矽(SOI)結構、及/或基底102可具有其他合適的增強部件。
Referring to the example in FIG. 1A, a metal oxide semiconductor (MOS)
閘極堆疊104包含閘極介電層106,以及設置於閘極介電層106上的閘極電極層108。在一些實施例中,閘極介電層106可包含界面層,像是氧化矽(SiO2)或氮氧化矽(SiON),界面層可由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、及/或其他合適方法形成。在一些例子中,閘極介電層106包含高介電常數介電層,像是氧化鉿(HfO2)。另外,高介
電常數介電層可包含其他高介電常數介電質,像是TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化矽(SiON)、前述之組合、或其他合適材料。在此所使用和描述的高介電常數閘極介電層包含具有高介電常數的介電材料,例如大於熱氧化矽的介電常數(~3.9)。在其他實施例中,閘極介電層106可包含二氧化矽或其他合適介電質。閘極介電層106可由原子層沉積(ALD)、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(CVD)、氧化、及/或其他合適方法形成。在一些實施例中,可沉積閘極電極層108作為閘極先製(gate first)或閘極後製(gate last)(例如置換閘極)製程的一部分。在各種實施例中,閘極電極層108包含導電層,像是W、Ti、TiN、TiAl、TiAlN、Ta、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、CoSi、Ni、NiSi、前述之組合、及/或其他合適組成。在一些例子中,閘極電極層108可包含用於N型電晶體的第一金屬材料,以及用於P型電晶體的第二金屬材料。因此,電晶體100可包含雙功函數金屬閘極配置。舉例而言,第一金屬材料(例如用於N型裝置)可包含金屬,其具有的功函數大抵上對齊基底導帶(conduction band)的功函數,或者至少大抵上對齊電晶體100的通道區114的導帶之功函數。類似地,第二金屬材料(例如用於P型裝置)可包含金屬,其具有的功函數大抵上對齊基底價帶(valence band)的功函數,或者至少大抵上對齊電晶體100的通道區114的價帶的功
函數。因此,閘極電極層108可提供用於電晶體100的閘極電極,電晶體100包含N型和P型裝置兩者。在一些實施例中,閘極電極層108可替代地或額外地包含多晶矽層。在各種例子中,可使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束蒸鍍(electron beam(e-beam)evaporation)、及/或其他合適製程來形成閘極電極層108。在一些實施例中,在閘極堆疊104的側壁上形成側壁間隔物。側壁間隔物可包含介電材料,像是氧化矽、氮化矽,碳化矽、氮氧化矽、或前述之組合。
The
電晶體100還包含源極區110和汲極區112,各自形成在半導體的基底102中,源極區110和汲極區112鄰接於閘極堆疊104且在閘極堆疊104的兩側。在一些實施例中,源極區110和汲極區112包含擴散的源極/汲極區、離子植入的源極/汲極區、磊晶成長區、或前述之組合。電晶體100的通道區114定義為源極區110和汲極區112之間的區域,通道區114在閘極介電層106底下,且在半導體的基底102中。通道區114具有伴隨的通道長度"L",以及伴隨的通道寬度"W"。當大於電晶體100的臨界電壓(Vt)(亦即開啟電壓(turn-on voltage))之偏壓施加於閘極電極層108時,伴隨著同時施加偏壓於源極區110和汲極區112之間,電流(例如電晶體驅動電流)在源極區110和汲極區112之間流動經過通道區114。對於給定的偏壓(例如施加在閘極電極層108或施加在源極區110和汲極區112之間)所發展出的驅動電流量,其為形成通道區114的材料之移動率和其他參數之間的函數。在一些例子中,通道區114包含矽(Si)及/或高移動率材料,像是鍺,鍺可以磊晶成長形成,通道區114還可包含
任何已知的多種化合物半導體或合金半導體。高移動率材料包含那些具有電子及/或電洞移動率大於矽(Si)的材料,矽在室溫(300K)所具有的本質電子移動率約為1350cm2/V-s,並且矽在室溫(300K)所具有的本質電洞移動率約為480cm2/V-s。
The
參閱第1B圖,在此說明鰭式場效電晶體(FinFET)裝置150以提供另一裝置類型的例子,其可包含本發明實施例。藉由示範的方式,FinFET裝置150包含一或多個以鰭結構為基礎、多閘極的場效電晶體(FETs)。FinFET裝置150包含基底152、至少一鰭元件154從基底152延伸、隔離區156、以及閘極結構158設置在鰭元件154上和鰭元件154周圍。基底152可以是半導體基底,像是矽基底。在各種實施例中,基底152可以與基底102大抵上相同,且可包含如上所述用於基底102的一或多種材料。
Referring to FIG. 1B, a fin field effect transistor (FinFET)
鰭元件154類似於基底152,可包含一或多層磊晶成長層,且可包含矽或其他元素半導體,像是鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP、及/或GaInAsP;或前述之組合。可使用合適的製程來製造鰭元件154,包含微影和蝕刻製程。微影製程可包含形成光阻層(光阻)於基底上(例如在矽層上),將光阻曝光於一圖案,進行曝光後烘烤製程,以及將光阻顯影以形成包含光阻的遮罩元件。在一些實施例中,可使用電子束(e-beam)微影製程將光阻圖案化,以形成遮罩元件。然後,當蝕刻製程形成凹陷於矽層內時,遮罩元件可用於保護基底的一些區域,藉
此留下延伸的鰭元件154。可使用乾蝕刻(例如化學氧化物移除)、濕蝕刻、及/或其他合適製程蝕刻出凹陷。也可使用多種其他實施例之方法形成鰭元件154於基底152上。
The
這些鰭元件154中的每一個還包含源極區155和汲極區157,其中源極區155和汲極區157形成於鰭元件154內、上、及/或圍繞鰭元件154。源極區155和汲極區157可磊晶成長於鰭元件154上方。此外,電晶體的通道區設置在鰭元件154內,位於閘極結構158底下且沿著一平面,此平面大抵上平行於第1B圖的剖面AA’所定義的平面。在一些例子中,鰭元件154的通道區包含如前所述之高移動率材料。
Each of the
隔離區156可以是淺溝槽隔離(shallow trench isolation,STI)部件。此外,可在基底152上及/或基底152中實行場氧化(field oxide)、矽的局部氧化(LOCOS)部件、及/或其他合適的隔離部件。隔離區156可由氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合、及/或已知的其他合適材料組成。在一實施例中,隔離區156為淺溝槽隔離(STI)部件,且藉由在基底152內蝕刻出溝槽,然後用隔離材料填充溝槽,接著藉由化學機械研磨(chemical mechanical polishing,CMP)製程而形成。然而,其他實施例也有可能。在一些實施例中,隔離區156可包含多層結構,例如具有一或多層內襯層。
The
閘極結構158包含閘極堆疊,其具有界面層160形成於鰭元件154的通道區上,閘極介電層162形成於界面層160上,以及金屬層164形成於閘極介電層162上。在各種實施例
中,界面層160與描述的閘極介電層106的一部份之界面層大抵上相同。在一些實施例中,閘極介電層162與閘極介電層106大抵上相同,且可包含高介電常數介電質,其類似於用在閘極介電層106的高介電常數介電質。類似地,在各種實施例中,金屬層164與前述之閘極電極層108大抵上相同。在一些實施例中,在閘極結構158的側壁上形成側壁間隔物。側壁間隔物可包含介電材料,像是氧化矽、氮化矽、碳化矽、氮氧化矽、或前述之組合。
The
如前述討論,每一個電晶體100和FinFET裝置150可包含一或多個導孔先製金屬閘極接觸件,其實施例詳細描述如下。在一些例子中,在此所述之導孔先製金屬閘極接觸件可以是局部內連線結構的一部分。如同在此所使用,此用語”局部內連線(local interconnect)”係用於描述最低層的金屬內連線,且與中間及/或整體內連線不同。局部內連線橫跨相對短的距離,且有時用在例如電性連接至給定裝置或附近裝置的源極、汲極、本體(body)、及/或閘極。此外,局部內連線可用於幫助一或多個裝置與上方的金屬層(例如中間的內連線層)的垂直連接,例如經由一或多個導孔。內連線(例如包含局部、中間或整體內連線)通常做為後段(back-end-of-line,BEOL)製造製程的一部分而形成,且包含金屬導線的多層網狀物。此外,任何多個積體電路及/或裝置(例如像是電晶體100或FinFET 150)可藉由內連線連接。
As discussed above, each of the
隨著先進積體電路裝置和電路的劇烈縮減和越來越增加的複雜度,接觸件和局部內連線之設計已顯示出困難的 挑戰。舉例而言,形成可靠的接觸件至金屬閘極層,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區(body region)之間,其需要高度的重疊控制(例如圖案對圖案的對準)以及足夠大的製程容許度。在此所使用的用語“製程容許度(process window)”是用來定義特定的聚焦點和曝光(強度),其用於將最終影像圖案化至光阻層內(例如藉由微影製程),並滿足定義的規格(例如對於給定的技術節點、對於給定的工具集(toolset)等)。另一種說法,製程容許度可用來設定聚焦點和曝光的上和下邊界,在此範圍內將仍然可以產生圖案化光阻層,並且滿足定義的規格界限。本發明所屬技術領域中具有通常知識者將可理解,通常希望能夠改善(亦即增加)製程容許度的尺寸。積體電路尺寸的持續縮減,加上新的圖案化技術(例如像是雙重圖案化)已經使得準確的重疊控制比以往更困難且更關鍵。此外,劇烈縮減的積體電路之製程容許度變得相當窄,其可能會導致裝置劣化及/或失效。對於至少一些傳統製程而言,用於形成這樣的接觸件至金屬閘極層,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間,其半導體製造製程的製程容許度已經變得太窄,且沒有辦法更長久地滿足製程容許度的需求。另外,在一些目前的製程中,在形成接觸件至金屬閘極層的期間,可能會發生源極及/或汲極的氧化,而且在形成接觸件至金屬閘極層之後,通常會進行源極和汲極的金屬矽化(silicide)製程。因此,現存的方法已經無法在全方位上完全令人滿意。 With the drastic reduction and increasing complexity of advanced integrated circuit devices and circuits, the design of contacts and local interconnections has shown difficulties challenge. For example, forming a reliable contact to the metal gate layer and between the metal gate layer and the adjacent source, drain, and/or body region, which requires a high degree of overlap control ( Such as pattern-to-pattern alignment) and sufficient process tolerance. The term "process window" used here is used to define a specific focus point and exposure (intensity), which is used to pattern the final image into the photoresist layer (for example, by a photolithography process) , And meet the defined specifications (for example, for a given technology node, for a given tool set, etc.). In another way, the process tolerance can be used to set the focus point and the upper and lower boundaries of the exposure. Within this range, a patterned photoresist layer can still be produced and meet the defined specification limits. Those skilled in the art to which the present invention pertains will understand that it is generally desirable to improve (that is, increase) the size of the process tolerance. The continuous reduction in the size of integrated circuits, coupled with new patterning techniques (such as double patterning) has made accurate overlap control more difficult and more critical than ever. In addition, the process tolerance of the drastically reduced integrated circuit becomes quite narrow, which may lead to device degradation and/or failure. For at least some conventional processes, for forming such contacts to the metal gate layer, and between the metal gate layer and the adjacent source, drain, and/or body regions, the semiconductor manufacturing process The process tolerance has become too narrow, and there is no way to meet the process tolerance requirement for a longer period of time. In addition, in some current processes, during the formation of the contact to the metal gate layer, oxidation of the source and/or drain may occur, and after the contact is formed to the metal gate layer, the source and/or drain are usually formed. The silicide process of the electrode and the drain electrode. Therefore, the existing methods are no longer completely satisfactory in all aspects.
為了進一步澄清一些現存製程的缺點,根據至少
一些傳統製程,參閱第2圖說明形成直接的接觸件在金屬閘極與相鄰的源極、汲極、和/或本體區之間的方法200。參閱第3至6圖更詳細地描述方法200如下。方法200在區塊202開始,於此提供具有閘極結構的基底。參閱第3圖,且在區塊202的一實施例中,提供裝置300,其具有基底302且包含閘極結構304、306、308。在一些實施例中,基底302可以與前述之基底102或152大抵上相同。基底302的一區上形成有閘極結構304、306、308,且此區包含基底302的一些區域在相鄰的閘極結構304、306、308之間,此區可包含基底302的主動區。在各種實施例中,每一個閘極結構304、306、308可包含界面層形成於基底302上,閘極介電層形成於界面層上,以及金屬閘極(metal gate,MG)層310形成於閘極介電層上。在一些實施例中,閘極結構304、306、308的每一個界面層、閘極介電層和金屬閘極層310可以與前述之電晶體100和FinFET 150的那些層大抵上相同。此外,每一個閘極結構304、306、308可包含側壁間隔物層312、314。在一些例子中,每一個側壁間隔物層312、314包含的材料具有不同的介電常數值(例如k值)。
In order to further clarify the shortcomings of some existing processes, according to at least
For some conventional manufacturing processes, refer to FIG. 2 to illustrate the
方法200進行至區塊204,在此沉積介電層於基底上。仍參閱第3圖,且在區塊204的一實施例中,形成介電層316於基底302之上,且在每一個閘極結構304、306、308之上。藉由示範的方式,介電層316可包含層間介電(inter-layer dielectric,ILD)層,其可包含的材料像是四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽,像是硼磷矽酸鹽玻璃(borophosphosilicate
glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻雜硼的矽玻璃(boron doped silicon glass BSG)、及/或其他合適的介電材料。介電層316可藉由低於大氣壓的化學氣相沉積(subatmospheric CVD,SACVD)製程、可流動的化學氣相沉積(flowable CVD)製程、或其他合適的沉積技術沉積。
The
方法200進行至區塊206,在此形成第一圖案於介電層中。參閱第3和4圖,且在區塊206的一實施例中,包含開口318、320的第一圖案形成於介電層316中。在一些例子中,開口318、320提供到相鄰的源極、汲極、或本體接觸區的入口。藉由示範的方式,開口318、320可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的適當組合形成。
The
方法200進行至區塊208,在此形成第二圖案於介電層中。參閱第4和5圖,且在區塊208的一實施例中,包含開口322的第二圖案形成於介電層316中。開口322也可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的適當組合形成。在一些例子中,開口322提供到閘極結構306之金屬閘極層310的入口。此外,如第5圖繪示說明,開口322可與開口318合併形成複合開口324。在沉積一或多層金屬層之後,如以下所述,複合開口324因此而提供金屬閘極層與相鄰的源極、汲極、和/或本體區之間的直接接觸件(direct contact)。
The
第5圖也說明各種主要的部件之距離,其對於決定製程容許度是關鍵的。舉例而言,標示A的雙箭頭提供開口322(例如包含後續設置在其中的沉積金屬)與閘極結構304的金
屬閘極層310之間的距離A。在至少一些目前的製程中,距離A太小可能會造成無法接受的漏電流量。標示B的雙箭頭提供開口322(例如包含後續設置在其中的沉積金屬)與閘極結構306的金屬閘極層310重疊的距離B,此重疊可稱為“著陸窗口(landing window)”。在至少一些目前的製程中,距離B和著陸窗口太小可能直接影響到與閘極結構306之金屬閘極層310的連接之品質和可靠度。標示C的雙箭頭提供開口322(例如包含後續設置在其中的沉積金屬)與開口320(例如包含後續設置在其中的沉積金屬)之間的距離C。在至少一些目前的製程中,距離C太小也可能會造成無法接受的漏電流量。因此,對於至少一些傳統的製程而言,用於形成接觸件至金屬閘極層,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間的半導體製造製程的製程容許度已經變得太窄,且無法長久滿足製程容許度的需求。
Figure 5 also illustrates the distances of various main components, which are critical for determining process tolerances. For example, the double arrow marked A provides the opening 322 (for example, including the deposited metal subsequently disposed therein) and the gold of the
方法200進行至區塊210,在此進行金屬化(metallization)和化學機械研磨製程。參閱第5和6圖,且在區塊210的一實施例中,最初可進行金屬矽化(silicidation)製程,以形成矽化物層於基底302露出的部分上(例如藉由複合開口324和開口320露出的部分),藉此提供低電阻接觸件。在一些例子中,且在區塊210的另一實施例中,可在每個複合開口324和開口320內形成膠層或阻障層326。在一些例子中,膠層或阻障層326可包含Ti、TiN、Ta、TaN、W或其他適當材料。另外,在區塊210的一實施例中,可在每個複合開口324和開口320內形成金屬層328於膠層或阻障層326上。在一些例子中,金屬層328
可包含W、Cu、Co、Ru、Al、Rh、Mo、Ta、Ti或其他適當材料。在沉積金屬層328之後,且在區塊210的一實施例中,可進行化學機械平坦化(chemical mechanical planarization,CMP)製程,以移除多餘的材料,並且將裝置300的頂面平坦化。因此,在沉積金屬層328之後,提供直接的接觸件於金屬閘極層與相鄰的源極、汲極、和/或本體區之間。如上所述,因為在至少一些現存製程中的窄的製程容許度,裝置300可能承受無法接受的漏電流量(例如在金屬層328與閘極結構304的金屬閘極層310之間,及/或在沉積於每個複合開口324和開口320內的金屬層328之間)。此外,金屬層328接觸於閘極結構306的金屬閘極層310上的著陸窗口可能太小,其負面地影響閘極結構306之金屬閘極層310的電性連接之品質和可靠度。因此,這證明現存的技術已經無法在全方位完全令人滿意。
The
本發明實施例提供超越現存技術的優點,然而可以理解的是,其他實施例也可能提供不同的優點,並非全部優點都需要在此討論,且對於全部實施例而言並不需要特定優點。舉例而言,在所討論的實施例包含關於導孔先製金屬閘極接觸件製造製程的方法和結構。在至少一些實施例中,提供導孔先製金屬閘極接觸件製程,其中閘極導孔先形成在金屬閘極上,之後形成金屬接觸層在閘極導孔上,而不是像在至少一些傳統製程中讓金屬閘極直接接觸金屬接觸層。在各種例子中,金屬接觸層還可連接至相鄰的源極、汲極、和/或本體區。在一些實施例中,閘極導孔集中在金屬閘極上,且可對金屬接觸層提供較大的著陸窗口(例如相較於讓金屬閘極直接接觸於金 屬接觸層)。作為增加閘極導孔於金屬閘極與金屬接觸層之間的結果,其改善了(例如增加)製程容許度。此外,使用在此所述之閘極導孔使得金屬接觸層(例如金屬接觸層接觸於閘極導孔)在垂直於基底的方向上設置為增加的距離‘Z’(例如相較於至少一些傳統製程),因此提供金屬接觸層與相鄰的金屬閘極之間較大的隔離,其中金屬接觸層未連接至相鄰的金屬閘極。如此,降低了金屬接觸層與一或多個相鄰的金屬閘極之間的漏電流,其中金屬接觸層未連接至相鄰的金屬閘極。提供本發明實施例的其他細節如下,並且本發明所屬技術領域中具有通常知識者可以從本發明實施例的好處看見額外的好處及/或其他好處。 The embodiments of the present invention provide advantages over existing technologies. However, it is understood that other embodiments may also provide different advantages. Not all advantages need to be discussed here, and specific advantages are not required for all embodiments. For example, the embodiments discussed include methods and structures related to the manufacturing process of via-hole prefabricated metal gate contacts. In at least some embodiments, a via hole pre-made metal gate contact process is provided, in which the gate via hole is first formed on the metal gate, and then the metal contact layer is formed on the gate via hole, instead of as in at least some traditional During the manufacturing process, the metal gate directly contacts the metal contact layer. In various examples, the metal contact layer may also be connected to adjacent source, drain, and/or body regions. In some embodiments, the gate vias are concentrated on the metal gate, and a larger landing window can be provided for the metal contact layer (for example, compared to allowing the metal gate to directly contact the metal Belongs to the contact layer). As a result of increasing the gate vias between the metal gate and the metal contact layer, it improves (eg increases) the process tolerance. In addition, the use of the gate vias described herein allows the metal contact layer (for example, the metal contact layer to contact the gate vias) to be set at an increased distance'Z' in the direction perpendicular to the substrate (for example, compared to at least some Traditional manufacturing process), thus providing greater isolation between the metal contact layer and the adjacent metal gate, wherein the metal contact layer is not connected to the adjacent metal gate. In this way, the leakage current between the metal contact layer and one or more adjacent metal gates is reduced, wherein the metal contact layer is not connected to the adjacent metal gates. Other details of the embodiments of the present invention are provided as follows, and those skilled in the art to which the present invention pertains can see additional benefits and/or other benefits from the benefits of the embodiments of the present invention.
現在參閱第7圖,說明根據一些實施例,形成導孔先製金屬閘極接觸件的方法700。參閱第8至14圖更詳細描述方法700如下。方法700可在單閘極平面裝置上實行,像是參閱第1A圖之上述示範的電晶體100,也可在多閘極裝置上實行,像是參閱第1B圖之上述的FinFET裝置150。因此,參閱電晶體100及/或FinFET 150之上述討論的一或多個觀點也可應用於方法700。無可否認,在各種實施例中,方法700可在其他裝置上實行,像是閘極全環繞(GAA)裝置、Ω形閘極裝置、或Π形閘極裝置,以及應變半導體裝置、絕緣體上的矽(SOI)裝置、部份空乏SOI(PD-SOI)裝置、全空乏SOI(FD-SOI)裝置、或其他已知的裝置。
Referring now to FIG. 7, a
可以理解的是,方法700的一部分及/或參照方法700所討論的任何示範電晶體裝置,可以藉由已知的互補金屬
氧化物半導體(CMOS)技術之製程流程製造,因此一些製程僅在此簡略地描述。為了清楚起見,方法700的某些與方法200共用的觀點可能僅簡略討論。此外,可以理解的是,任何在此討論的示範電晶體裝置可包含各種其他裝置和部件,像是額外的電晶體、雙極性接面電晶體、電阻器、電容器、二極體、熔絲元件等,但為了更容易理解本發明實施例的概念而簡化。此外,在一些實施例中,在此揭露的示範電晶體裝置可包含複數個半導體裝置(例如電晶體),這些半導體裝置可互相連接。另外,在一些實施例中,本發明實施例的各個方面可應用於閘極後製的製程或閘極先製的製程。
It is understood that part of the
此外,在一些實施例中,在此說明的示範電晶體裝置可包含在製程的中間階段之裝置的描述,可能是在積體電路的製程期間製造,或者是積體電路的一部分,其可包含靜態隨機存取記憶體(static random access memory,SRAM)及/或其他邏輯電路;被動元件,像是電阻器、電容器和電感器;以及主動元件,像是P通道場效電晶體(PFETs)、N通道場效電晶體(NFETs)、金屬氧化物半導體場效電晶體(MOSFETs)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶體單元胞、及/或前述之組合。 In addition, in some embodiments, the exemplary transistor device described herein may include a description of the device in an intermediate stage of the manufacturing process, may be manufactured during the manufacturing process of an integrated circuit, or may be part of an integrated circuit, which may include Static random access memory (SRAM) and/or other logic circuits; passive components, such as resistors, capacitors, and inductors; and active components, such as P-channel field effect transistors (PFETs), N-channel field effect transistors (NFETs), metal oxide semiconductor field effect transistors (MOSFETs), complementary metal oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, etc. Memory cell, and/or a combination of the foregoing.
方法700在區塊702開始,在此提供具有閘極結構的基底。參閱第8圖,且在區塊702的一實施例中,提供裝置800,其具有基底802且包含閘極結構804、806、808。在一些實施例中,基底802可以與前述之基底102、152大抵上相同。基底802的一區上形成有閘極結構804、806、808,且此區包含
基底802的一些區域在相鄰的閘極結構804、806、808之間,此區可包含基底802的主動區。可以理解的是,裝置800僅作為繪示說明,且提供用於後續形成導孔先製金屬閘極接觸件之清楚的討論。舉例而言,在一些例子中,裝置800可包含平面裝置,像是電晶體100。另外,在一些例子中,裝置800可包含多閘極裝置,像是FinFET裝置150。此外,在一些例子中,裝置800可包含閘極全環繞(GAA)裝置、Ω形閘極裝置、Π形閘極裝置、應變半導體裝置、絕緣體上的矽(SOI)裝置、部份空乏SOI(PD-SOI)裝置、全空乏SOI(FD-SOI)裝置、或其他已知的裝置。在一些實施例中,裝置800包含區域810、812,其相鄰於閘極結構804、806、808,在區域810、812中可包含源極區、汲極區、或本體接觸區(body contact region)。在各種實施例中,每個閘極結構804、806、808可包含界面層形成於基底802上,閘極介電層形成於界面層上,以及金屬閘極(MG)層814形成於閘極介電層上。在一些實施例中,閘極結構804、806、808的每個界面層、閘極介電層和金屬閘極層814可以與前述關於電晶體100和FinFET裝置150的那些層大抵上相同。此外,每個閘極結構804、806、808可包含側壁間隔物層816、818。在一些例子中,每個側壁間隔物層816、818包含的材料具有不同的介電常數值(例如k值)。在各種實施例中,側壁間隔物層816、818包含SiOx、SiN、SiOxNy、SiCxNy、SiOxCyNz、AlOx、AlOxNy、AlN、HfO、ZrO、HfZrO、CN、多晶矽(poly-Si)、前述之組合、或其他合適的介電材料。在一些實施例中,側壁間隔物層816、818包含多層,像是主要間隔物牆、內襯層、和類似層。藉由
示範的方式,側壁間隔物層816、818可由沉積介電材料於裝置800上,以及異向性地回蝕刻介電材料而形成。在一些實施例中,回蝕刻製程(例如用於形成間隔物)可包含多步驟蝕刻製程,以改善蝕刻選擇性和提供過蝕刻控制。
The
方法700進行到區塊704,在此沉積第一介電層於基底上。仍參閱第8圖,且在區塊704的一實施例中,形成介電層820於基底802之上,且在每個閘極結構804、806、808之上。藉由示範的方式,介電層820可包含層間介電(ILD)層,其可包含的材料像是四乙氧基矽烷(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽,像是硼磷矽酸鹽玻璃(BPSG)、熔融矽石玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼的矽玻璃(BSG)、及/或其他合適的介電材料。介電層820可藉由低於大氣壓的化學氣相沉積(SACVD)製程、可流動的化學氣相沉積製程、或其他合適的沉積技術沉積。在一些實施例中,介電層820的厚度為大約5-40nm。
The
方法700進行到區塊706,在此形成圖案於介電層中。參閱第8和9圖,且在區塊706的一實施例中,包含開口822、824的圖案形成在介電層820中。在一些例子中,開口822、824提供到區域810、812之入口,區域810、812相鄰於閘極結構804、806、808,在區域810、812中可包含源極區、汲極區、或本體接觸區。藉由示範的方式,開口822、824可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的合適組合形成。在一些實施例中,開口822、824的寬度為大約12-25nm。
The
方法700進行至區塊708,在此進行金屬化
(metallization)和化學機械研磨製程。參閱第9和10圖,且在區塊708的一實施例中,最初可進行金屬矽化(silicidation)製程,以在區域810、812中形成矽化物層於基底802露出的部分上(例如藉由開口822、824露出的部分),因此而提供低電阻接觸件到此處。在一些例子中,且在區塊708的另一實施例中,可形成膠層或阻障層826於每個開口822、824內。在一些例子中,膠層或阻障層826可包含Ti、TiN、Ta、TaN、W、或其他適當材料。在一些實施例中,膠層或阻障層826的厚度為大約1-4nm。另外,在區塊708的一實施例中,可在每個開口822、824內形成金屬層828、829於膠層或阻障層826上。在一些例子中,金屬層828、829可包含W、Cu、Co、Ru、Al、Rh、Mo、Ta、Ti、TiN、TaN、WN、金屬矽化物、或其他合適導電材料。在一些例子中,金屬層828和829可包含相同的材料,且可一起沉積作為單一沉積製程的一部分。在一些實施例中,金屬層828、829可具有寬度在大約10-20nm,以及高度在大約30-60nm。在沉積金屬層828、829之後,且在區塊708的一實施例中,可進行化學機械平坦化(CMP)製程,以移除多餘的材料,並且將裝置800的頂面平坦化。
方法700進行到區塊710,在此沉積接觸蝕刻停止層和第二介電層於基底之上。參閱第10和11圖,且在區塊710的一實施例中,形成接觸蝕刻停止層(contact etch stop layer,CESL)830於基底802之上,以及形成介電層832於接觸蝕刻停止層830上。藉由示範的方式,接觸蝕刻停止層830可包含Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、
WCN、TiAl、TiAlN、TiAlC、TiAlCN、或前述之組合。在一些實施例中,介電層832可包含層間介電(ILD)層,其可包含的材料像是四乙氧基矽烷(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽,像是硼磷矽酸鹽玻璃(BPSG)、熔融矽石玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼的矽玻璃(BSG)、及/或其他合適的介電材料。因此,在一些例子中,介電層832可與介電層820大抵上相同。在各種實施例中,接觸蝕刻停止層830和介電層832可由低於大氣壓的化學氣相沉積(SACVD)製程、可流動的化學氣相沉積製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、或其他合適的沉積技術沉積。在一些例子中,接觸蝕刻停止層830的厚度為大約5-20nm,且介電層832的厚度為大約5-40nm。
The
方法700進行到區塊712,在此形成閘極導孔的開口。參閱第11和12圖,且在區塊712的一實施例中,形成閘極導孔的開口834。藉由示範的方式,閘極導孔的開口834提供到閘極結構806的金屬閘極層814之入口。藉由示範的方式,閘極導孔的開口834可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的合適組合形成。在一些例子中,閘極導孔的開口834具有寬度在大約12-25nm。在一些實施例中,可使用一或多個蝕刻製程,以依序蝕刻穿過介電層832、接觸蝕刻停止層830和介電層820中的每一個。在各種實施例中,閘極導孔的開口834大致上對齊(例如集中在)閘極結構806的金屬閘極層814。此外,應理解的是可形成類似的閘極導孔的開口,以提供到閘極結構804、808,或者到其他未顯示出的閘極結構的金屬閘極層
814之入口。
The
方法700進行到區塊714,在此形成接觸件開口(contact opening)。參閱第12和13圖,且在區塊714的一實施例中,形成接觸件開口836。接觸件開口836也可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的合適組合形成。在一些例子中,接觸件開口836具有寬度在大約30-60nm。在一些實施例中,可使用一或多個蝕刻製程,依序蝕刻穿過介電層832和接觸蝕刻停止層830。在一些例子中,接觸件開口836提供到金屬層828的入口。此外,如第13圖所示,接觸件開口836可與閘極導孔的開口834合併或重疊,以形成複合開口838。在一些實施例中,接觸件開口836和閘極導孔的開口834互相重疊大約0-20nm。在沉積一或多層金屬層之後,如下所述,複合開口838藉此而提供金屬閘極層與相鄰的源極、汲極、和/或本體區之間的接觸件(contact)。然而,因為在此所述之導孔先製的製程,可以克服至少一些目前製程的缺點。
The
舉例而言,第13圖也說明各種主要部件之距離,其對於決定製程容許度是關鍵的。特別是,當相較於至少一些目前製程(例如第5圖所示)的部件之距離時,本發明實施例的好處很清楚。舉例而言,標示A’的雙箭頭提供接觸件開口836(例如包含設置在其中後續沉積的金屬)與閘極結構804的金屬閘極層814之間的距離。相較於一些目前的製程,且在一些實施例中,由標示A’的雙箭頭表示的距離(第13圖)大於由標示A的雙箭頭表示的距離(第5圖)。因此,在一些實施例中,因為在接觸件開口836內的金屬增加的距離‘Z’(例如在垂直於基板的方
向上),本發明實施例在接觸件開口836內的金屬與相鄰的金屬閘極之間提供較大的隔離,其中金屬接觸層未連接至相鄰的金屬閘極(例如像是閘極結構804的金屬閘極層814)。如此,降低了接觸件開口836內的金屬與閘極結構804的金屬閘極層814之間的漏電流。
For example, Figure 13 also illustrates the distances of various main components, which are critical for determining the process tolerance. In particular, when compared with the distances of at least some parts of the current manufacturing process (for example, as shown in FIG. 5), the advantages of the embodiments of the present invention are clear. For example, the double arrow labeled A'provides the distance between the contact opening 836 (e.g., including metal disposed therein for subsequent deposition) and the
做為另一例子,標示B’的雙箭頭提供有效著陸窗口的距離,在有效著陸窗口內接觸件開口836(例如包含設置在其中後續沉積的金屬)可與閘極導孔的開口834(例如包含設置在其中後續沉積的金屬)重疊。相較於一些目前的製程,且在一些實施例中,由標示B’的雙箭頭表示的距離(第13圖)大於由標示B的雙箭頭表示的距離(第5圖)。因此,在一些實施例中,因為由在此揭露的導孔先製的製程所提供的增加的著陸窗口,本發明實施例提供更高品質和更堅固的閘極連接。 As another example, the double arrow marked B'provides the distance of the effective landing window. In the effective landing window, the contact opening 836 (for example, including the metal deposited later) can be connected to the gate via opening 834 (for example, Contains the metal disposed in it that is subsequently deposited) overlap. Compared to some current processes, and in some embodiments, the distance indicated by the double arrow labeled B'(Figure 13) is greater than the distance indicated by the double arrow labeled B (Figure 5). Therefore, in some embodiments, because of the increased landing window provided by the via-hole pre-manufacturing process disclosed herein, embodiments of the present invention provide higher quality and stronger gate connections.
做為又另一例子,標示C’的雙箭頭提供接觸件開口836(例如包含設置在其中後續沉積的金屬)與金屬層829之間的距離。相較於一些目前的製程,且在一些實施例中,由標示C’的雙箭頭表示的距離(第13圖)大於由標示C的雙箭頭表示的距離(第5圖)。因此,在一些實施例中,因為在接觸件開口836內的金屬增加的距離‘Z’(例如在垂直於基板的方向上),本發明實施例在接觸件開口836內的金屬與金屬層829之間提供較大的隔離。如此,降低了接觸件開口836內的金屬與金屬層829之間的漏電流。
As yet another example, the double arrow labeled C'provides the distance between the contact opening 836 (e.g., including the metal disposed therein for subsequent deposition) and the
因此,本發明實施例提供改善的(亦即增加的)製程容許度,用於形成接觸件到金屬閘極層,且位於金屬閘極層與 相鄰的源極、汲極、和/或本體區之間。在一些例子中,製程容許度可改善10nm(例如關於由標示A’的雙箭頭表示的主要部件之距離)。在一些實施例中,製程容許度可改善至少3nm(例如關於由標示B’和C’的雙箭頭表示的主要部件之距離)。 Therefore, the embodiments of the present invention provide improved (that is, increased) process tolerance for forming contacts to the metal gate layer, and located between the metal gate layer and the metal gate layer. Between adjacent source, drain, and/or body regions. In some examples, the process tolerance can be improved by 10 nm (for example, regarding the distance between the main components indicated by the double arrow marked A'). In some embodiments, the process tolerance can be improved by at least 3 nm (for example, regarding the distance between the main components indicated by the double arrows marked B'and C').
方法700進行到區塊716,在此進行金屬化和化學機械研磨製程。參閱第13和14圖,且在區塊716的一實施例中,可在複合開口838內形成膠層或阻障層840。在一些例子中,膠層或阻障層840可包含Ti、TiN、Ta、TaN、W或其他適當材料。在一些實施例中,膠層或阻障層840的厚度為大約1-4nm。另外,在區塊716的一實施例中,可在複合開口838內形成金屬層842於膠層或阻障層840上。在一些例子中,金屬層842可包含W、Cu、Co、Ru、Al、Rh、Mo、Ta、Ti或其他導電材料。應注意的是,在複合開口838內的金屬層842可以與形成在每一個接觸件開口836和閘極導孔的開口834內的金屬層842相等地描述,在此接觸件開口836和閘極導孔的開口834如前所述合併及/或重疊。因此,在一些實施例中,且在接觸件開口836的區域內,金屬層842可具有寬度在大約30-60nm,以及高度在大約10-30nm。另外,在一些實施例中,且在閘極導孔的開口834的區域內,金屬層842可具有寬度在大約10-25nm,以及高度在大約20-45nm。在一些例子中,金屬層842的寬度橫跨複合開口838的長度,其包含接觸件開口836和閘極導孔的開口834兩者,可大約為30-85nm。在沉積金屬層842之後,且在區塊716的一實施例中,可進行化學機械平坦化(CMP)製程,以移除多餘的材料,並將裝置800的頂面平坦化。因此,在沉積金屬層
842之後,經由金屬閘極導孔製成接觸件,且位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間。如前所述,且因為藉由在此揭露的實施例所提供的改善的(例如增加的)製程容許度,裝置800更加堅固(例如相較於至少一些目前的裝置)。
The
參閱第15圖,在此說明布局設計900,其有效地提供前述討論的裝置800的上視圖。在一些實施例中,第8至14圖所示之裝置800的剖面圖係沿著大抵上平行於第15圖中繪示的線X-X’之平面而提供。第15圖的布局設計900進一步繪示說明金屬閘極層914,其可以是前述之金屬閘極層814;金屬層928和929,其可以是前述之金屬層828和829;金屬層942,其可以是前述之金屬層842;以及閘極導孔934,其可以是前述之形成在閘極導孔的開口834內的閘極導孔。藉由示範的方式,且在一些實施例中,金屬層942沿著X軸可具有長度在大約30-60nm,以及沿著Y軸可具有寬度在大約10-30nm。在一些例子中,閘極導孔934沿著X軸可具有長度在大約10-25nm,以及沿著Y軸可具有寬度在大約10-25nm。此外,在一些實施例中,金屬閘極層914沿著X軸可具有寬度在大約4-10nm,且金屬層928和928沿著X軸可具有寬度在大約10-30nm。
Referring to Figure 15, the
現在參閱第16圖,說明根據一些實施例形成導孔先製金屬閘極接觸件的另一方法1600。一般而言,當方法700描述導孔先製的製程包含導孔在閘極結構上,方法1600顯示導孔先製的製程包含導孔在閘極結構上,以及導孔在金屬接觸件上,金屬接觸件到相鄰的源極區、汲極區、或本體接觸區。參閱第17至23圖詳細描述方法1600如下,方法1600可在單閘極平
面裝置上實行,像是參閱第1A圖之上述示範的電晶體100,也可在多閘極裝置上實行,像是參閱第1B圖之上述的FinFET裝置150。因此,關於電晶體100及/或FinFET裝置150之上述討論的一或多個觀點也可應用於方法1600。無可否認,在各種實施例中,方法1600可在其他裝置上實行,像是閘極全環繞(GAA)裝置、Ω形閘極裝置、或Π形閘極裝置,以及應變半導體裝置、絕緣體上的矽(SOI)裝置、部份空乏SOI(PD-SOI)裝置、全空乏SOI(FD-SOI)裝置、或其他已知的裝置。
Referring now to FIG. 16, another
可以理解的是,方法1600的一部分及/或參照方法1600所討論的任何示範電晶體裝置,可以藉由已知的互補金屬氧化物半導體(CMOS)技術之製程流程製造,因此一些製程僅在此簡略地描述。為了清楚起見,方法1600的某些與方法200或方法700共用的觀點可能僅簡略討論。此外,可以理解的是,在此討論的任何示範電晶體裝置可包含各種其他裝置和部件,像是額外的電晶體、雙極性接面電晶體、電阻器、電容器、二極體、熔絲元件等,但為了更容易理解本發明實施例的發明概念而簡化。此外,在一些實施例中,在此揭露的示範電晶體裝置可包含複數個半導體裝置(例如電晶體),這些半導體裝置可能互相連接。另外,在一些實施例中,本發明實施例的各個方面可應用於閘極後製的製程或閘極先製的製程。
It is understandable that part of the
此外,在一些實施例中,在此說明的示範電晶體裝置可包含在製程的中間階段之裝置的描述,其可能是在積體電路或積體電路的一部分之製程期間製造,其可包含靜態隨機存取記憶體(SRAM)及/或其他邏輯電路;被動元件,像是電阻 器、電容器和電感器;以及主動元件,像是P通道場效電晶體(PFETs)、N通道場效電晶體(NFETs)、金屬氧化物半導體場效電晶體(MOSFETs)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶體單元胞、及/或前述之組合。 In addition, in some embodiments, the exemplary transistor device described herein may include a description of the device at an intermediate stage of the manufacturing process, which may be manufactured during the manufacturing process of an integrated circuit or a part of the integrated circuit, which may include static Random access memory (SRAM) and/or other logic circuits; passive components, such as resistors Devices, capacitors and inductors; and active components, such as P-channel field-effect transistors (PFETs), N-channel field-effect transistors (NFETs), metal oxide semiconductor field-effect transistors (MOSFETs), and complementary metal oxides Semiconductor (CMOS) transistors, bipolar transistors, high-voltage transistors, high-frequency transistors, other memory cells, and/or combinations of the foregoing.
方法1600在區塊1602開始,在此提供具有閘極結構的基底。參閱第17圖,且在區塊1602的一實施例中,提供裝置1700,其具有基底1702且包含閘極結構1704、1706、1708。在一些實施例中,基底1702可以與前述之基底102、152大抵上相同。基底1702的一區上形成有閘極結構1704、1706、1708,且此區包含基底1702的一些區域位於相鄰的閘極結構1704、1706、1708之間,此區可包含基底1702的主動區。可以理解的是,裝置1700僅作為繪示說明,且提供用於清楚的討論。此外,在一些例子中,裝置1700可包含前述之平面裝置、多閘極裝置或其他裝置。在一些實施例中,裝置1700包含區域1710、1712,其相鄰於閘極結構1704、1706、1708,在區域1710、1712中可包含源極區、汲極區、或本體接觸區。在各種實施例中,每個閘極結構1704、1706、1708可包含界面層形成於基底1702上,閘極介電層形成於界面層上,以及金屬閘極(MG)層1714形成於閘極介電層上。在一些實施例中,閘極結構1704、1706、1708的每個界面層、閘極介電層和金屬閘極層1714可以與前述關於電晶體100和FinFET裝置150的那些層大抵上相同。此外,每個閘極結構1704、1706、1708可包含側壁間隔物層1716、1718。在一些例子中,每個側壁間隔物層1716、1718包含的材料具有
不同的介電常數值(例如k值),其可包含一或多種前述之材料,且可由前述之方法形成。
The
方法1600進行到區塊1604,在此沉積第一介電層於基底之上。仍參閱第17圖,且在區塊1604的一實施例中,形成介電層1720於基底1702之上,且在每個閘極結構1704、1706、1708之上。藉由示範的方式,介電層1720可包含層間介電(ILD)層,其可包含一或多種前述之材料,且可由前述之一或多種方法形成。在一些實施例中,介電層1720的厚度為大約5-40nm。
The
方法1600進行到區塊1606,在此形成圖案於介電層中。參閱第17和18圖,且在區塊1606的一實施例中,形成包含開口1722、1724的圖案於介電層1720中。在一些例子中,開口1722、1724提供到區域1710、1712的入口,區域1710、1712相鄰於閘極結構1704、1706、1708,在區域1710、1712中可包含源極區、汲極區、或本體接觸區。開口1722、1724可由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程之合適組合形成。在一些實施例中,開口1722、1724的寬度為大約12-25nm。
The
方法1600進行至區塊1608,在此進行金屬化和化學機械研磨製程。參閱第18和19圖,且在區塊1608的一實施例中,最初可進行金屬矽化製程,以在區域1710、1712中形成矽化物層於基底1702露出的部分上(例如藉由開口1722、1724露出),因此而提供低電阻接觸件到此處。在一些例子中,且在區塊1608的另一實施例中,可形成膠層或阻障層1726於每個開口1722、1724內。在一些例子中,膠層或阻障層1726可包含Ti、
TiN、Ta、TaN、W、或其他適當材料。在一些實施例中,膠層或阻障層1726的厚度為大約1-4nm。另外,在區塊1608的一實施例中,可在每個開口1722、1724內形成金屬層1728、1729於膠層或阻障層1726上。在一些例子中,金屬層1728、1729可包含W、Cu、Co、Ru、Al、Rh、Mo、Ta、Ti、TiN、TaN、WN、金屬矽化物、或其他合適導電材料。在一些例子中,金屬層1728和1729可包含相同的材料,且可作為單一沉積製程的一部分一起沉積。在一些實施例中,金屬層1728、1729可具有寬度在大約10-20nm,以及高度在大約30-60nm。在沉積金屬層1728、1729之後,且在區塊1608的一實施例中,可進行化學機械平坦化(CMP)製程,以移除多餘的材料,並且將裝置1700的頂面平坦化。相比方法700的區塊708,其介電層820的一部分在CMP製程之後保留;區塊1608的CMP製程可向下研磨至金屬閘極層1714的頂面(例如停止在其上),因此移除大抵上全部的介電層1720。
The
方法1600進行到區塊1610,在此沉積接觸蝕刻停止層和第二介電層於基底之上。參閱第19和20圖,且在區塊1610的一實施例中,形成接觸蝕刻停止層(CESL)1730於基底1702之上,以及形成介電層1732於接觸蝕刻停止層1730上。藉由示範的方式,接觸蝕刻停止層1730可包含Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC、TiAlCN、或前述之組合。在一些實施例中,介電層1732可包含層間介電(ILD)層,其可包含一或多種前述之材料,且可由一或多種前述之方法形成。在一些例子中,接
觸蝕刻停止層1730的厚度為大約5-20nm,且介電層1732的厚度為大約5-40nm。
The
方法1600進行到區塊1612,在此形成閘極導孔的開口和接觸件導孔的開口。參閱第20和21圖,且在區塊1612的一實施例中,形成閘極導孔的開口1734和接觸件導孔的開口1736。藉由範例的方式,閘極導孔的開口1734提供到閘極結構1706的金屬閘極層1714的入口,且接觸件導孔的開口1736提供到金屬層1728的入口。藉由示範的方式,閘極導孔的開口1734和接觸件導孔的開口1736可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程之合適組合形成。在一些例子中,閘極導孔的開口1734和接觸件導孔的開口1736中的每一個具有寬度在大約12-25nm。在一些實施例中,可使用一或多個蝕刻製程,依序蝕刻穿過介電層1732和接觸蝕刻停止層1730中的每一個。如前所述,在各種實施例中,閘極導孔的開口1734大致上對齊(例如集中在)閘極結構1706的金屬閘極層1714。類似地,在一些實施例中,接觸件導孔的開口1736大致上對齊(例如集中在)金屬層1728。
The
方法1600進行到區塊1614,在此形成接觸件開口。參閱第21和22圖,且在區塊1614的一實施例中,形成接觸件開口1738。接觸件開口1738也可藉由微影圖案化和蝕刻(例如濕蝕刻或乾蝕刻)製程的合適組合形成。在一些例子中,接觸件開口1738具有寬度在大約30-60nm。在一些實施例中,蝕刻製程可蝕刻介電層1732且停止在接觸蝕刻停止層1730上。在一些例子中,接觸件開口1738可與閘極導孔的開口1734和接觸
件導孔的開口1736合併及/或重疊,以形成複合開口1740。在一些實施例中,接觸件開口1738與閘極導孔的開口1734和接觸件導孔的開口1736的每一個重疊大約0-20nm。在沉積一或多層金屬層之後,如下所述,複合開口1740因此而提供金屬閘極層與相鄰的源極、汲極、和/或本體區之間的接觸件。
應注意的是,在此所述關於方法1600的實施例也提供了在接觸件開口1738內的金屬之增加的距離‘Z’(例如在垂直於基板的方向上),藉此在接觸件開口1738內的金屬與相鄰的金屬閘極或其他金屬接觸件之間提供較大的隔離,其中金屬接觸層未連接至相鄰的金屬閘極或其他金屬接觸件(例如像是閘極結構1704的金屬閘極層1714、或金屬層1729)。如此,可降低漏電流。此外,關於方法1600所描述的實施例也提供了增加的著陸窗口,確保較高品質和更堅固的連接。
It should be noted that the embodiment of the
方法1600進行到區塊1616,在此進行金屬化和化學機械研磨製程。參閱第22和23圖,且在區塊1616的一實施例中,可在複合開口1740內形成膠層或阻障層1742。在一些例子中,膠層或阻障層1742可包含Ti、TiN、Ta、TaN、W或其他適當材料。在一些實施例中,膠層或阻障層1742的厚度為大約1-4nm。另外,在區塊1616的一實施例中,可在複合開口1740內形成金屬層1744於膠層或阻障層1742上。在一些例子中,金屬層1744可包含W、Cu、Co、Ru、Al、Rh、Mo、Ta、Ti或其他導電材料。應注意的是,在複合開口1740內的金屬層1744可以與形成在每一個接觸件開口1738、閘極導孔的開口1734和接觸件導孔的開口1736內的金屬層1744相等地描述,如前所述之接觸
件開口1738與閘極導孔的開口1734和接觸件導孔的開口1736中的每一個重疊。因此,在一些實施例中,橫跨複合開口1740的長度之金屬層1744的寬度在大約30-60nm,且金屬層1744的高度在大約10-30nm。在沉積金屬層1744之後,且在區塊1616的一實施例中,可進行化學機械平坦化(CMP)製程,以移除多餘的材料,並將裝置1700的頂面平坦化。因此,在沉積金屬層1744之後,經由金屬閘極導孔和接觸件導孔製成接觸件,且接觸件位於金屬閘極層與相鄰的源極、汲極、和/或本體區之間。如前所述,因為藉由在此揭露的實施例所提供的改善的(例如增加的)製程容許度,裝置1700更加堅固(例如相較於至少一些目前的裝置)。
The
參閱第24圖,在此說明布局設計1800,其有效地提供前述討論的裝置1700的上視圖。在一些實施例中,第17至23圖所示之裝置1700的剖面圖係沿著大抵上平行於第24圖中繪示的線Y-Y’之平面而提供。第24圖的布局設計1800進一步繪示說明金屬閘極層1814,其可以是前述之金屬閘極層1714;金屬層1828和1829,其可以是前述之金屬層1728和1729;金屬層1844,其可以是前述之金屬層1744;閘極導孔1834,其可以是前述形成在閘極導孔的開口1734內的閘極導孔;以及接觸件導孔1836,其可以是前述形成在接觸件導孔的開口1736內的接觸件導孔。藉由示範的方式,且在一些實施例中,金屬層1844沿著X軸可具有長度在大約30-60nm,且沿著Y軸可具有寬度在大約10-30nm。在一些例子中,閘極導孔1834沿著X軸可具有長度在大約10-25nm,且沿著Y軸可具有寬度在大約10-25nm。在一
些例子中,接觸件導孔1836沿著X軸可具有長度在大約10-25nm,且沿著Y軸可具有寬度在大約10-25nm。此外,在一些實施例中,金屬閘極層1814沿著X軸可具有寬度在大約4-10nm,金屬層1828和1829沿著X軸可具有寬度在大約10-30nm。
Referring to Figure 24, the
在此所述之各種實施例提供超越現存技術的數個優點,應理解的是,並非全部優點都需要在此描述,對於全部實施例而言並不需要特定優點,且其他實施例可能提供不同優點。作為一範例,在此討論的實施例包含導孔先製金屬閘極接觸件之製造製程的方法及結構。在至少一些實施例中,提供導孔先製金屬閘極接觸件製程,閘極導孔先形成在金屬閘極上,之後形成金屬接觸層在閘極導孔上,而不是如同在至少一些傳統製程中讓金屬閘極直接接觸於金屬接觸層。在各種例子中,金屬接觸層還可連接至相鄰的源極、汲極、和/或本體區。在一些實施例中,閘極導孔集中在金屬閘極上,且可對於金屬接觸層提供較大的著陸窗口(例如相較於金屬閘極直接接觸於金屬接觸層)。作為增加閘極導孔於金屬閘極與金屬接觸層之間的結果,其改善了(例如增加)製程容許度。此外,使用在此所述之閘極導孔,使得金屬接觸層(例如其接觸於閘極導孔)在垂直於基底的方向上設置成增加的距離‘Z’(例如相較於至少一些傳統製程),因此在金屬接觸層與相鄰的金屬閘極之間提供較大的隔離,其中金屬接觸層未連接至相鄰的金屬閘極。如此,降低了金屬接觸層與一或多個相鄰的金屬閘極之間的漏電流,其中金屬接觸層未連接至相鄰的金屬閘極。因此,在此揭露的各種實施例提供更高品質和更堅固的閘極連接,其進一步 提供改善的裝置和電路效能。 The various embodiments described herein provide several advantages over existing technologies. It should be understood that not all of the advantages need to be described here, for all embodiments, specific advantages are not required, and other embodiments may provide different advantage. As an example, the embodiment discussed here includes the method and structure of the manufacturing process of the via-hole prefabricated metal gate contact. In at least some embodiments, a via hole pre-made metal gate contact process is provided. The gate via is first formed on the metal gate, and then the metal contact layer is formed on the gate via, instead of as in at least some traditional processes Let the metal gate directly contact the metal contact layer. In various examples, the metal contact layer may also be connected to adjacent source, drain, and/or body regions. In some embodiments, the gate vias are concentrated on the metal gate, and a larger landing window can be provided for the metal contact layer (for example, compared to the metal gate directly contacting the metal contact layer). As a result of increasing the gate vias between the metal gate and the metal contact layer, it improves (eg increases) the process tolerance. In addition, the gate vias described herein are used so that the metal contact layer (for example, which is in contact with the gate vias) is arranged at an increased distance'Z' in the direction perpendicular to the substrate (for example, compared to at least some conventional Process), therefore, a greater isolation is provided between the metal contact layer and the adjacent metal gate, wherein the metal contact layer is not connected to the adjacent metal gate. In this way, the leakage current between the metal contact layer and one or more adjacent metal gates is reduced, wherein the metal contact layer is not connected to the adjacent metal gates. Therefore, the various embodiments disclosed herein provide higher quality and stronger gate connections, which further Provides improved device and circuit performance.
因此,本發明實施例之一描述半導體裝置的製造方法,其包含沉積第一介電層於基底之上。在一些實施例中,基底包含閘極結構,閘極結構具有金屬閘極層。在一些例子中,形成開口於第一介電層中,以露出相鄰於閘極結構之基底的一部分,以及沉積第一金屬層於開口內。在各種實施例中,沉積第二介電層於第一介電層上,且位於第一金屬層上。之後,在一些實施例中,蝕刻第一介電層和第二介電層,以形成閘極導孔的開口,在此閘極導孔的開口露出閘極結構的金屬閘極層。在一些例子中,移除第二介電層的一部分,以形成接觸件開口,其露出第一金屬層,在此閘極導孔的開口和接觸件開口合併以形成複合開口。在一些實施例中,沉積第二金屬層於複合開口內,在此第二金屬層經由第二金屬層的閘極導孔部分將閘極結構的金屬閘極層電性連接至第一金屬層。 Therefore, one of the embodiments of the present invention describes a method of manufacturing a semiconductor device, which includes depositing a first dielectric layer on a substrate. In some embodiments, the substrate includes a gate structure, and the gate structure has a metal gate layer. In some examples, an opening is formed in the first dielectric layer to expose a portion of the substrate adjacent to the gate structure, and the first metal layer is deposited in the opening. In various embodiments, the second dielectric layer is deposited on the first dielectric layer and on the first metal layer. Afterwards, in some embodiments, the first dielectric layer and the second dielectric layer are etched to form the opening of the gate via, where the opening of the gate via exposes the metal gate layer of the gate structure. In some examples, a part of the second dielectric layer is removed to form a contact opening, which exposes the first metal layer, where the gate via opening and the contact opening merge to form a composite opening. In some embodiments, a second metal layer is deposited in the composite opening, where the second metal layer electrically connects the metal gate layer of the gate structure to the first metal layer through the gate via hole portion of the second metal layer .
在一實施例中,上述方法更包含在沉積第一金屬層之後和沉積第二介電層之前,沉積接觸蝕刻停止層於基底之上,且沉積第二介電層於接觸蝕刻停止層上。 In one embodiment, the above method further includes depositing a contact etch stop layer on the substrate after depositing the first metal layer and before depositing the second dielectric layer, and depositing a second dielectric layer on the contact etch stop layer.
在一實施例中,上述方法更包含蝕刻第一介電層、接觸蝕刻停止層和第二介電層,以形成閘極導孔的開口。 In one embodiment, the above method further includes etching the first dielectric layer, contacting the etch stop layer and the second dielectric layer to form the opening of the gate via.
在一實施例中,上述方法更包含移除第二介電層的一部分和接觸蝕刻停止層的一部分,以形成接觸件開口,其露出第一金屬層。 In one embodiment, the above method further includes removing a part of the second dielectric layer and a part of the contact etch stop layer to form a contact opening, which exposes the first metal layer.
在一實施例中,上述方法更包含在形成開口於第一介電層中之後和沉積第一金屬層之前,形成矽化物層於基底 之露出的部分上,露出的部分相鄰於閘極結構;以及沉積第一金屬層於矽化物層之上。 In one embodiment, the above method further includes forming a silicide layer on the substrate after forming the opening in the first dielectric layer and before depositing the first metal layer On the exposed part, the exposed part is adjacent to the gate structure; and the first metal layer is deposited on the silicide layer.
在一實施例中,上述方法更包含在沉積第一金屬層之後,進行化學機械研磨製程,其中化學機械研磨製程將半導體裝置的頂面平坦化,且其中第一介電層的一部分在進行化學機械研磨製程之後保留。 In one embodiment, the above method further includes performing a chemical mechanical polishing process after depositing the first metal layer, wherein the chemical mechanical polishing process planarizes the top surface of the semiconductor device, and wherein a part of the first dielectric layer is subjected to chemical mechanical polishing. Retained after the mechanical grinding process.
在一實施例中,第一介電層和第二介電層包含層間介電層。 In one embodiment, the first dielectric layer and the second dielectric layer include interlayer dielectric layers.
在一實施例中,相鄰於閘極結構之基底的露出部分包含源極區、汲極區或本體接觸區。 In one embodiment, the exposed portion of the substrate adjacent to the gate structure includes a source region, a drain region or a body contact region.
在一實施例中,閘極導孔的開口對齊閘極結構的金屬閘極層。 In one embodiment, the opening of the gate via is aligned with the metal gate layer of the gate structure.
在一實施例中,第二金屬層電性連接閘極結構的金屬閘極層至第一金屬層,且第一金屬層電性連接至源極區、汲極區或本體接觸區。 In one embodiment, the second metal layer is electrically connected to the metal gate layer of the gate structure to the first metal layer, and the first metal layer is electrically connected to the source region, the drain region or the body contact region.
在另一實施例中所討論的是方法,在此形成第一金屬層,其鄰接於閘極結構的側壁。在一些實施例中,第一金屬層接觸在第一金屬層下方之基底的一區,且閘極結構包含金屬閘極。在一些例子中,第一介電層沉積於基底之上。在一些實施例中,且在閘極結構之上的一區中,蝕刻第一介電層以形成閘極導孔的開口,在此閘極導孔的開口露出閘極結構的金屬閘極。在各種例子中,且在第一金屬層之上的一區中,蝕刻第一介電層以形成接觸件導孔的開口,在此接觸件導孔的開口露出第一金屬層。在一些實施例中,且從閘極導孔的開口與接觸 件導孔的開口之間的一區,移除第一介電層以形成接觸件開口,在此接觸件開口、閘極導孔的開口和接觸件導孔的開口合併以形成複合開口。之後,在一些實施例中,形成第二金屬層於複合開口內,經由閘極導孔部分和第二金屬層的接觸件導孔部分,將閘極結構的金屬閘極電性連接至第一金屬層。 In another embodiment, a method is discussed, in which a first metal layer is formed, which is adjacent to the sidewall of the gate structure. In some embodiments, the first metal layer is in contact with a region of the substrate under the first metal layer, and the gate structure includes a metal gate. In some examples, the first dielectric layer is deposited on the substrate. In some embodiments, and in a region above the gate structure, the first dielectric layer is etched to form an opening of the gate via, where the opening of the gate via exposes the metal gate of the gate structure. In various examples, and in a region above the first metal layer, the first dielectric layer is etched to form the opening of the contact via hole, where the opening of the contact via hole exposes the first metal layer. In some embodiments, and from the opening of the gate via and contact In a region between the openings of the via holes, the first dielectric layer is removed to form a contact opening, where the contact opening, the gate via opening and the contact via opening are combined to form a composite opening. Afterwards, in some embodiments, a second metal layer is formed in the composite opening, and the metal gate of the gate structure is electrically connected to the first through the gate via portion and the contact via portion of the second metal layer. Metal layer.
在一實施例中,上述方法更包含在沉積第一介電層之前,沉積接觸蝕刻停止層於基底之上,以及沉積第一介電層於接觸蝕刻停止層上。 In one embodiment, the above method further includes depositing a contact etch stop layer on the substrate before depositing the first dielectric layer, and depositing a first dielectric layer on the contact etch stop layer.
在一實施例中,上述方法更包含蝕刻在閘極結構之上的一區中的接觸蝕刻停止層和第一介電層,以形成閘極導孔的開口。 In one embodiment, the above method further includes etching the contact etch stop layer and the first dielectric layer in a region above the gate structure to form the opening of the gate via.
在一實施例中,上述方法更包含蝕刻在第一金屬層之上的一區中的接觸蝕刻停止層和第一介電層,以形成接觸件導孔的開口。 In one embodiment, the above method further includes etching the contact etch stop layer and the first dielectric layer in a region above the first metal layer to form the opening of the contact via hole.
在一實施例中,上述方法更包含在形成第一金屬層之前,沉積第二介電層於基底之上;形成開口於第二介電層中,以露出在第一金屬層下方之基底的一區;以及形成第一金屬層於開口內。 In one embodiment, the above method further includes depositing a second dielectric layer on the substrate before forming the first metal layer; forming an opening in the second dielectric layer to expose the substrate under the first metal layer A region; and forming a first metal layer in the opening.
在一實施例中,上述方法更包含在形成第一金屬層於開口內之後和沉積第一介電層之前,進行化學機械研磨製程,將半導體裝置的頂面平坦化,其中化學機械研磨製程移除第二介電層且停止在金屬閘極的頂面上。 In one embodiment, the above method further includes performing a chemical mechanical polishing process to planarize the top surface of the semiconductor device after forming the first metal layer in the opening and before depositing the first dielectric layer, wherein the chemical mechanical polishing process is moved Remove the second dielectric layer and stop on the top surface of the metal gate.
在一實施例中,在第一金屬層下方之基底的一區包含源極區、汲極區或本體接觸區。 In one embodiment, a region of the substrate under the first metal layer includes a source region, a drain region, or a body contact region.
在一實施例中,閘極導孔的開口對齊閘極結構的金屬閘極,且接觸件導孔的開口對齊第一金屬層。 In one embodiment, the opening of the gate via is aligned with the metal gate of the gate structure, and the opening of the contact via is aligned with the first metal layer.
在又另一實施例中討論半導體裝置,其包含的基底具有閘極結構,閘極結構包含金屬閘極。在一些例子中,第一金屬層鄰接於設置在閘極結構的側壁上之側壁間隔物,在此第一金屬層接觸第一金屬層下方之基底的一區。在一些實施例中,介電層設置於基底之上,在此介電層包含填充第二金屬層之複合開口。在各種例子中,第二金屬層包含定義在複合開口的閘極導孔部分內的閘極導孔,在此閘極導孔接觸金屬閘極,且閘極導孔大抵上對齊金屬閘極。在一些實施例中,第二金屬層接觸在複合開口的接觸件部份內的第一金屬層。 In yet another embodiment, a semiconductor device is discussed, which includes a substrate having a gate structure, and the gate structure includes a metal gate. In some examples, the first metal layer is adjacent to the sidewall spacers disposed on the sidewalls of the gate structure, where the first metal layer contacts a region of the substrate below the first metal layer. In some embodiments, the dielectric layer is disposed on the substrate, where the dielectric layer includes a composite opening filled with the second metal layer. In various examples, the second metal layer includes a gate via defined in the gate via portion of the composite opening, where the gate via contacts the metal gate, and the gate via is substantially aligned with the metal gate. In some embodiments, the second metal layer contacts the first metal layer in the contact portion of the composite opening.
在一實施例中,第一金屬層下方之基底的一區包含源極區、汲極區或本體接觸區。 In one embodiment, a region of the substrate under the first metal layer includes a source region, a drain region, or a body contact region.
以上概述了數個實施例的部件,使得在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的概念。在本發明所屬技術領域中具有通常知識者應該理解,可以使用本發明實施例作為基礎,來設計或修改其他製程和結構,以實現與在此所介紹的實施例相同的目的及/或達到相同的好處。在本發明所屬技術領域中具有通常知識者也應該理解,這些等效的結構並不背離本發明的精神和範圍,並且在不背離本發明的精神和範圍的情況下,在此可以做出各種改變、取代和其他選擇。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。 The components of several embodiments are summarized above, so that those with ordinary knowledge in the technical field of the present invention can better understand the concept of the embodiments of the present invention. Those with ordinary knowledge in the technical field of the present invention should understand that the embodiments of the present invention can be used as a basis to design or modify other processes and structures to achieve the same purpose and/or the same as the embodiments described herein. the benefits of. Those with ordinary knowledge in the technical field to which the present invention belongs should also understand that these equivalent structures do not depart from the spirit and scope of the present invention, and various modifications can be made here without departing from the spirit and scope of the present invention. Changes, substitutions and other choices. Therefore, the scope of protection of the present invention shall be subject to the definition of the attached patent scope.
800‧‧‧裝置 800‧‧‧device
802‧‧‧基底 802‧‧‧Base
810、812‧‧‧區域 810, 812‧‧‧ area
828、829‧‧‧金屬層 828、829‧‧‧Metal layer
830‧‧‧接觸蝕刻停止層 830‧‧‧Contact etching stop layer
832‧‧‧介電層 832‧‧‧Dielectric layer
834‧‧‧閘極導孔的開口 834‧‧‧The opening of the gate via
836‧‧‧接觸件開口 836‧‧‧Contact opening
838‧‧‧複合開口 838‧‧‧Composite opening
A’、B’、C’‧‧‧距離 A’, B’, C’‧‧‧distance
Z‧‧‧增加的距離 Z‧‧‧ Increased distance
Claims (14)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762592763P | 2017-11-30 | 2017-11-30 | |
US62/592,763 | 2017-11-30 | ||
US15/884,012 US10636697B2 (en) | 2017-11-30 | 2018-01-30 | Contact formation method and related structure |
US15/884,012 | 2018-01-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201926505A TW201926505A (en) | 2019-07-01 |
TWI730247B true TWI730247B (en) | 2021-06-11 |
Family
ID=66632708
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107127426A TWI730247B (en) | 2017-11-30 | 2018-08-07 | Semiconductor devices and methods of fabricating the same |
Country Status (3)
Country | Link |
---|---|
US (1) | US10636697B2 (en) |
KR (1) | KR102058566B1 (en) |
TW (1) | TWI730247B (en) |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9153483B2 (en) * | 2013-10-30 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor integrated circuit fabrication |
US10868185B2 (en) * | 2018-11-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US11189727B2 (en) * | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET contacts and method forming same |
DE102020110480B4 (en) | 2019-09-30 | 2024-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Middle-of-line interconnect structure and manufacturing process |
US11462471B2 (en) * | 2019-09-30 | 2022-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Middle-of-line interconnect structure and manufacturing method |
US11393718B2 (en) * | 2020-01-30 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US11189525B2 (en) | 2020-02-21 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-first process for connecting a contact and a gate electrode |
US11444018B2 (en) | 2020-02-27 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device including recessed interconnect structure |
DE102020126070A1 (en) * | 2020-03-31 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | CONTACT EDUCATION PROCEDURE AND CORRESPONDING STRUCTURE |
US11972983B2 (en) | 2020-06-24 | 2024-04-30 | Etron Technology, Inc. | Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method |
US11973120B2 (en) | 2020-06-24 | 2024-04-30 | Etron Technology, Inc. | Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method |
US11652149B2 (en) * | 2020-08-13 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Common rail contact |
US11855218B2 (en) * | 2020-09-09 | 2023-12-26 | Etron Technology, Inc. | Transistor structure with metal interconnection directly connecting gate and drain/source regions |
US20220093757A1 (en) * | 2020-09-22 | 2022-03-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Middle-of-line interconnect structure and manufacturing method |
US11894435B2 (en) * | 2020-10-15 | 2024-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact plug structure of semiconductor device and method of forming same |
US20220238373A1 (en) | 2021-01-27 | 2022-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate contact structure |
US11652049B2 (en) * | 2021-03-10 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming thereof |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100308380A1 (en) * | 2009-06-05 | 2010-12-09 | International Business Machines Corporation | Dual damascene processing for gate conductor and active area to first metal level interconnect structures |
US20160379925A1 (en) * | 2015-06-29 | 2016-12-29 | International Business Machines Corporation | Stable contact on one-sided gate tie-down structure |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6339029B1 (en) | 2000-01-19 | 2002-01-15 | Taiwan Semiconductor Manufacturing Company | Method to form copper interconnects |
US6440847B1 (en) | 2001-04-30 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Method for forming a via and interconnect in dual damascene |
US6940108B2 (en) | 2002-12-05 | 2005-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Slot design for metal interconnects |
US8446012B2 (en) | 2007-05-11 | 2013-05-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structures |
US8952547B2 (en) | 2007-07-09 | 2015-02-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same |
JP2010171291A (en) | 2009-01-26 | 2010-08-05 | Renesas Electronics Corp | Semiconductor device and method of manufacturing the semiconductor device |
US9553028B2 (en) | 2014-03-19 | 2017-01-24 | Globalfoundries Inc. | Methods of forming reduced resistance local interconnect structures and the resulting devices |
US9640625B2 (en) | 2014-04-25 | 2017-05-02 | Globalfoundries Inc. | Self-aligned gate contact formation |
US9431297B2 (en) | 2014-10-01 | 2016-08-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure for a semiconductor device |
US9455254B2 (en) * | 2014-11-07 | 2016-09-27 | Globalfoundries Inc. | Methods of forming a combined gate and source/drain contact structure and the resulting device |
CN111524889B (en) | 2015-06-09 | 2023-07-04 | 联华电子股份有限公司 | Static random access memory |
US10427179B2 (en) | 2015-09-17 | 2019-10-01 | Cnh Industrial America Llc | Low flow metering system |
US11088030B2 (en) | 2015-12-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9947657B2 (en) | 2016-01-29 | 2018-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US20180116052A1 (en) * | 2016-10-20 | 2018-04-26 | Northrop Grumman Systems Corporation | Electronic tile packaging |
-
2018
- 2018-01-30 US US15/884,012 patent/US10636697B2/en active Active
- 2018-04-11 KR KR1020180042102A patent/KR102058566B1/en active IP Right Grant
- 2018-08-07 TW TW107127426A patent/TWI730247B/en active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100308380A1 (en) * | 2009-06-05 | 2010-12-09 | International Business Machines Corporation | Dual damascene processing for gate conductor and active area to first metal level interconnect structures |
US20160379925A1 (en) * | 2015-06-29 | 2016-12-29 | International Business Machines Corporation | Stable contact on one-sided gate tie-down structure |
Also Published As
Publication number | Publication date |
---|---|
TW201926505A (en) | 2019-07-01 |
KR20190064376A (en) | 2019-06-10 |
US20190164813A1 (en) | 2019-05-30 |
KR102058566B1 (en) | 2019-12-24 |
US10636697B2 (en) | 2020-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI730247B (en) | Semiconductor devices and methods of fabricating the same | |
US10985261B2 (en) | Dummy gate structure and methods thereof | |
US11915971B2 (en) | Contact formation method and related structure | |
US20220130757A1 (en) | Interconnect structure and methods thereof | |
US11171053B2 (en) | Transistor device and related methods | |
US12131942B2 (en) | Source/drain isolation structure and methods thereof | |
US12074063B2 (en) | Contact formation method and related structure | |
US11177212B2 (en) | Contact formation method and related structure | |
KR102544402B1 (en) | Contact formation method and related structure | |
CN113053853B (en) | Semiconductor device and method of manufacturing the same | |
US20240332374A1 (en) | Contact Formation Method and Related Structure | |
US20240379408A1 (en) | Source/drain isolation structure and methods thereof |