[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

TWI643971B - Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition - Google Patents

Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition Download PDF

Info

Publication number
TWI643971B
TWI643971B TW103143057A TW103143057A TWI643971B TW I643971 B TWI643971 B TW I643971B TW 103143057 A TW103143057 A TW 103143057A TW 103143057 A TW103143057 A TW 103143057A TW I643971 B TWI643971 B TW I643971B
Authority
TW
Taiwan
Prior art keywords
substrate
processing chamber
film
process condition
exposing
Prior art date
Application number
TW103143057A
Other languages
Chinese (zh)
Other versions
TW201529881A (en
Inventor
雷雨
干德可塔史林尼維斯
甘古利沙謝德利
鄭波
加卡拉祖拉傑庫馬
薩理納斯馬丁傑夫
史密格班哲明
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201529881A publication Critical patent/TW201529881A/en
Application granted granted Critical
Publication of TWI643971B publication Critical patent/TWI643971B/en

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

本揭示案提供原子層沉積方法,以使用圓形批次處理腔室沉積薄膜,該圓形批次處理腔室具有藉由氣簾分離的複數個區段,以使得每個區段獨立地具有製程條件。 The present disclosure provides an atomic layer deposition method for depositing a film using a circular batch processing chamber having a plurality of sections separated by an air curtain such that each section independently has a process condition.

Description

使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積 Thin film deposition using space atomic layer deposition or pulsed chemical vapor deposition

本揭示案之實施例大體而言係關於一種處理基板之設備。更特定言之,本揭示案之實施例係關於一種在基板上執行原子層沉積(atomic layer deposition;ALD)及化學氣相沉積(chemical vapor deposition;CVD)的批次處理平臺。 Embodiments of the present disclosure are generally directed to an apparatus for processing a substrate. More specifically, embodiments of the present disclosure relate to a batch processing platform for performing atomic layer deposition (ALD) and chemical vapor deposition (CVD) on a substrate.

通常在含有多個腔室的基板處理平臺中進行形成半導體裝置之製程。在一些情形中,多腔室處理平臺或群集工具之目的在於在受控環境中,於基板上依序執行兩個或更多個製程。然而,在其他情形中,多腔室處理平臺可在多個基板上僅執行單一處理步驟;額外腔室意欲最大化平臺處理基板之速率。在後者情況中,在多個基板上所執行之製程通常為批次製程,其中在給定腔室內同時處理相對大量的基板(例如,25個或50個)。批次處理尤其有益於以經濟可行方式在個別基板上所執行之太過耗時的製程,諸如有益於ALD製程及一些化學氣相沉積(CVD)製程。 The process of forming a semiconductor device is typically performed in a substrate processing platform having a plurality of chambers. In some cases, the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes sequentially on a substrate in a controlled environment. In other cases, however, the multi-chamber processing platform can perform only a single processing step on multiple substrates; the additional chamber is intended to maximize the rate at which the platform processes the substrate. In the latter case, the process performed on multiple substrates is typically a batch process in which a relatively large number of substrates (e.g., 25 or 50) are simultaneously processed in a given chamber. Batch processing is particularly beneficial for processes that are too time consuming to perform on individual substrates in an economically viable manner, such as for ALD processes and some chemical vapor deposition (CVD) processes.

基板處理平臺或系統之效率通常由所有權的成本(cost of ownership;COO)量化。儘管受諸多因素影響,但COO 主要受系統佔地面積(亦即,在製造廠內操作系統所需的總佔用面積)及系統產量(亦即,每小時所處理的基板數量)影響。佔地面積通常包括維護所需之相鄰系統的出入面積。因此,儘管基板處理平臺可相對較小,但若需要自所有側面出入以用於操作及維護,則系統之有效佔地面積仍可能過大。 The efficiency of a substrate processing platform or system is typically quantified by cost of ownership (COO). Although affected by many factors, COO Mainly affected by the system footprint (ie, the total footprint required for the operating system in the manufacturing plant) and system throughput (ie, the number of substrates processed per hour). The footprint typically includes the access area of adjacent systems required for maintenance. Thus, although the substrate processing platform can be relatively small, the effective footprint of the system can still be excessive if it needs to be accessed from all sides for operation and maintenance.

隨著半導體裝置之尺寸縮小,半導體工業對製程變化性的容限持續減小。為了滿足該等更嚴格的製程需求,該工業已研發出滿足更嚴格的製程窗口需求的眾多新製程,但該等製程通常耗費更長時間完成。舉例而言,對於在高深寬比、65nm或更小互連特徵之表面上保形形成銅擴散阻障層,可能必需使用ALD製程。ALD係CVD之變型,展現出與CVD相比更優良的階梯覆蓋。ALD係基於原子層磊晶(atomic layer epitaxy;ALE),該ALE最初用於製造電致發光顯示器。ALD採用化學吸附以在基板表面上沉積反應性前驅物分子之飽和單層。此係藉由將適宜反應性前驅物之脈衝循環交替至沉積腔室中來實現。通常藉由惰性氣體淨化分離反應性前驅物之每次注射,以向先前沉積的層提供新原子層,從而在基板之表面上形成均勻材料層。重複反應性前驅物及惰性淨化氣體之循環以形成材料層至所需厚度。ALD技術之最大缺點在於沉積速率比典型CVD技術低至少一個數量級。舉例而言,一些ALD製程可需要自約10分鐘至約200分鐘的腔室處理時間以在基板之表面上沉積高品質層。在選擇此類ALD製程及磊晶製程以達更好的裝置效能時,在習知單基板處理腔室內製造裝置的成本將因非常低的基板處理產量而增加。因此, 當實施此類製程時,連續基板處理途徑必須經濟可行。 As semiconductor devices shrink in size, the semiconductor industry's tolerance to process variability continues to decrease. To meet these more stringent process requirements, the industry has developed numerous new processes that meet the more stringent process window requirements, but these processes typically take longer to complete. For example, for conformal formation of a copper diffusion barrier layer on a surface of a high aspect ratio, 65 nm or less interconnect feature, it may be necessary to use an ALD process. The ALD-based CVD variant exhibits superior step coverage compared to CVD. ALD is based on atomic layer epitaxy (ALE), which was originally used to fabricate electroluminescent displays. ALD employs chemisorption to deposit a saturated monolayer of reactive precursor molecules on the surface of the substrate. This is accomplished by alternating a pulsed cycle of a suitable reactive precursor into the deposition chamber. Each injection of reactive precursor is typically separated by inert gas purge to provide a new atomic layer to the previously deposited layer to form a uniform layer of material on the surface of the substrate. The recycling of the reactive precursor and the inert purge gas is repeated to form a layer of material to the desired thickness. The biggest disadvantage of ALD technology is that the deposition rate is at least an order of magnitude lower than typical CVD techniques. For example, some ALD processes may require a chamber processing time of from about 10 minutes to about 200 minutes to deposit a high quality layer on the surface of the substrate. When such ALD processes and epitaxial processes are selected for better device performance, the cost of manufacturing devices in conventional single substrate processing chambers will increase due to very low substrate processing throughput. therefore, Continuous substrate processing approaches must be economically viable when such processes are implemented.

此項技術中持續需要以有效率且成本有效方式在基板上均勻沉積薄膜之設備及方法。 There is a continuing need in the art for an apparatus and method for uniformly depositing a thin film on a substrate in an efficient and cost effective manner.

本揭示案之實施例係針對處理方法,該方法包含:將具有表面的基板置放於處理腔室中,該腔室包含複數個區段,藉由氣簾將每個區段與相鄰區段分離;將該表面的至少一部分曝露於包含溫度變化或第一反應性氣體之一或更多者的第一製程條件中,以在處理腔室之第一區段中的表面上沉積第一薄膜;使基板表面橫向移動穿過氣簾至處理腔室之第二區段;以及將第一薄膜曝露於包含溫度變化或第二反應性氣體之一或更多者的第二製程條件,以在處理腔室之第二區段中形成第二薄膜,其中在將表面之第二部分曝露於第二製程條件中及將基板之中間部分曝露於氣簾中的同時,將表面之第一部分曝露於第一製程條件中。 Embodiments of the present disclosure are directed to a method of processing comprising: placing a substrate having a surface in a processing chamber, the chamber including a plurality of segments, each segment being adjacent to an adjacent segment by an air curtain Separating; exposing at least a portion of the surface to a first process condition comprising one or more of a temperature change or a first reactive gas to deposit a first film on a surface in the first section of the processing chamber Passing the substrate surface laterally through the air curtain to the second section of the processing chamber; and exposing the first film to a second process condition comprising one or more of a temperature change or a second reactive gas for processing Forming a second film in the second section of the chamber, wherein the first portion of the surface is exposed to the first portion while exposing the second portion of the surface to the second process condition and exposing the intermediate portion of the substrate to the air curtain In the process conditions.

本揭示案之額外實施例係針對處理方法,該方法包含:將具有表面的基板置放於處理腔室中,該腔室包含圍繞中心軸環形排列的複數個區段,藉由氣簾將每個區段與相鄰區段分離;以及圍繞中心軸旋轉基板以將基板表面的至少一部分曝露於包含溫度變化或第一反應性氣體之一或更多者的第一製程條件中以在表面上沉積第一薄膜,並曝露於包含溫度變化或第二反應性氣體之一或更多者的第二製程條件中以與第一薄膜反應以在處理腔室之第二區段中形成第二薄膜,其中在將表面之第二部分曝露於第二製程條件中及將基板之 中間部分曝露於氣簾中的同時,將表面之第一部分曝露於第一製程條件中。 Additional embodiments of the present disclosure are directed to a method of processing comprising: placing a substrate having a surface in a processing chamber, the chamber including a plurality of segments circumferentially arranged about a central axis, each of which is Separating the segment from the adjacent segment; and rotating the substrate about the central axis to expose at least a portion of the substrate surface to a first process condition comprising one or more of a temperature change or a first reactive gas to deposit on the surface a first film exposed to a second process condition comprising one or more of a temperature change or a second reactive gas to react with the first film to form a second film in the second section of the processing chamber, Wherein the second portion of the surface is exposed to the second process condition and the substrate is While the intermediate portion is exposed to the air curtain, the first portion of the surface is exposed to the first process conditions.

本揭示案之進一步實施例係針對處理方法,該方法包含:將具有表面的基板置放於處理腔室中,該腔室包含圍繞中心軸環形排列的複數個區段,藉由氣簾將每個區段與相鄰區段分離;將基板曝露於處理腔室之第一區段中的第一製程條件中以形成第一薄膜,第一製程條件包含第一反應性氣體或溫度變化之一或更多者;圍繞處理腔室之中心軸旋轉基板以使基板自第一區段移動穿過氣簾至具有第二製程條件的處理腔室之第二區段,使得在移動期間的一些點處,在將基板的至少一個部分曝露於第二製程條件中及將基板之中間部分曝露於氣簾中的同時,將基板的至少一個部分曝露於第一製程條件中;將基板曝露於處理腔室之第二區段中的第二製程條件中以形成第二薄膜,第二製程條件包含第二反應性氣體或溫度變化之一或更多者;圍繞處理腔室之中心軸旋轉基板以使基板自第二區段移動穿過氣簾至處理腔室之第三區段,使得在移動期間的一些點處,在將基板的至少一個部分曝露於第三製程條件中及將基板之中間部分曝露於氣簾中的同時,將基板的至少一個部分曝露於第二製程條件中;將基板曝露於處理腔室之第三區段中的第三製程條件中以形成第三薄膜,第三製程條件包含第三反應性氣體或溫度變化之一或更多者;圍繞處理腔室之中心軸旋轉基板以使基板自第三區段移動穿過氣簾至處理腔室之第四區段,使得在移動期間的一些點處,在將基板的至少一個部分曝露於第四製程條件 中及將基板之中間部分曝露於氣簾中的同時,將基板的至少一個部分曝露於第三製程條件中;將基板曝露於處理腔室之第四區段中的第四製程條件中以形成第四薄膜,第四製程條件包含第四反應性氣體或溫度變化之一或更多者;圍繞處理腔室之中心軸旋轉基板以使基板自第四區段移動穿過氣簾至第一區段,而無需傳遞穿過第二區段或第三區段,其中第一反應性氣體、第二反應性氣體、第三反應性氣體或第四反應性氣體之一或更多者包含矽烷,且第四薄膜中的缺陷形成與需要在反應性氣體曝露之間淨化製程腔室的製程相比明顯減少。 A further embodiment of the present disclosure is directed to a method of processing comprising: placing a substrate having a surface in a processing chamber, the chamber including a plurality of segments circumferentially arranged about a central axis, each of which is Separating the segment from the adjacent segment; exposing the substrate to a first process condition in the first section of the processing chamber to form a first film, the first process condition comprising one of the first reactive gas or temperature change or More preferably; rotating the substrate about a central axis of the processing chamber to move the substrate from the first section through the air curtain to a second section of the processing chamber having the second process condition such that at some point during the movement, Exposing at least one portion of the substrate to the first process condition while exposing at least one portion of the substrate to the second process condition and exposing the intermediate portion of the substrate to the air curtain; exposing the substrate to the processing chamber Forming a second film in a second process condition in the second section, the second process condition comprising one or more of the second reactive gas or temperature change; rotating about a central axis of the processing chamber The plate moves the substrate from the second section through the air curtain to the third section of the processing chamber such that at some point during the movement, at least one portion of the substrate is exposed to the third process condition and the substrate is Exposing at least one portion of the substrate to the second process condition while the intermediate portion is exposed to the air curtain; exposing the substrate to a third process condition in the third section of the processing chamber to form a third film, third The process conditions comprise one or more of a third reactive gas or temperature change; rotating the substrate about a central axis of the processing chamber to move the substrate from the third section through the air curtain to a fourth section of the processing chamber such that At some point during the movement, at least one portion of the substrate is exposed to the fourth process condition While exposing the intermediate portion of the substrate to the air curtain, exposing at least one portion of the substrate to the third process condition; exposing the substrate to a fourth process condition in the fourth portion of the processing chamber to form the first a fourth film, the fourth process condition comprising one or more of a fourth reactive gas or temperature change; rotating the substrate about a central axis of the processing chamber to move the substrate from the fourth segment through the air curtain to the first segment, And without passing through the second section or the third section, wherein one or more of the first reactive gas, the second reactive gas, the third reactive gas, or the fourth reactive gas comprises decane, and Defect formation in the four films is significantly reduced compared to processes that require purification of the process chamber between reactive gas exposures.

20‧‧‧處理腔室 20‧‧‧Processing chamber

30‧‧‧氣體分配組件 30‧‧‧Gas distribution components

32‧‧‧路徑 32‧‧‧ Path

33‧‧‧內部週邊邊緣 33‧‧‧ Interior perimeter edge

34‧‧‧外部週邊邊緣 34‧‧‧External peripheral edge

40‧‧‧氣簾 40‧‧‧Air curtain

60‧‧‧基板 60‧‧‧Substrate

61‧‧‧頂表面/第一表面 61‧‧‧Top surface/first surface

65‧‧‧梭 65‧‧‧ Shuttle

66‧‧‧晶座 66‧‧‧crystal seat

67‧‧‧頂表面 67‧‧‧ top surface

68‧‧‧凹部 68‧‧‧ recess

70‧‧‧軌道 70‧‧‧ Track

80‧‧‧第一處理站 80‧‧‧First Processing Station

82‧‧‧裝載鎖 82‧‧‧Load lock

84‧‧‧區域 84‧‧‧ area

85‧‧‧第二處理站 85‧‧‧Second processing station

90‧‧‧輻射加熱燈 90‧‧‧radiation heating lamp

100‧‧‧處理腔室 100‧‧‧Processing chamber

120‧‧‧注射器 120‧‧‧Syringe

125‧‧‧氣體埠 125‧‧‧ gas 埠

130‧‧‧注射器 130‧‧‧Syringe

135‧‧‧氣體埠 135‧‧‧ gas 埠

140‧‧‧注射器 140‧‧‧Syringe

145‧‧‧氣體埠 145‧‧‧ gas 埠

150‧‧‧泵送系統 150‧‧‧ pumping system

155‧‧‧真空埠 155‧‧‧vacuum

160‧‧‧分隔件 160‧‧‧Parts

200‧‧‧處理腔室 200‧‧‧Processing chamber

210‧‧‧間隙 210‧‧‧ gap

220‧‧‧氣體分配組件 220‧‧‧Gas distribution components

221‧‧‧注射器單元 221‧‧‧Syringe unit

225‧‧‧正面 225‧‧‧ positive

227‧‧‧內部週邊邊緣 227‧‧‧ Interior perimeter edge

228‧‧‧外部週邊邊緣 228‧‧‧External peripheral edge

230‧‧‧晶座組件 230‧‧‧Crystal assembly

231‧‧‧外徑區域 231‧‧‧OD area

232‧‧‧致動器 232‧‧‧Actuator

239‧‧‧內徑區域 239‧‧‧Inner diameter area

240‧‧‧軸 240‧‧‧Axis

241‧‧‧頂表面 241‧‧‧ top surface

243‧‧‧凹部 243‧‧‧ recess

260‧‧‧晶圓 260‧‧‧ wafer

261‧‧‧頂表面 261‧‧‧ top surface

因此,為了可詳細理解本揭示案之上文所敍述的特徵,可參考實施例更具體描述上文簡要概述之本揭示案,一些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示出本揭示案之典型實施例,且因此該等圖式不欲視為本揭示案範疇之限制,因為本揭示案可允許其他同等有效之實施例。 Therefore, the present disclosure is briefly described in detail with reference to the embodiments, However, it should be noted that the exemplary embodiments of the present disclosure are illustrated by the accompanying drawings, and therefore, the drawings are not intended to be construed as limiting the scope of the disclosure, as this disclosure may permit other equally effective embodiments. .

第1圖係根據本揭示案之一或更多個實施例的空間原子層沉積腔室之剖面側視圖;第2圖圖示根據本揭示案之一或更多個實施例的晶座之透視圖;第3圖圖示根據本揭示案之一或更多個實施例的餅形氣體分配組件之示意圖;第4圖係根據本揭示案之一或更多個實施例配置有 四個氣體分配組件單元及裝載站的基板處理系統之示意性平面圖;第5圖係配置有三個氣體分配組件單元的基板處理系統之示意性平面圖;第6圖圖示根據本揭示案之一或更多個實施例的處理腔室之剖視圖;第7圖圖示根據本揭示案之一或更多個實施例的晶座組件及氣體分配組件單元之透視圖;第8圖圖示根據本揭示案之一或更多個實施例的處理腔室之剖視圖;以及第9圖圖示根據本揭示案之一或更多個實施例的餅形氣體分配組件之示意圖。 1 is a cross-sectional side view of a spatial atomic layer deposition chamber in accordance with one or more embodiments of the present disclosure; and FIG. 2 illustrates a perspective view of a crystal holder in accordance with one or more embodiments of the present disclosure Figure 3 is a schematic illustration of a pie-shaped gas distribution assembly in accordance with one or more embodiments of the present disclosure; Figure 4 is configured in accordance with one or more embodiments of the present disclosure. A schematic plan view of a substrate processing system for four gas distribution module units and a loading station; FIG. 5 is a schematic plan view of a substrate processing system configured with three gas distribution assembly units; FIG. 6 illustrates one of the present disclosures or A cross-sectional view of a processing chamber of a further embodiment; FIG. 7 illustrates a perspective view of a crystal holder assembly and a gas distribution assembly unit in accordance with one or more embodiments of the present disclosure; FIG. 8 illustrates a A cross-sectional view of a processing chamber of one or more embodiments; and a ninth diagram illustrating a schematic diagram of a pie-shaped gas distribution assembly in accordance with one or more embodiments of the present disclosure.

本揭示案之實施例提供一種用於連續基板沉積的基板處理系統,以最大化產量及改良處理效率及均勻性。基板處理系統亦可用於沉積前基板處理及沉積後基板處理。本揭示案之實施例係關於用於增加批次處理器中的沉積均勻性之設備及方法。 Embodiments of the present disclosure provide a substrate processing system for continuous substrate deposition to maximize throughput and improve processing efficiency and uniformity. The substrate processing system can also be used for deposition of pre-substrate processing and post-deposition substrate processing. Embodiments of the present disclosure are directed to apparatus and methods for increasing deposition uniformity in a batch processor.

使用根據所描述實施例之批次處理器,發明者已發現可用ALD TiN沉積展現高產量。採用其他製程可發現類似卓越結果,該等製程例如ALD TiO2、TiSiN、TiAlN、AlN、W、WN、Ta2O5、TaN。各種注射器配置亦可用於製造需要電漿處理之金屬或導電薄膜,諸如需要電漿處理之脈衝CVD Co、PECVD及PEALD TiN。可使用需要電漿的其他製程,該 等製程包括但不限於用氫電漿的PEALD TaN、用氫電漿的PEALD銅等。在電漿能力下,採用NF3遠端電漿的原位清洗可用於蝕刻TiN、Co、TaN、TiSiN、W、WN等。對於AlN、TiAlN及Ta2O5,可採用BCl3及Cl2電漿實行原位清洗。對於TiO2,可使用氦環境中的NF3及NH3之直接電漿。前述薄膜及化學品僅表示可使用的沉積及蝕刻製程中的一些。 Using the batch processor in accordance with the described embodiments, the inventors have discovered that high yields can be exhibited with ALD TiN deposition. Similar superior results can be found using other processes such as ALD TiO 2 , TiSiN, TiAlN, AlN, W, WN, Ta 2 O 5 , TaN. Various syringe configurations can also be used to fabricate metal or conductive films that require plasma processing, such as pulsed CVD Co, PECVD, and PEALD TiN that require plasma processing. Other processes requiring plasma may be used, including but not limited to PEALD TaN with hydrogen plasma, PEALD copper with hydrogen plasma, and the like. In the plasma capacity, in-situ cleaning with NF 3 remote plasma can be used to etch TiN, Co, TaN, TiSiN, W, WN, and the like. For AlN, TiAlN and Ta 2 O 5 , in-situ cleaning can be carried out using BCl 3 and Cl 2 plasma. For TiO 2 , direct plasma of NF 3 and NH 3 in a ruthenium environment can be used. The foregoing films and chemicals represent only some of the deposition and etching processes that can be used.

如此說明書及隨附申請專利範圍中所使用,可互換使用術語「基板」及「晶圓」,兩者皆指示在其上執行製程之表面或表面的一部分。熟習此項技術者亦應將理解,提及基板亦可僅指基板的一部分,除非上下文以其他方式清楚指示。舉例而言,在關於第1圖所描述之空間分離的ALD中,將每種前驅物輸送至基板,但將任何個別前驅物流在任何給定時間處僅輸送至基板的一部分。另外,提及在基板上沉積可指裸基板及其上沉積或形成有一或更多個薄膜或特徵的基板兩者。 As used in this specification and the accompanying claims, the terms "substrate" and "wafer" are used interchangeably and both indicate a portion of the surface or surface on which the process is performed. It should also be understood by those skilled in the art that reference to a substrate may also refer only to a portion of the substrate unless the context clearly dictates otherwise. For example, in the spatially separated ALD described with respect to Figure 1, each precursor is delivered to the substrate, but any individual precursor stream is only delivered to a portion of the substrate at any given time. Additionally, reference to depositing on a substrate can refer to both a bare substrate and a substrate on which one or more films or features are deposited or formed.

如此說明書及隨附申請專利範圍中所使用,可互換使用術語「反應性氣體(reactive gas)」、「前驅物(precursor)」及「反應物(reactant)」及類似者以意指包括在原子層沉積製程中為反應性的物質之氣體。舉例而言,第一「反應性氣體」可僅吸附於基板之表面上且可用於與第二反應性氣體的進一步化學反應。 As used in this specification and the accompanying claims, the terms "reactive gas", "precursor" and "reactant" and the like are used interchangeably to mean A gas that is a reactive substance in a layer deposition process. For example, the first "reactive gas" may be adsorbed only on the surface of the substrate and may be used for further chemical reaction with the second reactive gas.

第1圖係根據本揭示案之一或更多個實施例的處理腔室20之一部分之示意性剖視圖。處理腔室20大體為可密封外殼,該外殼可在真空或至少低壓條件下操作。處理腔室 100包括氣體分配組件30,該氣體分配組件能夠跨越基板60之頂表面61分配一或更多種氣體。氣體分配組件30可為熟習此項技術者已知的任何適宜組件,且不應將所描述特定氣體分配組件視為限制本揭示案之範疇。氣體分配組件30之輸出面面向基板60之第一表面61。 1 is a schematic cross-sectional view of a portion of a processing chamber 20 in accordance with one or more embodiments of the present disclosure. The processing chamber 20 is generally a sealable outer casing that is operable under vacuum or at least low pressure conditions. Processing chamber 100 includes a gas distribution assembly 30 that is capable of dispensing one or more gases across a top surface 61 of the substrate 60. The gas distribution assembly 30 can be any suitable component known to those skilled in the art, and the particular gas distribution assembly described should not be considered as limiting the scope of the present disclosure. The output face of the gas distribution assembly 30 faces the first surface 61 of the substrate 60.

用於本揭示案之實施例的基板可為任何適宜基板。在一些實施例中,基板係剛性、離散、大體平面基板。如此說明書及隨附申請專利範圍中所使用,當提及基板時,術語「離散(discrete)」意指基板具有固定尺寸。一或更多個實施例中的基板為半導體基板,諸如200mm或300mm直徑矽基板。在一些實施例中,基板為矽、矽鍺、砷化鎵、氮化鎵、鍺、磷化鎵、磷化銦、藍寶石或碳化矽中的一或更多者。 The substrate used in the embodiments of the present disclosure may be any suitable substrate. In some embodiments, the substrate is a rigid, discrete, generally planar substrate. As used in this specification and the accompanying claims, the term "discrete" when referring to a substrate means that the substrate has a fixed size. The substrate in one or more embodiments is a semiconductor substrate, such as a 200 mm or 300 mm diameter germanium substrate. In some embodiments, the substrate is one or more of tantalum, niobium, gallium arsenide, gallium nitride, tantalum, gallium phosphide, indium phosphide, sapphire, or tantalum carbide.

氣體分配組件30包含:複數個氣體埠,用於將一或更多種氣流傳遞至基板60;及複數個真空埠,安置於各氣體埠之間,用於將氣流傳遞出處理腔室20。在第1圖之實施例中,氣體分配組件30包含第一前驅物注射器120、第二前驅物注射器130及淨化氣體注射器140。可藉由系統電腦(諸如主機)(未圖示)或藉由腔室專用控制器(諸如可程式化邏輯控制器)控制注射器120、130、140。前驅物注射器120將化合物A之反應性前驅物之連續流(或脈衝流)經由複數個氣體埠125注射至處理腔室20中。前驅物注射器130將化合物B之反應性前驅物之連續流(或脈衝流)經由複數個氣體埠135注射至處理腔室20中。淨化氣體注射器140將非反應性氣體或淨化氣體之連續流(或脈衝流)經由複數個氣體 埠145注射至處理腔室20中。淨化氣體自處理腔室20移除反應性材料及反應性副產物。淨化氣體通常為惰性氣體,諸如氮氣、氬氣及氦氣。在氣體埠125與氣體埠135之間安置氣體埠145,以便將化合物A之前驅物與化合物B之前驅物分離,從而避免前驅物之間的交叉污染。 The gas distribution assembly 30 includes a plurality of gas gases for delivering one or more gas streams to the substrate 60, and a plurality of vacuum ports disposed between the gas gases for conveying gas flow out of the processing chamber 20. In the embodiment of FIG. 1, gas distribution assembly 30 includes a first precursor injector 120, a second precursor injector 130, and a purge gas injector 140. The injectors 120, 130, 140 can be controlled by a system computer (such as a host) (not shown) or by a chamber-specific controller such as a programmable logic controller. The precursor injector 120 injects a continuous stream (or pulse stream) of the reactive precursor of Compound A into the processing chamber 20 via a plurality of gas crucibles 125. The precursor injector 130 injects a continuous stream (or pulse stream) of the reactive precursor of Compound B into the processing chamber 20 via a plurality of gas helium 135. The purge gas injector 140 passes a continuous stream (or pulse stream) of non-reactive gas or purge gas through a plurality of gases The crucible 145 is injected into the processing chamber 20. The purge gas removes reactive materials and reactive byproducts from the processing chamber 20. The purge gas is typically an inert gas such as nitrogen, argon and helium. A gas crucible 145 is disposed between the gas crucible 125 and the gas crucible 135 to separate the precursor of the compound A from the precursor of the compound B, thereby avoiding cross-contamination between the precursors.

在另一態樣中,在將前驅物注射至處理腔室20中之前,可將遠端電漿源(未圖示)連接至前驅物注射器120及前驅物注射器130。可藉由在遠端電漿源內將電場施加於化合物產生反應性物質之電漿。可使用能夠活化預期化合物的任何電源。舉例而言,可使用採用基於直流、射頻(radio frequency;RF)及微波(microwave;MW)的放電技術之電源。若使用RF電源,則其可為電容耦合或電感耦合的。亦可藉由基於熱的技術、氣體分解技術、高能量光源(例如,紫外線能量)或曝露於x射線源來產生活化。示例性遠端電漿源可購自諸如MKS Instruments,Inc.及Advanced Energy Industries,Inc.之供應商。 In another aspect, a distal plasma source (not shown) can be coupled to the precursor injector 120 and the precursor injector 130 prior to injecting the precursor into the processing chamber 20. A plasma of reactive species can be produced by applying an electric field to the compound in a remote plasma source. Any power source capable of activating the intended compound can be used. For example, a power supply using a DC, radio frequency (RF), and microwave (MW) based discharge technology can be used. If an RF power source is used, it can be capacitively coupled or inductively coupled. Activation can also be produced by heat-based techniques, gas decomposition techniques, high energy sources (eg, ultraviolet energy), or exposure to x-ray sources. Exemplary distal plasma sources are commercially available from suppliers such as MKS Instruments, Inc. and Advanced Energy Industries, Inc.

處理腔室100進一步包括連接至處理腔室20的泵送系統150。泵送系統150大體上經配置以經由一或更多個真空埠155從處理腔室20中抽空氣流。在各氣體埠之間安置真空埠155,以便在氣流與基板表面反應之後從處理腔室20中抽空氣流且進一步限制前驅物之間的交叉污染。 Processing chamber 100 further includes a pumping system 150 coupled to processing chamber 20. The pumping system 150 is generally configured to draw air flow from the processing chamber 20 via one or more vacuum ports 155. A vacuum crucible 155 is placed between each gas crucible to draw air flow from the processing chamber 20 after the gas stream reacts with the substrate surface and further restrict cross-contamination between the precursors.

處理腔室100包括複數個分隔件160,該等分隔件安置於各埠之間的處理腔室20上。每個分隔件之下部分延伸接近於基板60之第一表面61,例如與第一表面61間隔約0.5 mm或更大。以此方式,分隔件160之下部分與基板表面分離一距離,該距離足以允許氣流在與基板表面反應之後,圍繞下部分朝向真空埠155流動。箭頭198指示氣流之方向。由於操作分隔件160作為對氣流之實體阻障,該等分隔件亦限制前驅物之間的交叉污染。圖示排列僅為說明性且不應視為限制本揭示案之範疇。熟習此項技術者應將理解,圖示氣體分配系統僅為一個可能分配系統且可採用其他類型噴灑頭及氣體分配組件。 The processing chamber 100 includes a plurality of dividers 160 disposed on the processing chamber 20 between the turns. The lower portion of each of the spacers extends proximate to the first surface 61 of the substrate 60, for example about 0.5 from the first surface 61. Mm or larger. In this manner, the lower portion of the spacer 160 is separated from the substrate surface by a distance sufficient to allow the gas stream to flow around the lower portion toward the vacuum crucible 155 after reacting with the substrate surface. Arrow 198 indicates the direction of the airflow. Since the operating partition 160 acts as a physical barrier to airflow, the dividers also limit cross-contamination between the precursors. The illustrations are merely illustrative and are not to be considered as limiting the scope of the disclosure. Those skilled in the art will appreciate that the illustrated gas distribution system is only one possible dispensing system and that other types of sprinkler heads and gas distribution components can be employed.

此類別之原子層沉積系統(亦即,其中使多種氣體同時單獨朝向基板流動)稱為空間ALD。在操作中,將基板60(例如,藉由機器人)輸送至處理腔室20中,且該基板可在進入處理腔室之前或之後置放在梭65上。梭65沿軌道70或一些其他適宜移動機構移動,穿過處理腔室20,在氣體分配組件30下方(或上方)傳遞。在第1圖所示之實施例中,以線性路徑移動梭65穿過腔室。如下文將進一步解釋,第3圖圖示以圓形路徑移動晶圓穿過旋轉料架處理系統之實施例。 An atomic layer deposition system of this type (i.e., in which multiple gases are simultaneously flowing toward the substrate separately) is referred to as space ALD. In operation, substrate 60 (e.g., by a robot) is delivered into processing chamber 20, and the substrate can be placed on shuttle 65 either before or after entering the processing chamber. The shuttle 65 moves along the track 70 or some other suitable moving mechanism through the processing chamber 20 and is delivered below (or above) the gas distribution assembly 30. In the embodiment illustrated in Figure 1, the shuttle 65 is moved through the chamber in a linear path. As will be explained further below, FIG. 3 illustrates an embodiment in which a wafer is moved through a rotating rack processing system in a circular path.

返回參看第1圖,當基板60移動穿過處理腔室20時,將基板60之第一表面61重複曝露於來自氣體埠125的反應性氣體A及來自氣體埠135的反應性氣體B以及來自兩者之間氣體埠145的淨化氣體中。淨化氣體之注射經設計以在將基板表面110曝露於下一前驅物前自上一前驅物移除未反應的材料。在對各種氣流(例如,反應性氣體或淨化氣體)之每次曝露後,藉由泵送系統150經由真空埠155抽空氣流。 由於可在每個氣體埠之兩側上安置真空埠,在兩側上經由真空埠155抽空氣流。因此,氣流自各別氣體埠垂直向下朝向基板60之第一表面61流動,跨越基板表面110及圍繞分隔件160之下部分流動,及最後向上朝向真空埠155流動。以此方式,可跨越基板表面110均勻分配每種氣體。箭頭198指示氣體流動之方向。在曝露於各種氣流中的同時亦可旋轉基板60。基板之旋轉可用於防止所形成層中的條帶形成。基板之旋轉可為連續或呈分離步驟,且可在氣體分配組件30下方傳遞基板的同時或當基板處於氣體分配組件30之前及/或之後的區域中時發生此旋轉。 Referring back to FIG. 1, when the substrate 60 moves through the processing chamber 20, the first surface 61 of the substrate 60 is repeatedly exposed to the reactive gas A from the gas crucible 125 and the reactive gas B from the gas crucible 135 and from The gas 埠145 is in the purge gas between the two. The injection of purge gas is designed to remove unreacted material from the previous precursor prior to exposing the substrate surface 110 to the next precursor. After each exposure to various gas streams (eg, reactive gases or purge gases), the air stream is pumped through pumping system 150 via vacuum crucible 155. Since the vacuum crucible can be placed on both sides of each gas crucible, the air flow is pumped through the vacuum crucible 155 on both sides. Thus, the gas stream flows vertically downward from the respective gas crucible toward the first surface 61 of the substrate 60, across the substrate surface 110 and around the lower portion of the separator 160, and finally upwardly toward the vacuum crucible 155. In this way, each gas can be evenly distributed across the substrate surface 110. Arrow 198 indicates the direction of gas flow. The substrate 60 can also be rotated while being exposed to various gas streams. Rotation of the substrate can be used to prevent strip formation in the formed layer. The rotation of the substrate can be continuous or in a separate step, and can occur while the substrate is being transferred under the gas distribution assembly 30 or when the substrate is in the region before and/or after the gas distribution assembly 30.

在氣體分配組件30後,一般提供足夠空間以確保完全曝露於最後氣體埠中。一旦已在氣體分配組件30下方完全傳遞基板60,第一表面61已被完全曝露於處理腔室20中的每一氣體埠中。隨後可在相反方向傳送回基板或向前傳送基板。若基板60在相反方向上移動,則基板表面可以與第一曝露顛倒的次序再次曝露於反應性氣體A、淨化氣體及反應性氣體B中。 After the gas distribution assembly 30, generally sufficient space is provided to ensure complete exposure to the final gas helium. Once the substrate 60 has been completely transferred under the gas distribution assembly 30, the first surface 61 has been completely exposed to each gas enthalpy in the processing chamber 20. The substrate can then be transferred back in the opposite direction or forwarded. If the substrate 60 is moved in the opposite direction, the substrate surface may be exposed again to the reactive gas A, the purge gas, and the reactive gas B in the order in which the first exposure is reversed.

可藉由(例如)從氣體埠中出來的每種氣體之流動速率及基板60之移動速率決定基板表面110曝露於每種氣體中的程度。在一個實施例中,控制每種氣體之流動速率以免自基板表面61移除所吸附前驅物。各分隔件之間的寬度、安置於處理腔室20上的氣體埠數量及跨越氣體分配組件傳遞基板之次數亦可決定基板表面61曝露於各種氣體中的程度。因此,可藉由改變上述提及因素來最佳化沉積薄膜之數量及品 質。 The extent to which substrate surface 110 is exposed to each gas can be determined, for example, by the rate of flow of each gas from the gas enthalpy and the rate of movement of substrate 60. In one embodiment, the flow rate of each gas is controlled to avoid removal of the adsorbed precursor from the substrate surface 61. The width between the dividers, the number of gas imperfections disposed on the processing chamber 20, and the number of passes of the substrate across the gas distribution assembly may also determine the extent to which the substrate surface 61 is exposed to various gases. Therefore, the quantity and product of the deposited film can be optimized by changing the above mentioned factors. quality.

儘管已針對氣體分配組件30導引氣體向下朝向安置於氣體分配組件下方的基板流動對製程作出描述,但應將理解此定向可不同。在一些實施例中,氣體分配組件30導引氣體向上朝向基板表面流動。如此說明書及隨附申請專利範圍中所使用,術語「跨越……傳遞」意指已將基板自氣體分配組件之一側移動至另一側,使得基板之整個表面曝露於來自氣體分配平板的每個氣流中。在無額外描述的情況下,術語「跨越……傳遞」並不隱含氣體分配組件、氣體流動或基板位置之任何特定定向。 While the process of directing gas directed downwardly toward the substrate disposed below the gas distribution assembly has been described for gas distribution assembly 30, it will be appreciated that this orientation may vary. In some embodiments, the gas distribution assembly 30 directs gas flow upwardly toward the surface of the substrate. As used in this specification and the accompanying claims, the term "transferring" means that the substrate has been moved from one side of the gas distribution assembly to the other such that the entire surface of the substrate is exposed to each of the gas distribution plates. In the airflow. The term "transverse" does not imply any particular orientation of the gas distribution component, gas flow, or substrate location without additional description.

在一些實施例中,梭65係用於載運基板60的晶座66。大體而言,晶座66係幫助形成跨越基板之均勻溫度的載體。晶座66在兩個方向(相對於第1圖之排列從左至右及從右至左)上或在環形方向(相對於第3圖)上可移動。晶座66具有用於載運基板60的頂表面67。晶座66可為經加熱的晶座,使得可加熱基板60以便處理。作為實例,可藉由安置於晶座66下方的輻射加熱燈90、加熱板、電阻線圈或其他加熱裝置加熱晶座66。 In some embodiments, shuttle 65 is used to carry crystal holder 66 of substrate 60. In general, the crystal holder 66 is a carrier that assists in forming a uniform temperature across the substrate. The crystal holder 66 is movable in both directions (from left to right and from right to left with respect to the arrangement of Fig. 1) or in the annular direction (relative to Fig. 3). The crystal holder 66 has a top surface 67 for carrying the substrate 60. The crystal holder 66 can be a heated crystal holder such that the substrate 60 can be heated for processing. As an example, the crystal holder 66 can be heated by a radiant heat lamp 90, a heater plate, a resistive coil, or other heating device disposed below the crystal seat 66.

在又一實施例中,晶座66之頂表面67包括凹部68以容納基板60,如第2圖所示。晶座66大體上比基板之厚度更厚,使得基板下方存在晶座材料。在一些實施例中,凹部68經定尺寸,使得當在凹部68內部安置基板60時基板60之第一表面61與晶座66之頂表面67齊平或實質共面。換言之,一些實施例之凹部68經定尺寸,使得當在內部安置基板 60時基板60之第一表面61並未凸出晶座66之頂表面67以上。如此說明書及隨附申請專利範圍中所使用,術語「實質共面」意指晶圓之頂表面與晶座組件之頂表面在±0.2mm內共面。在一些實施例中,頂表面在±0.15mm、±0.10mm或±0.05mm內共面。 In yet another embodiment, the top surface 67 of the receptacle 66 includes a recess 68 to accommodate the substrate 60, as shown in FIG. The crystal holder 66 is generally thicker than the thickness of the substrate such that a wafer material is present beneath the substrate. In some embodiments, the recess 68 is sized such that the first surface 61 of the substrate 60 is flush or substantially coplanar with the top surface 67 of the mount 66 when the substrate 60 is disposed within the recess 68. In other words, the recesses 68 of some embodiments are sized such that when the substrate is placed internally At 60 o'clock, the first surface 61 of the substrate 60 does not protrude above the top surface 67 of the crystal holder 66. As used in this specification and the accompanying claims, the term "substantially coplanar" means that the top surface of the wafer is coplanar with the top surface of the wafer assembly within ± 0.2 mm. In some embodiments, the top surface is coplanar within ±0.15 mm, ±0.10 mm, or ±0.05 mm.

第1圖圖示處理腔室之剖視圖,在該處理腔室中圖示個別氣體埠。此實施例可為:線性處理系統,其中個別氣體埠之寬度與氣體分配平板之整個寬度實質相同;或者餅形區段,其中個別氣體埠改變寬度以符合餅形。第3圖圖示餅形氣體分配組件30的一部分。將跨越此氣體分配組件30以弧形路徑32傳遞基板。個別氣體埠125、135、145、155之各者具有靠近氣體分配組件30之內部週邊邊緣33的較窄寬度及靠近氣體分配組件30之外部週邊邊緣34的較大寬度。個別埠之形狀或深寬比可與氣體分配組件30區段之形狀或深寬比成比例或不同。在一些實施例中,個別埠經定形狀,使得遵循路徑32跨越氣體分配組件30傳遞的晶圓之每個點將在每個氣體埠下具有大約相同的滯留時間。基板之路徑可垂直於氣體埠。在一些實施例中,氣體分配組件之各者包含複數個伸長氣體埠,該等氣體埠在實質垂直於基板橫貫之路徑的方向上延伸。如此說明書及隨附申請專利範圍中所使用,術語「實質垂直」意指移動之大體方向大致垂直於氣體埠之軸。對於餅形氣體埠,氣體埠之軸可視為一線,將該線界定為沿埠之長度延伸的埠之寬度中點。如下文將進一步描述,個別餅形區段之各者可經配置輸送單一反應性氣體或空間分 離的多種反應性氣體或以組合輸送(例如,如在典型CVD製程中)。 Figure 1 illustrates a cross-sectional view of a processing chamber in which individual gas enthalpies are illustrated. This embodiment can be a linear processing system in which the width of individual gas turns is substantially the same as the entire width of the gas distribution plate; or a pie-shaped segment in which individual gas turns vary in width to conform to the pie shape. FIG. 3 illustrates a portion of the pie-shaped gas distribution assembly 30. The substrate will be passed across the gas distribution assembly 30 in an arcuate path 32. Each of the individual gas crucibles 125, 135, 145, 155 has a narrower width adjacent the inner peripheral edge 33 of the gas distribution assembly 30 and a larger width adjacent the outer peripheral edge 34 of the gas distribution assembly 30. The shape or aspect ratio of the individual turns may be proportional or different than the shape or aspect ratio of the gas distribution assembly 30 section. In some embodiments, the individual turns are shaped such that each point of the wafer that is routed across gas distribution assembly 30 following path 32 will have approximately the same residence time under each gas helium. The path of the substrate can be perpendicular to the gas enthalpy. In some embodiments, each of the gas distribution assemblies includes a plurality of elongated gas gases that extend in a direction substantially perpendicular to a path traversing the substrate. As used in this specification and the accompanying claims, the term "substantially perpendicular" means that the general direction of movement is substantially perpendicular to the axis of the gas enthalpy. For a pie-shaped gas crucible, the axis of the gas crucible can be viewed as a line defining the line as the midpoint of the width of the crucible extending along the length of the crucible. As will be further described below, each of the individual pie segments can be configured to deliver a single reactive gas or space fraction The multiple reactive gases are either delivered in combination (eg, as in a typical CVD process).

具有多個氣體注射器的處理腔室可用於同時處理多個晶圓,使得晶圓經歷同一製程流程。舉例而言,如第4圖所示,處理腔室100具有四個氣體分配組件30及四個基板60。在處理開始時,可在氣體分配組件30之間安置基板60。將旋轉料架之晶座66旋轉45°將造成每個基板60移動至氣體分配組件30(亦稱為注射器組件)以便薄膜沉積。此為第4圖所示之位置。另一45°旋轉將移動基板60遠離氣體分配組件30。在使用空間ALD注射器情況下,在相對於注射器組件移動晶圓期間在晶圓上沉積薄膜。在一些實施例中,旋轉晶座66,使得基板60並未停止在氣體分配組件30下方。基板60及氣體分配組件30之數量可為相同或不同。在一些實施例中,正經處理之晶圓與氣體分配組件數量相同。在一或更多個實施例中,正經處理之晶圓數量係氣體分配組件數量的整數倍。舉例而言,若存在四個氣體分配組件,則存在4x個正經處理之晶圓,其中x係大於或等於1的整數值。 A processing chamber having multiple gas injectors can be used to process multiple wafers simultaneously, causing the wafers to undergo the same process flow. For example, as shown in FIG. 4, the processing chamber 100 has four gas distribution assemblies 30 and four substrates 60. At the beginning of the process, the substrate 60 can be placed between the gas distribution assemblies 30. Rotating the crystal holder 66 of the rotating rack 45° will cause each substrate 60 to move to the gas distribution assembly 30 (also referred to as a syringe assembly) for film deposition. This is the position shown in Figure 4. Another 45° rotation moves the substrate 60 away from the gas distribution assembly 30. In the case of a spatial ALD injector, a thin film is deposited on the wafer during movement of the wafer relative to the syringe assembly. In some embodiments, the crystal seat 66 is rotated such that the substrate 60 does not stop below the gas distribution assembly 30. The number of substrates 60 and gas distribution assemblies 30 can be the same or different. In some embodiments, the number of wafers being processed is the same as the number of gas distribution components. In one or more embodiments, the number of wafers being processed is an integer multiple of the number of gas distribution components. For example, if there are four gas distribution components, there are 4x wafers being processed, where x is an integer value greater than or equal to one.

第4圖所示之處理腔室100僅表示一種可能配置且不應視為限制本揭示案之範疇。此處,處理腔室100包括複數個氣體分配組件30。在圖示實施例中,圍繞處理腔室100均勻間隔四個氣體分配組件30。圖示處理腔室100為八角形,然而,熟習此項技術者應將理解,此為一個可能形狀且不應視為限制本揭示案之範疇。圖示氣體分配組件30為矩形,但熟習此項技術者應將理解,氣體分配組件可為餅形區 段,如第3圖所示。另外,每個區段可經配置以空間類型排列輸送氣體,其中多個不同反應性氣體自相同區段流動,或經配置以輸送單一反應性氣體或反應性氣體之混合物。 The processing chamber 100 illustrated in FIG. 4 represents only one possible configuration and should not be considered as limiting the scope of the present disclosure. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 30. In the illustrated embodiment, four gas distribution assemblies 30 are evenly spaced around the processing chamber 100. The processing chamber 100 is illustrated as being octagonal, however, it will be understood by those skilled in the art that this is a possible shape and should not be considered as limiting the scope of the present disclosure. The illustrated gas distribution assembly 30 is rectangular, but it will be understood by those skilled in the art that the gas distribution assembly can be a pie-shaped region. Paragraph, as shown in Figure 3. Additionally, each section can be configured to align the delivery gas in a spatial type, wherein a plurality of different reactive gases flow from the same section, or are configured to deliver a single reactive gas or a mixture of reactive gases.

處理腔室100包括基板支撐設備,該基板支撐設備圖示為圓形晶座66或晶座組件。基板支撐設備或晶座66能夠在氣體分配組件30之各者下方移動複數個基板60。可將裝載鎖82連接至處理腔室100之一側以允許基板60自腔室100裝載/卸載。 Processing chamber 100 includes a substrate support device, which is illustrated as a circular crystal seat 66 or a crystal mount assembly. The substrate support apparatus or crystal holder 66 is capable of moving a plurality of substrates 60 under each of the gas distribution assemblies 30. A load lock 82 can be coupled to one side of the processing chamber 100 to allow the substrate 60 to be loaded/unloaded from the chamber 100.

處理腔室100可包括複數個或一組第一處理站80,該等第一處理站安置於複數個氣體分配組件30之任一者或各者之間。在一些實施例中,第一處理站80之各者向基板60提供相同處理。 Processing chamber 100 can include a plurality or a set of first processing stations 80 disposed between any or each of a plurality of gas distribution assemblies 30. In some embodiments, each of the first processing stations 80 provides the same processing to the substrate 60.

處理站數量及不同類型處理站數量可取決於製程而變化。舉例而言,可存在一個、兩個、三個、四個、五個、六個、七個或更多個處理站安置於氣體分配組件30之間。每個處理站可獨立提供與所有其他組處理站不同的處理,或可存在相同類型及不同類型處理的混合。在一些實施例中,個別處理站中的一或更多者提供與其他個別處理站中的一或更多者不同的處理。第4圖所示之實施例圖示四個氣體分配組件,該等組件之間的間隔可包括一些類型處理站。然而,由此圖式可容易設想,處理腔室可易於與其間具有氣簾的八個氣體分配組件合併。 The number of processing stations and the number of different types of processing stations may vary depending on the process. For example, there may be one, two, three, four, five, six, seven or more processing stations disposed between the gas distribution assemblies 30. Each processing station can independently provide different processing than all other group processing stations, or there can be a mix of the same type and different types of processing. In some embodiments, one or more of the individual processing stations provide different processing than one or more of the other individual processing stations. The embodiment illustrated in Figure 4 illustrates four gas distribution assemblies, the spacing between which may include some type of processing station. However, it is readily contemplated by this figure that the processing chamber can be easily combined with the eight gas distribution assemblies having an air curtain therebetween.

在第5圖所示實施例中,將一組第二處理站85安置於第一處理站80與氣體分配組件30之間,使得經由處理腔 室100所旋轉的基板60將取決於基板60開始位置遇到氣體分配組件30、第一處理站80及第二處理站85,然後遇到一第二任何該等部件。舉例而言,如第5圖所示,若基板在第一處理站80處開始,則將依次見到第一處理站80、氣體分配組件30及第二處理站85,然後遇到第二個第一處理站80。 In the embodiment illustrated in Figure 5, a set of second processing stations 85 are disposed between the first processing station 80 and the gas distribution assembly 30 such that via the processing chamber The substrate 60 rotated by the chamber 100 will encounter the gas distribution assembly 30, the first processing station 80, and the second processing station 85 depending on the starting position of the substrate 60, and then encounter a second any of these components. For example, as shown in FIG. 5, if the substrate starts at the first processing station 80, the first processing station 80, the gas distribution assembly 30, and the second processing station 85 will be sequentially seen, and then the second one will be encountered. The first processing station 80.

處理站可向基板、基板上的薄膜或晶座組件提供任何適宜類型之處理。舉例而言,紫外線燈、閃光燈、電漿源及加熱器。隨後在具有氣體分配組件30的位置之間移動晶圓至具有(例如)噴灑頭的位置,該噴灑頭將電漿輸送至晶圓。將電漿站稱為處理站80。在一或更多個實例中,可在每個沉積層後用電漿處理形成氮化矽薄膜。由於ALD反應理論上為自限性,只要表面為飽和,對沉積氣體的額外曝露將不會對薄膜造成損害。 The processing station can provide any suitable type of processing to the substrate, film or wafer mount on the substrate. For example, ultraviolet lamps, flash lamps, plasma sources, and heaters. The wafer is then moved between locations having the gas distribution assembly 30 to a location having, for example, a showerhead that delivers the plasma to the wafer. The plasma station is referred to as a processing station 80. In one or more examples, a tantalum nitride film can be formed by plasma treatment after each deposited layer. Since the ALD reaction is theoretically self-limiting, as long as the surface is saturated, additional exposure to the deposition gas will not cause damage to the film.

旋轉料架之旋轉可為連續或不連續。在連續處理中,晶圓不斷旋轉,使得依次曝露於注射器之各者中。在不連續處理中,可將晶圓移動至注射器區域且停止,及隨後移動至注射器之間的區域84且停止。舉例而言,可旋轉旋轉料架使得晶圓自跨越注射器(或相鄰該注射器停止)的注射器間區域移動及到達晶圓可再次暫停的下一注射器間區域。注射器之間的暫停可提供時間用於每次層沉積之間的額外處理步驟(例如,曝露於電漿中)。 The rotation of the rotating rack can be continuous or discontinuous. In a continuous process, the wafer is continuously rotated so that it is sequentially exposed to each of the injectors. In discontinuous processing, the wafer can be moved to the syringe region and stopped, and then moved to the region 84 between the syringes and stopped. For example, the rotatable rotatable rack moves the wafer from the inter-injector region across the syringe (or adjacent to the syringe) and to the next inter-syringe region where the wafer can be paused again. The pause between the injectors provides time for additional processing steps between each layer deposition (eg, exposure to plasma).

在一些實施例中,處理腔室包含複數個氣簾40。每個氣簾40產生阻障以防止或最小化來自氣體分配組件30的處理氣體自氣體分配組件區域遷移及來自處理站80的氣體自 處理站區域遷移之移動。氣簾40可包括氣流與真空流之任何適宜組合,該氣簾可將個別處理區段與相鄰區段隔離。在一些實施例中,氣簾40為淨化(或惰性)氣流。在一或更多個實施例中,氣簾40為自處理腔室移除氣體的真空流。在一些實施例中,氣簾40為淨化氣體與真空流之組合,使得依次存在淨化氣流、真空流及淨化氣流。在一或更多個實施例中,氣簾40為真空流與淨化氣流之組合,使得依次存在真空流、淨化氣流及真空流。在氣體分配組件30與處理站80之各者之間安置第4圖所示之氣簾40,但應將理解,可在沿處理路徑的任一點或多個點處安置該等簾幕。 In some embodiments, the processing chamber includes a plurality of air curtains 40. Each air curtain 40 creates a barrier to prevent or minimize migration of process gases from the gas distribution assembly 30 from the gas distribution assembly region and gas from the processing station 80. Handling the movement of station area migrations. The air curtain 40 can include any suitable combination of airflow and vacuum flow that can isolate individual treatment sections from adjacent sections. In some embodiments, the air curtain 40 is a purified (or inert) gas stream. In one or more embodiments, the air curtain 40 is a vacuum flow that removes gas from the processing chamber. In some embodiments, the air curtain 40 is a combination of a purge gas and a vacuum stream such that there is a purge gas stream, a vacuum stream, and a purge gas stream in sequence. In one or more embodiments, the curtain 40 is a combination of a vacuum stream and a purge stream such that there is a vacuum stream, a purge stream, and a vacuum stream in sequence. The air curtain 40 shown in Fig. 4 is disposed between the gas distribution assembly 30 and each of the processing stations 80, but it should be understood that the curtains can be placed at any point or points along the processing path.

第6圖圖示處理腔室200之實施例,該處理腔室包括氣體分配組件220(亦稱為注射器)及晶座組件230。在此實施例中,晶座組件230為剛性主體。一些實施例之剛性主體具有不大於0.05mm之下降容限。舉例而言,在晶座組件230之外徑區域內的三個位置處置放致動器232。如此說明書及隨附申請專利範圍中所使用,術語「外徑」及「內徑」分別代表靠近外部週邊邊緣及內部邊緣的區域。外徑並非至晶座組件230之極端外部邊緣(例如,靠近軸240)處的特定位置,而是靠近晶座組件230之外部邊緣231的區域。此在第6圖中自致動器232之置放可見。致動器232之數量可自一個至任何數量變化,該數量將適用於可用實體空間內。一些實施例具有安置於外徑區域231中的兩組、三組、四組或五組致動器232。如此說明書及隨附申請專利範圍中所使用,術語「致動器」代表任何單元件機構或多元件機構,該機構能夠 朝向或遠離氣體分配組件220移動晶座組件230或晶座組件230的一部分。舉例而言,致動器232可用於確保晶座組件230實質平行於注射器組件220。如此說明書及隨附申請專利範圍中所使用,就此而言所使用之術語「實質平行」意指元件之平行相對於元件之間的距離變化不超過5%。 FIG. 6 illustrates an embodiment of a processing chamber 200 that includes a gas distribution assembly 220 (also referred to as a syringe) and a crystal holder assembly 230. In this embodiment, the base assembly 230 is a rigid body. The rigid body of some embodiments has a reduced tolerance of no more than 0.05 mm. For example, the release actuator 232 is disposed at three locations within the outer diameter region of the wafer mount assembly 230. As used in this specification and the accompanying claims, the terms "outer diameter" and "inner diameter" mean regions near the outer peripheral edge and inner edge, respectively. The outer diameter is not a specific location at the extreme outer edge of the wafer mount assembly 230 (eg, near the axis 240), but rather a region near the outer edge 231 of the wafer mount assembly 230. This is visible from the placement of the actuator 232 in Figure 6. The number of actuators 232 can vary from one to any number, which will apply to the available physical space. Some embodiments have two, three, four, or five sets of actuators 232 disposed in the outer diameter region 231. As used in this specification and the accompanying claims, the term "actuator" means any single element or multi-element mechanism that is capable of The wafer mount assembly 230 or a portion of the base mount assembly 230 is moved toward or away from the gas distribution assembly 220. For example, the actuator 232 can be used to ensure that the base assembly 230 is substantially parallel to the syringe assembly 220. As used in this specification and the accompanying claims, the term "substantially parallel" as used herein means that the parallelism of the elements does not vary by more than 5% relative to the distance between the elements.

一旦自致動器232將壓力施加於晶座組件230,可使晶座組件230齊平。當致動器232施加壓力時,可設置間隙210距離處於約0.1mm至約2.0mm之範圍內,或處於約0.2mm至約1.8mm之範圍內,或處於約0.3mm至約1.7mm之範圍內,或處於約0.4mm至約1.6mm之範圍內,或處於約0.5mm至約1.5mm之範圍內,或處於約0.6mm至約1.4mm之範圍內,或處於約0.7mm至約1.3mm之範圍內,或處於約0.8mm至約1.2mm之範圍內,或處於約0.9mm至約1.1mm之範圍內,或約1mm。 Once the pressure is applied to the wafer mount assembly 230 from the actuator 232, the mount assembly 230 can be flushed. When the actuator 232 applies pressure, the gap 210 can be set to be in the range of from about 0.1 mm to about 2.0 mm, or in the range of from about 0.2 mm to about 1.8 mm, or in the range of from about 0.3 mm to about 1.7 mm. Within, or in the range of from about 0.4 mm to about 1.6 mm, or in the range of from about 0.5 mm to about 1.5 mm, or in the range of from about 0.6 mm to about 1.4 mm, or in the range of from about 0.7 mm to about 1.3 mm Within the range of from about 0.8 mm to about 1.2 mm, or in the range of from about 0.9 mm to about 1.1 mm, or about 1 mm.

在氣體分配組件220下方安置晶座組件230。晶座組件230包括頂表面241及視情況頂表面241中的至少一個凹部243。凹部243可為取決於正經處理之晶圓260之形狀及尺寸的任何適宜形狀及尺寸。在圖示實施例中,凹部241具有圍繞凹部243之外部週邊邊緣的階梯區域。可將該等階梯定尺寸以支撐晶圓260之外部週邊邊緣。由階梯所支撐之晶圓260之外部週邊邊緣的量可取決於(例如)晶圓之厚度及晶圓後側上已出現的特徵之存在而變化。 A crystal holder assembly 230 is disposed below the gas distribution assembly 220. The wafer mount assembly 230 includes at least one recess 243 in the top surface 241 and optionally the top surface 241. The recess 243 can be any suitable shape and size depending on the shape and size of the wafer 260 being processed. In the illustrated embodiment, the recess 241 has a stepped region that surrounds the outer peripheral edge of the recess 243. The steps can be sized to support the outer peripheral edge of the wafer 260. The amount of outer peripheral edge of the wafer 260 supported by the steps can vary depending, for example, on the thickness of the wafer and the presence of features that have occurred on the back side of the wafer.

在一些實施例中,如第6圖所示,晶座組件230之頂表面241中的凹部243經定尺寸使得凹部243中所支撐之 晶圓260具有與晶座組件230之頂表面241實質共面的頂表面261。如此說明書及隨附申請專利範圍中所使用,術語「實質共面」意指晶圓之頂表面與晶座組件之頂表面在±0.2mm內共面。在一些實施例中,頂表面在±0.15mm、±0.10mm或±0.05mm內共面。 In some embodiments, as shown in FIG. 6, the recess 243 in the top surface 241 of the base assembly 230 is sized such that it is supported in the recess 243. Wafer 260 has a top surface 261 that is substantially coplanar with top surface 241 of wafer holder assembly 230. As used in this specification and the accompanying claims, the term "substantially coplanar" means that the top surface of the wafer is coplanar with the top surface of the wafer assembly within ± 0.2 mm. In some embodiments, the top surface is coplanar within ±0.15 mm, ±0.10 mm, or ±0.05 mm.

第6圖之晶座組件230包括支柱240,該支柱能夠抬升、降低及旋轉晶座組件230。晶座組件230可包括加熱器或氣體管線,或支柱240之中心內的電氣元件。支柱240可為增加或減小晶座組件230與氣體分配組件220之間間隙、將晶座組件230移動至粗糙位置中的主要手段。致動器232可隨後對晶座組件之位置實行微調以產生所需間隙。 The shade assembly 230 of FIG. 6 includes a post 240 that can lift, lower, and rotate the base assembly 230. The wafer mount assembly 230 can include a heater or gas line, or an electrical component within the center of the post 240. The struts 240 can be the primary means of increasing or decreasing the gap between the pedestal assembly 230 and the gas distribution assembly 220, moving the pedestal assembly 230 into a rough position. Actuator 232 can then fine tune the position of the wafer mount assembly to create the desired gap.

第6圖所示之處理腔室100為旋轉料架類型腔室,在該腔室中晶座組件230可固持複數個晶圓260。氣體分配組件220可包括複數個獨立注射器單元221,當在注射器單元221下方移動晶圓時,每個注射器單元221能夠在晶圓260上沉積薄膜或薄膜的一部分。第7圖圖示旋轉料架類型處理腔室200之透視圖。圖示安置於晶座組件230之大致相對側上及上方的兩個餅形注射器單元221。圖示注射器單元221之此數量僅出於說明性目的。應將理解,可包括更多或更少個注射器單元221。在一些實施例中,存在足夠數量之餅形注射器單元221以形成符合晶座組件230之形狀的形狀。在一些實施例中,可獨立移動、移除及/或替換個別餅形注射器單元221之各者,而不影響任何其他注射器單元221。舉例而言,可提升一個區段以允許機器人出入晶座組件230與氣體 分配組件220之間的區域以裝載/卸載晶圓260。 The processing chamber 100 illustrated in FIG. 6 is a rotating rack type chamber in which the wafer assembly 230 can hold a plurality of wafers 260. The gas distribution assembly 220 can include a plurality of individual injector units 221 that are capable of depositing a portion of a film or film on the wafer 260 as the wafer is moved under the injector unit 221. Figure 7 illustrates a perspective view of a rotating rack type processing chamber 200. Two pie-shaped injector units 221 disposed on and above substantially opposite sides of the base assembly 230 are illustrated. This number of injector units 221 is shown for illustrative purposes only. It will be appreciated that more or fewer injector units 221 may be included. In some embodiments, a sufficient number of pie-shaped injector units 221 are present to form a shape that conforms to the shape of the base assembly 230. In some embodiments, each of the individual pie injector units 221 can be independently moved, removed, and/or replaced without affecting any other syringe unit 221. For example, a section can be lifted to allow the robot to enter and exit the base assembly 230 and the gas An area between the components 220 is dispensed to load/unload the wafer 260.

第8圖圖示本揭示案之另一實施例,其中晶座組件230並非剛性主體。在一些實施例中,晶座組件230具有至多約0.1mm,或至多約0.05mm,或至多約0.025mm,或至多約0.01mm之下降容限。此處,在晶座組件230之外徑區域231及內徑區域239處置放致動器232。可在圍繞晶座組件230之內部週邊及外部週邊的任何適宜數量之位置處安置致動器232。在一些實施例中,在外徑區域231及內徑區域239兩者內的三個位置處安置致動器232。外徑區域231及內徑區域239兩者處的致動器232施加壓力至晶座組件230。 Figure 8 illustrates another embodiment of the present disclosure in which the wafer mount assembly 230 is not a rigid body. In some embodiments, the crystal holder assembly 230 has a reduced tolerance of up to about 0.1 mm, or up to about 0.05 mm, or up to about 0.025 mm, or up to about 0.01 mm. Here, the actuator 232 is disposed in the outer diameter region 231 and the inner diameter region 239 of the wafer holder assembly 230. The actuator 232 can be placed at any suitable number of locations around the inner perimeter and outer perimeter of the wafer mount assembly 230. In some embodiments, the actuator 232 is disposed at three locations within both the outer diameter region 231 and the inner diameter region 239. Actuator 232 at both outer diameter region 231 and inner diameter region 239 applies pressure to wafer mount assembly 230.

第9圖圖示處理腔室之實施例,該處理腔室包含具有分流器的圓形氣體分配組件及晶座組件。在處理腔室內安置圓形氣體分配組件220(該氣體分配組件220的一部分在第9圖可見),且該氣體分配組件在氣體分配組件220之正面225中包含複數個伸長氣體埠125、135、145。複數個伸長氣體埠125、135、145自相鄰內部週邊邊緣227的區域朝向相鄰氣體分配組件220之外部週邊邊緣228的區域延伸。第9圖所示之複數個氣體埠包括第一反應性氣體埠125、第二反應性氣體埠135、圍繞第一反應性氣體埠及第二反應性氣體埠之各者的淨化氣體埠145,及真空埠155。 Figure 9 illustrates an embodiment of a processing chamber that includes a circular gas distribution assembly having a flow splitter and a crystal holder assembly. A circular gas distribution assembly 220 is disposed within the processing chamber (a portion of the gas distribution assembly 220 is visible in FIG. 9), and the gas distribution assembly includes a plurality of elongated gas gases 125, 135 in the front side 225 of the gas distribution assembly 220, 145. A plurality of elongated gas imperfections 125, 135, 145 extend from a region of adjacent inner peripheral edge 227 toward a region of outer peripheral edge 228 of adjacent gas distribution assembly 220. The plurality of gas gases shown in FIG. 9 include a first reactive gas crucible 125, a second reactive gas crucible 135, and a purge gas crucible 145 surrounding each of the first reactive gas crucible and the second reactive gas crucible. And vacuum 埠155.

在處理腔室內安置晶座組件230,以圍繞旋轉軸以實質圓形路徑旋轉至少一個基板。如此說明書及隨附申請專利範圍中所使用,術語「實質圓形」意指若基板完成完整旋轉則該路徑欲為圓形。晶座組件具有藉由內部週邊邊緣229 及外部週邊邊緣231所界定之頂表面241(如第8圖所示)。在氣體分配組件220下方安置晶座組件230,使得晶座組件230之頂表面241面向氣體分配組件220之正面225。 A crystal holder assembly 230 is disposed within the processing chamber to rotate the at least one substrate in a substantially circular path about the axis of rotation. As used in this specification and the accompanying claims, the term "substantially circular" means that the path is intended to be circular if the substrate is completely rotated. The wafer holder assembly has an inner peripheral edge 229 And a top surface 241 defined by the outer peripheral edge 231 (as shown in FIG. 8). The wafer assembly 230 is disposed below the gas distribution assembly 220 such that the top surface 241 of the wafer assembly 230 faces the front side 225 of the gas distribution assembly 220.

本揭示案之一些實施例係針對處理基板之方法。將基板置放於處理腔室中,該腔室具有複數個區段,藉由氣簾將每個區段與相鄰區段分離。如此說明書及隨附申請專利範圍中所使用,可互換使用術語「區段」、「區域」及「扇區」描述批次處理腔室內的區域。在進入處理腔室後,基板(亦稱為晶圓)可處於任何個別區段中。每個區段可具有與相鄰區段相同或不同的處理條件。如此說明書及隨附申請專利範圍中所使用,術語「處理條件」意指個別區段內的條件之總和。舉例而言,處理條件包括但不限於氣體組成、壓力、流動速率、溫度及電漿。處理條件可經配置以例如沉積、蝕刻及處理(例如,緻密化、退火)。 Some embodiments of the present disclosure are directed to methods of processing substrates. The substrate is placed in a processing chamber having a plurality of sections separated by an air curtain from adjacent sections. The terms "section", "area" and "sector" are used interchangeably to describe the area within the batch processing chamber as used in the specification and accompanying claims. After entering the processing chamber, the substrate (also referred to as a wafer) can be in any individual segment. Each segment may have the same or different processing conditions as the adjacent segments. As used in this specification and the accompanying claims, the term "processing conditions" means the sum of the conditions within the individual segments. For example, processing conditions include, but are not limited to, gas composition, pressure, flow rate, temperature, and plasma. Processing conditions can be configured, for example, by deposition, etching, and processing (eg, densification, annealing).

在第一區段中,將基板或基板的一部分曝露於第一製程條件中以在基板之表面上沉積第一薄膜。基板表面可為裸基板表面或在表面上預先沉積的任何層。舉例而言,該表面可具有混合組成,其中一部分為金屬及另一部分為介電質。個別表面組成可變化且不應視為限制本揭示案之範疇。第一區段中的第一製程條件包含溫度變化或第一反應性氣體之一或更多者。如此說明書及隨附申請專利範圍中所使用,第一製程條件以及處理腔室之其他區段中的第一反應性氣體之使用意指組成、壓力、流動速率、直接電漿、遠端電漿及上述之組合。 In the first section, a portion of the substrate or substrate is exposed to the first process conditions to deposit a first film on the surface of the substrate. The substrate surface can be a bare substrate surface or any layer previously deposited on the surface. For example, the surface can have a mixed composition with one portion being metal and the other portion being dielectric. Individual surface compositions may vary and are not to be considered as limiting the scope of this disclosure. The first process condition in the first section comprises one or more of a temperature change or a first reactive gas. As used in the specification and accompanying claims, the use of the first process conditions and the first reactive gas in other sections of the processing chamber means composition, pressure, flow rate, direct plasma, remote plasma And combinations of the above.

所沉積或形成之任何薄膜可為完整薄膜(諸如金屬或介電薄膜),或可為如兩步反應之第一步中的部分薄膜。部分薄膜之實例將為使化合物化學吸附至基板表面,該基板表面稍後將經還原或氧化以產生最終薄膜。第一薄膜可為原子層沉積製程的一部分,在該原子層沉積製程中第一薄膜係部分或完整薄膜;或為化學氣相沉積製程的一部分。在CVD製程中,第一製程條件可包括反應性氣體之混合物,該混合物在氣相下反應以產生活化物質,隨後將該活化物質沉積至基板表面上。在一些製程中,區段中所形成之薄膜具有比進入區段中的薄膜改良的品質。舉例而言,可將第三區段中所形成之薄膜曝露於第四區段中的緻密化製程中。所形成之薄膜可來自於化學製程、物理製程,或該等製程之組合。 Any film deposited or formed may be a complete film such as a metal or dielectric film, or may be a partial film as in the first step of a two-step reaction. An example of a partial film will be to chemically adsorb the compound to the surface of the substrate which will later be reduced or oxidized to produce the final film. The first film can be part of an atomic layer deposition process in which the first film is partially or intact; or is part of a chemical vapor deposition process. In the CVD process, the first process conditions may include a mixture of reactive gases that react in the gas phase to produce an activating species that is subsequently deposited onto the surface of the substrate. In some processes, the film formed in the segment has an improved quality compared to the film in the entry segment. For example, the film formed in the third section can be exposed to a densification process in the fourth section. The resulting film can be from a chemical process, a physical process, or a combination of such processes.

在形成第一薄膜後,使基板橫向移動穿過氣簾至處理腔室之第二區段。在第二區段中,將第一薄膜曝露於第二製程條件中以形成第二薄膜。第二製程條件包含溫度變化或第二反應性氣體之一或更多者以形成第二薄膜。如在兩步反應之第二步中,第二薄膜可與第一薄膜組成不同;或如在混合薄膜中,第二薄膜可為具有完全不同組成的薄膜。 After forming the first film, the substrate is moved laterally through the air curtain to a second section of the processing chamber. In the second section, the first film is exposed to a second process condition to form a second film. The second process condition comprises one or more of a temperature change or a second reactive gas to form a second film. As in the second step of the two-step reaction, the second film may be different in composition from the first film; or as in the mixed film, the second film may be a film having a completely different composition.

在自第一區段移動至第二區段期間,將基板曝露於第一製程條件、第二製程條件及氣簾中,該氣簾分離該兩個製程條件。氣簾可例如為惰性氣體與真空之組合,以確保第一製程條件與第二製程條件之間的最小(若存在)氣相反應。在移動期間的一些時間處,將表面的一部分曝露於第一製程條件中,將表面的另一部分曝露於第二製程條件中,及將基 板之該其他兩個部分之間的中間部分曝露於氣簾中。 During the movement from the first section to the second section, the substrate is exposed to the first process condition, the second process condition, and the air curtain, the air curtain separating the two process conditions. The air curtain can be, for example, a combination of an inert gas and a vacuum to ensure a minimum (if present) gas phase reaction between the first process condition and the second process condition. At some point during the movement, a portion of the surface is exposed to the first process condition, another portion of the surface is exposed to the second process condition, and the base is The intermediate portion between the other two portions of the plate is exposed to the air curtain.

第一製程條件、第二製程條件及任何其他製程條件之各者選自由以下組成之群組:包含第一反應性氣體的單一反應性氣體,包含第一反應性氣體的反應性氣體混合物,包含第一反應性氣體的遠端電漿,包含第一反應性氣體的直接電漿,溫度變化及上述之組合。如此說明書及隨附申請專利範圍中所使用,術語「直接電漿」意指在處理腔室內所點燃之電漿,及術語「遠端電漿」意指在處理腔室外點燃及流入處理腔室中的電漿。 Each of the first process conditions, the second process conditions, and any other process conditions is selected from the group consisting of a single reactive gas comprising a first reactive gas, a reactive gas mixture comprising a first reactive gas, The distal plasma of the first reactive gas, comprising a direct plasma of the first reactive gas, a temperature change, and combinations thereof. As used in this specification and the accompanying claims, the term "direct plasma" means the plasma ignited in the processing chamber, and the term "distal plasma" means igniting and flowing into the processing chamber outside the processing chamber. In the plasma.

可依序重複對第一製程條件及第二製程條件的曝露以生長所需厚度之薄膜。舉例而言,批次處理腔室可含有交替模式的第一製程條件之兩個區段及第二製程條件之兩個區段,使得圍繞處理腔室之中心軸的基板旋轉引發表面依序且重複地曝露於第一製程條件及第二製程條件中,使得每次曝露引發薄膜厚度(用於沉積)生長。 The exposure to the first process conditions and the second process conditions may be repeated in order to grow a film of the desired thickness. For example, the batch processing chamber may contain two sections of the first process condition of the alternating mode and two sections of the second process condition such that substrate rotation around the central axis of the processing chamber induces surface sequential and Repeated exposure to the first process conditions and the second process conditions causes each exposure to initiate film thickness (for deposition) growth.

在一些實施例中,使基板自第二區段橫向移動穿過氣簾至處理腔室之第三區段。第三區段內具有第三製程條件,該等第三製程條件可與第一製程條件或第二製程條件相同或不同。第三製程條件包含處理腔室之第三區段中的第三反應性氣體或溫度變化之一或更多者。第三製程條件使得在基板表面上形成第三薄膜。第三薄膜可例如與第一薄膜或第二薄膜的組成不同或為對第一薄膜或第二薄膜之處理。在移送期間,在將表面之第二部分曝露於第三製程條件中及將基板之中間部分曝露於氣簾中的同時,將表面之第一部分曝露 於第二製程條件中。如此說明書及隨附申請專利範圍中所使用,就此所使用之術語「中間部分」意指曝露於一個製程條件中的第一部分與曝露於不同製程條件中的第二部分之間的基板的一部分。 In some embodiments, the substrate is moved laterally from the second section through the air curtain to a third section of the processing chamber. The third section has a third process condition, and the third process condition may be the same as or different from the first process condition or the second process condition. The third process condition includes one or more of a third reactive gas or temperature change in the third section of the processing chamber. The third process condition is such that a third film is formed on the surface of the substrate. The third film may, for example, be different from the composition of the first film or the second film or be a treatment of the first film or the second film. Exposing the first portion of the surface while exposing the second portion of the surface to the third process condition and exposing the intermediate portion of the substrate to the air curtain during transfer In the second process conditions. As used in this specification and the accompanying claims, the term "intermediate portion" as used herein means a portion of a substrate that is exposed between a first portion of a process condition and a second portion that is exposed to different process conditions.

在一示例性製程中,第一製程條件使部分薄膜沉積至表面上。在第二製程條件中完成部分薄膜。舉例而言,兩步原子層沉積製程之第一部分及第二部分。第三製程條件可例如為處理(例如,緻密化)或可藉由化學氣相沉積所沉積之另一薄膜。處理條件可用於改良第二區段中所形成之薄膜或改變第二區段中所形成之薄膜之組成。 In an exemplary process, the first process conditions deposit a portion of the film onto the surface. Part of the film is completed in the second process conditions. For example, the first part and the second part of the two-step atomic layer deposition process. The third process condition can be, for example, a process (eg, densification) or another film that can be deposited by chemical vapor deposition. The processing conditions can be used to modify the film formed in the second section or to alter the composition of the film formed in the second section.

在進一步實施例中,使基板自第三區段橫向移動穿過氣簾至處理腔室之第四區段。將第三薄膜曝露於第四製程條件中以形成第四薄膜。第四薄膜可為此前薄膜之不同組成或改良。第四製程條件包含處理腔室之第四區段中的第四反應性氣體或溫度變化之一或更多者。在移動期間,在將表面之第二部分曝露於第四製程條件中及將表面之中間部分曝露於氣簾中的同時,將表面之第一部分曝露於第三製程條件中。 In a further embodiment, the substrate is moved laterally from the third section through the air curtain to a fourth section of the processing chamber. The third film is exposed to the fourth process condition to form a fourth film. The fourth film can be a different composition or modification of the prior film. The fourth process condition includes one or more of a fourth reactive gas or temperature change in the fourth section of the processing chamber. During the movement, the first portion of the surface is exposed to the third process condition while exposing the second portion of the surface to the fourth process condition and exposing the intermediate portion of the surface to the air curtain.

基板之運動方向可為單向或互逆。如此上下文中所使用,單向意指在宏觀尺度上以一個方向移動基板。舉例而言,可圍繞處理腔室順時針旋轉基板,但可具有小部分是逆時針的。若運動總方向係順時針,則移動為單向。若運動係逆時針且具有週期順時針旋轉,則將為相同情況。在此類別之一實施例中,可使基板自處理腔室之第四區段橫向移動至處理腔室之第一區段,而無需曝露於第二區段或者第三區段 中。在一或更多個實施例中,基板自第四區段直接移動至第一區段,且重複曝露於第一製程條件、第二製程條件、第三製程條件及第四製程條件中。此可實行許多次以沉積所需厚度的薄膜。 The direction of motion of the substrate can be unidirectional or reciprocal. As used in this context, one-way means moving the substrate in one direction on a macro scale. For example, the substrate can be rotated clockwise around the processing chamber, but can have a small portion that is counterclockwise. If the general direction of motion is clockwise, the movement is one-way. The same situation will occur if the motion is counterclockwise and has a periodic clockwise rotation. In one embodiment of this class, the substrate can be moved laterally from the fourth section of the processing chamber to the first section of the processing chamber without exposure to the second or third section in. In one or more embodiments, the substrate is moved directly from the fourth segment to the first segment and repeatedly exposed to the first process condition, the second process condition, the third process condition, and the fourth process condition. This can be carried out many times to deposit a film of the desired thickness.

在一些實施例中,旋轉方向在宏觀尺度上互逆。此意指總體運動將為順時針穿過所有區段,及隨後反轉為逆時針穿過處理腔室之所有區段。在一或更多個實施例中,運動為單向及互逆之組合。舉例而言,可使基板在單方向上移動穿過處理腔室之第一區段,及隨後在第二區段中或例如在第二區段與第三區段之間以互逆運動來回移動,然後移動至第四區段上。熟習此項技術者應將理解,存在可用的眾多個別旋轉/運動模式。 In some embodiments, the directions of rotation are reciprocal on a macro scale. This means that the overall motion will be clockwise through all segments and then reversed to all segments of the processing chamber counterclockwise. In one or more embodiments, the motion is a combination of unidirectional and reciprocal. For example, the substrate can be moved through the first section of the processing chamber in a single direction, and then reciprocally moved back and forth between the second section or, for example, between the second section and the third section. Move and then move to the fourth section. Those skilled in the art will appreciate that there are numerous individual rotation/motion modes available.

取決於所需薄膜,在第一區段、第二區段、第三區段及第四區段中所發生的製程可為相似或不同。舉例而言,第一區段及第三區段可皆輸送化合物A至基板表面及第二區段及第四區段輸送化合物B至表面。在A化學吸附(或其他製程)至基板表面及B與A反應的傳統ALD類型反應中,此將引起兩個層之沉積。在另一實施例中,第一區段及第三區段可輸送相同物質A,而第二區段輸送物質B及第三區段輸送物質C。此將引起混合薄膜之形成。舉例而言,氮氧化物薄膜之形成可包括相同物質A及氧作為B及氮作為C。在一些實施例中,前兩個區段沉積薄膜及第三區段及第四區段之至少一者蝕刻薄膜。舉例而言,第一區段及第二區段引起在混合表面上(例如,部分金屬及部分介電質)的氮化薄膜沉 積,其中偏好一個表面。隨後可使用第三區段及第四區段自表面部分之一選擇性蝕刻氮化薄膜以保留選擇性沉積之薄膜。 The processes occurring in the first section, the second section, the third section, and the fourth section may be similar or different depending on the desired film. For example, the first segment and the third segment can both deliver Compound A to the substrate surface and the second and fourth segments transport Compound B to the surface. In a conventional ALD type reaction in which A chemisorption (or other process) to the substrate surface and B reacts with A, this will cause deposition of the two layers. In another embodiment, the first section and the third section can deliver the same substance A, while the second section transports the substance B and the third section delivers the substance C. This will cause the formation of a mixed film. For example, the formation of the oxynitride film may include the same substance A and oxygen as B and nitrogen as C. In some embodiments, the first two sections deposit a thin film and at least one of the third and fourth sections etch the film. For example, the first segment and the second segment cause a nitride film on the hybrid surface (eg, a portion of the metal and a portion of the dielectric) Product, which favors a surface. The nitrided film can then be selectively etched from one of the surface portions using the third and fourth segments to retain the selectively deposited film.

第一薄膜、第二薄膜、第三薄膜及第四薄膜之任一者可為金屬、氮化物、矽化物、氧化物、矽氮化物、合金及上述之組合。在一些實施例中,沉積薄膜之至少一者包含:Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu及Si;Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu及Si之氮化物;Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu及Si之氧化物;Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu及Si之矽化物;或Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu及Si之矽氮化物。 Any of the first film, the second film, the third film, and the fourth film may be a metal, a nitride, a telluride, an oxide, a tantalum nitride, an alloy, or a combination thereof. In some embodiments, at least one of the deposited films comprises: Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu, and Si; Al, Co, Mn, W, Ta, Ga, Ge, A nitride of Ti, Hf, Cu, and Si; an oxide of Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu, and Si; Al, Co, Mn, W, Ta, Ga, Ge, a telluride of Ti, Hf, Cu, and Si; or a tantalum nitride of Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu, and Si.

在一些實施例中,第二薄膜包含TiN、Co、TaN、TiSiN、W或WN之一或更多者,且蝕刻第二薄膜包含對遠端NF3電漿及直接NF3電漿、氨、遠端氨電漿或直接氨電漿之一或更多者曝露。在一些實施例中,第二薄膜包含TiN、Co、TaN、TiSiN、W或WN之一或更多者,且蝕刻第二薄膜包含對遠端NF3電漿或遠端氨電漿之一或更多者曝露。在一些實施例中,蝕刻包含對遠端NF3電漿及/或遠端氨電漿曝露。 In some embodiments, the second film comprises one or more of TiN, Co, TaN, TiSiN, W or WN, and the etched second film comprises a pair of distal NF 3 plasma and direct NF 3 plasma, ammonia, One or more of the distal ammonia plasma or direct ammonia plasma is exposed. In some embodiments, the second film comprises one or more of TiN, Co, TaN, TiSiN, W or WN, and the etched second film comprises one of a remote NF 3 plasma or a remote ammonia plasma or More people are exposed. In some embodiments, the etching comprises exposure to the distal NF 3 plasma and/or the distal ammonia plasma.

在一或更多個實施例中,第二薄膜包含AlN、TiAlN或Ta2O5之一或更多者,且蝕刻第二薄膜包含對BCl3及Cl2曝露。 In one or more embodiments, the second film comprises one or more of AlN, TiAlN, or Ta 2 O 5 , and etching the second film comprises exposing BCl 3 and Cl 2 .

在一些實施例中,第二薄膜包含TiO2,且蝕刻第二薄膜包含對直接NF3電漿、遠端NF3電漿、氨、直接氨電漿及遠端氨電漿之一或更多者曝露。在一些實施例中,第二薄 膜包含TiO2,且蝕刻第二薄膜包含對直接NF3電漿或直接氨電漿之一或更多者曝露。在一些實施例中,蝕刻包含對直接NF3電漿及/或直接氨電漿曝露。 In some embodiments, the second film comprises TiO 2 and the etched second film comprises one or more of direct NF 3 plasma, remote NF 3 plasma, ammonia, direct ammonia plasma, and remote ammonia plasma. Exposure. In some embodiments, the second film comprises TiO 2 and etching the second film comprises exposing one or more of the direct NF 3 plasma or the direct ammonia plasma. In some embodiments, the etching comprises direct NF 3 plasma and/or direct ammonia plasma exposure.

使用所描述設備沉積之典型薄膜包括但不限於金屬薄膜及介電薄膜。典型金屬薄膜包括但不限於鉭、鈦、鉿、鋁、銅、鎢、銀、金、錳、鉻及上述之合金及組合。典型介電薄膜包括但不限於鉭、鈦、鋁、銅、鎢、銀、鉻及上述之組合之氧化物、氮化物、矽化物及矽氮化物。該等僅為可沉積之示例性薄膜且不應視為限制本揭示案之範疇。 Typical films deposited using the described apparatus include, but are not limited to, metal films and dielectric films. Typical metal films include, but are not limited to, tantalum, titanium, tantalum, aluminum, copper, tungsten, silver, gold, manganese, chromium, and alloys and combinations thereof. Typical dielectric films include, but are not limited to, oxides, nitrides, tellurides, and hafnium nitrides of tantalum, titanium, aluminum, copper, tungsten, silver, chromium, and combinations thereof. These are merely exemplary films that can be deposited and are not to be considered as limiting the scope of the disclosure.

示例性鉿前驅物包括含有配位基的鉿化合物,該等配位基諸如烷醯胺基、環戊二烯基、鹵素、烷基、醇鹽及上述之組合。用作鉿前驅物的烷醯胺基鉿化合物包括(RR'N)4Hf,其中R及R'獨立為氫、甲基、乙基、丙基或丁基。一些特定鉿前驅物包括(Et2N)4Hf、(Me2N)4Hf、(EtMeN)4Hf、(t-BuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、(Me5C5)2HfCl2、(Me5C5)HfCl3、(i-PrC5H4)2HfCl2、(i-PrC5H4)HfCl3、(t-BuC5H4)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf、Br4Hf、Cl4Hf、I4Hf、(NO3)4Hf、(t-BuO)4Hf、(i-PrO)4Hf、(EtO)4Hf及(MeO)4Hf。 Exemplary ruthenium precursors include ruthenium compounds containing a ligand such as an alkanoylamino group, a cyclopentadienyl group, a halogen, an alkyl group, an alkoxide, and combinations thereof. The alkylguanamine oxime compound used as the ruthenium precursor includes (RR'N) 4 Hf, wherein R and R' are independently hydrogen, methyl, ethyl, propyl or butyl. Some specific ruthenium precursors include (Et 2 N) 4 Hf, (Me 2 N) 4 Hf, (EtMeN) 4 Hf, ( t -BuC 5 H 4 ) 2 HfCl 2 , (C 5 H 5 ) 2 HfCl 2 , (EtC 5 H 4 ) 2 HfCl 2 , (Me 5 C 5 ) 2 HfCl 2 , (Me 5 C 5 )HfCl 3 , ( i -PrC 5 H 4 ) 2 HfCl 2 , ( i -PrC 5 H 4 )HfCl 3 , ( t -BuC 5 H 4 ) 2 HfMe 2 , (acac) 4 Hf, (hfac) 4 Hf, (tfac) 4 Hf, (thd) 4 Hf, Br 4 Hf, Cl 4 Hf, I 4 Hf, (NO 3 ) 4 Hf, ( t -BuO) 4 Hf, ( i -PrO) 4 Hf, (EtO) 4 Hf and (MeO) 4 Hf.

典型鋁前驅物包括但不限於:三氯化鋁、三溴化鋁、三氟化鋁、三碘化鋁、三甲基鋁(trimethylaluminium;TMA)、氫化二甲基鋁(dimethylaluminium hydride;DMAH)、參(二乙胺基)鋁(tris(diethylamino)aluminium;TDEAA)、三甲胺鋁烷(trimethylamine alane;TMAA)、三乙基-胺鋁烷(triethyl-amine alane;TEAA)、二甲基乙胺鋁烷(dimethylethylamine alane;DMEAA)、三異丁基鋁、三乙基鋁、氫化二甲基鋁及氯化二乙基鋁。 Typical aluminum precursors include, but are not limited to, aluminum trichloride, aluminum tribromide, aluminum trifluoride, aluminum triiodide, trimethylaluminium (TMA), dimethylaluminium hydride (DMAH). , tris (diethylamino) aluminum (TDEAA), trimethylamine alane (TMAA), triethyl-amine alane (triethyl-amine) Alane; TEAA), dimethylethylamine alane (DMEAA), triisobutylaluminum, triethylaluminum, dimethylaluminum hydride and diethylaluminum chloride.

典型鎵前驅物包括但不限於:三甲基鎵(trimethyl gallium;TMG)、三溴化鎵、三氯化鎵、三乙基鎵、三異丙基鎵、叁(二甲醯胺基)鎵及三-第三丁基鎵。 Typical gallium precursors include, but are not limited to, trimethyl gallium (TMG), gallium tribromide, gallium trichloride, triethyl gallium, triisopropyl gallium, germanium (dimethylamino) gallium And tri-tert-butyl gallium.

用作還原劑的典型鈦化合物包括但不限於:鹵化鈦,諸如TiCl3及TiI3;環戊二烯基錯合物,諸如Ti(C5H5)3、Ti(C5H5)2Cl;硫酸鈦(Ti2(SO4)3);及氫氧化鈦(Ti(OH)3)及鈦鹽。 Typical titanium compounds used as reducing agents include, but are not limited to, titanium halides such as TiCl 3 and TiI 3 ; cyclopentadienyl complexes such as Ti(C 5 H 5 ) 3 , Ti(C 5 H 5 ) 2 Cl; titanium sulfate (Ti 2 (SO 4 ) 3 ); and titanium hydroxide (Ti(OH) 3 ) and titanium salts.

適宜鉭前驅物包括但不限於基於鉭的有機金屬前驅物或其衍生物,例如戊二甲胺基-鉭(pentadimethylamino-tantalum;PDMAT;Ta(NMe2)5)、戊乙基甲胺基-鉭(pentaethylmethylamino-tantalum;PEMAT;Ta[N(C2H5CH3)2]5)、戊二乙胺基-鉭(pentadiethylamino-tantalum;PDEAT;Ta(NEt2)5)、TBTDET(Ta(NEt2)3NC4H9或C16H39N4Ta)及鉭鹵化物,及以上所列化合物之衍生物中的任何及全部。 Suitable ruthenium precursors include, but are not limited to, ruthenium-based organometallic precursors or derivatives thereof, such as pentadimethylamino-tantalum (PDMAT; Ta(NMe 2 ) 5 ), pentaethylmethylamino- Pent (pentaethylmethylamino-tantalum; PEMAT; Ta[N(C 2 H 5 CH 3 ) 2 ] 5 ), pentadiethylamino-tantalum (PDEAT; Ta(NEt 2 ) 5 ), TBTDET (Ta ( NEt 2 ) 3 NC 4 H 9 or C 16 H 39 N 4 Ta) and hydrazine halides, and any and all of the derivatives of the compounds listed above.

適宜矽前驅物包括但不限於矽烷、二矽烷、三甲矽烷、混合有機矽烷、矽烷鹽及上述之組合。適宜銅、鎢、銀、金、錳、鉻及其他金屬前驅物包括但不限於鹵化物及有機金屬化合物。典型鍺前驅物包括但不限於鍺烷、二鍺烷及四甲基鍺。 Suitable ruthenium precursors include, but are not limited to, decane, dioxane, trimethyl decane, mixed organic decane, decane salts, and combinations thereof. Suitable copper, tungsten, silver, gold, manganese, chromium and other metal precursors include, but are not limited to, halides and organometallic compounds. Typical ruthenium precursors include, but are not limited to, decane, dioxane, and tetramethylguanidine.

鎢前驅物可為任何適宜含鎢氣體,包括但不限於基於鹵素的鎢前驅物或基於金屬有機物的鎢前驅物。舉例而 言,在一些實施例中,鎢前驅物可包含五氯化鎢(WCl5),具有WCl5之經驗式的化合物(例如,W2Cl10、W3Cl15),六氯化鎢(WCl6),具有WCl6之經驗式的化合物(例如,W2Cl12),六氟化鎢(WF6)。 The tungsten precursor can be any suitable tungsten-containing gas including, but not limited to, a halogen-based tungsten precursor or a metal organic-based tungsten precursor. For example, in some embodiments, the tungsten precursor may comprise tungsten pentachloride (WCl 5 ), a compound of the empirical formula with WCl 5 (eg, W 2 Cl 10 , W 3 Cl 15 ), tungsten hexachloride (WCl 6 ), a compound having an empirical formula of WCl 6 (for example, W 2 Cl 12 ), tungsten hexafluoride (WF 6 ).

示例性電漿或遠端電漿蝕刻製程可包括一或更多種蝕刻劑,諸如四氟化碳(CF4)、三氟甲烷(CHF3)、六氟化硫(SF6)、氫(H2)或類似者,且可在有或沒有加熱夾盤下執行該等製程。 An exemplary plasma or remote plasma etch process can include one or more etchants such as carbon tetrafluoride (CF 4 ), trifluoromethane (CHF 3 ), sulfur hexafluoride (SF 6 ), hydrogen ( H 2 ) or the like, and the processes can be performed with or without a heated chuck.

本揭示案之一些實施例係針對使用批次處理腔室沉積氮化鈦薄膜之方法。一個區段輸送鈦前驅物及後續區段輸送氨。對鈦前驅物繼之以氨兩者的每次曝露產生氮化鈦之單層沉積。對於具有兩組鈦及氨注射器的處理腔室,每次旋轉將沉積兩個層。在一些實施例中,鈦前驅物包含在氮氣或另一惰性氣體中稀釋的四氯化鈦。可在氮氣或另一惰性氣體中稀釋氨。將一些實施例之晶座維持在約350℃至約550℃之範圍內的一溫度下。一些實施例之厚度為約100Å及電阻係數為約160微歐-公分。 Some embodiments of the present disclosure are directed to a method of depositing a titanium nitride film using a batch processing chamber. One section transports the titanium precursor and the subsequent section transports ammonia. A single layer deposition of titanium nitride is produced for each exposure of the titanium precursor followed by ammonia. For a processing chamber with two sets of titanium and ammonia injectors, two layers will be deposited per rotation. In some embodiments, the titanium precursor comprises titanium tetrachloride diluted in nitrogen or another inert gas. The ammonia can be diluted in nitrogen or another inert gas. The crystal holders of some embodiments are maintained at a temperature in the range of from about 350 °C to about 550 °C. Some embodiments have a thickness of about 100 Å and a resistivity of about 160 micro ohm-cm.

在一些實施例中,可在電漿增強原子層沉積(plasma enhanced atomic layer deposition;PEALD)製程期間形成一或更多個層。在一些製程中,電漿之使用提供足夠能量以促進物質進入激勵狀態,在該狀態下表面反應變得有利且可能。可連續或脈衝將電漿引入到製程中。在一些實施例中,使用前驅物(或反應性氣體)及電漿之依序脈衝處理層。在一些實施例中,可使試劑局部(亦即,在處理區域內)或遠端(亦 即,在處理區域外)離子化。在一些實施例中,遠端離子化可發生在沉積腔室之上游,以使得離子或其他激勵或光發射物質並未與沉積薄膜直接接觸。在一些PEALD製程中,自處理腔室之外部產生電漿,諸如藉由遠端電漿產生器系統。可經由熟習此項技術者已知的任何適宜電漿產生製程或技術產生電漿。舉例而言,可藉由微波(MW)頻率產生器或射頻(RF)產生器之一或更多者產生電漿。可取決於所使用之特定反應性物質調諧電漿之頻率。適宜頻率包括但不限於2MHz、13.56MHz、40MHz、60MHz及100MHz。儘管在本文所揭示之沉積製程期間可使用電漿,但應注意可不需要電漿。甚至,其他實施例係關於在非常溫和條件下無需電漿之沉積製程。 In some embodiments, one or more layers may be formed during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote the entry of a substance into an excited state in which surface reactions become advantageous and possible. The plasma can be introduced into the process continuously or pulsed. In some embodiments, the precursor (or reactive gas) and plasma are used to sequentially process the layers. In some embodiments, the reagent may be localized (ie, within the treatment zone) or distally (also That is, it is ionized outside the processing area. In some embodiments, distal ionization can occur upstream of the deposition chamber such that ions or other excitation or light emissive materials are not in direct contact with the deposited film. In some PEALD processes, plasma is generated from outside the processing chamber, such as by a remote plasma generator system. The plasma can be produced by any suitable plasma generation process or technique known to those skilled in the art. For example, the plasma can be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma can be tuned depending on the particular reactive species used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, and 100 MHz. Although plasma may be used during the deposition process disclosed herein, care should be taken that plasma may not be needed. Even other embodiments relate to deposition processes that do not require plasma under very mild conditions.

根據一或更多個實施例,在形成層之前及/或之後使基板經歷處理。可在相同腔室中或在一或更多個獨立處理腔室中執行此處理。在一些實施例中,使基板自第一腔室移動至獨立的第二腔室以便進一步處理。可使基板自第一腔室直接移動至獨立處理腔室,或可使基板自第一腔室移動至一或更多個移送腔室,及隨後移動至所需獨立處理腔室。因此,處理設備可包含與移送站連通的多個腔室。此類別之設備可稱為「群集工具」或「群集系統」及類似者。 According to one or more embodiments, the substrate is subjected to processing before and/or after forming the layer. This process can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to the one or more transfer chambers and subsequently moved to the desired separate processing chamber. Thus, the processing device can include a plurality of chambers in communication with the transfer station. Devices in this category may be referred to as "cluster tools" or "cluster systems" and the like.

大體而言,群集工具係包含多個腔室的模組系統,該等腔室執行各種功能,包括基板中心探索與定向、脫氣、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具包括至少第一腔室及中央移送腔室。中央移送腔室可容納機器人,該機器人可在處理腔室與裝載鎖定腔室兩者及多者之間 穿梭傳遞基板。通常在真空條件下維持移送腔室且移送腔室提供用於自一個腔室至另一腔室及/或至位於群集工具之前端的裝載鎖定腔室穿梭傳遞基板的中間階段。可適用於本揭示案之兩種熟知群集工具為Centura®及Endura®,兩者皆可購自美國加州聖克拉拉市的應用材料公司。在1993年2月16日頒予Tepman等人之標題為「Staged-Vacuum Wafer Processing Apparatus and Method」之美國專利案第5,186,718號中揭示一個此類階段真空基板處理設備之細節。然而,可改變腔室之精確排列及組合以意欲用於執行本文所描述之製程之特定步驟。可使用之其他處理腔室包括但不限於循環層沉積(cyclical layer deposition;CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如RTP之熱處理、電漿氮化、脫氣、定向、羥基化作用及其他基板製程。藉由在群集工具上的腔室中實施製程,在沉積後續薄膜前可在沒有氧化情況下避免大氣雜質的基板表面污染。 In general, a cluster tool system includes a plurality of chamber module systems that perform various functions including substrate center exploration and orientation, degassing, annealing, deposition, and/or etching. According to one or more embodiments, the cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber can house a robot that can shuttle the substrate between the processing chamber and the load lock chamber. The transfer chamber is typically maintained under vacuum and the transfer chamber provides an intermediate stage for shuttle transfer of the substrate from one chamber to the other and/or to the load lock chamber at the front end of the cluster tool. This disclosure is applicable to both the well-known cluster tools for Centura ® and Endura ®, both available to buy from Applied Materials, Inc. of Santa Clara, California. The details of a vacuum substrate processing apparatus of this type are disclosed in U.S. Patent No. 5,186,718, issued to the U.S. Patent No. 5,186,. However, the precise arrangement and combination of chambers can be varied to be used to perform the specific steps of the processes described herein. Other processing chambers that may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning, Chemical cleaning, heat treatment such as RTP, plasma nitridation, degassing, orientation, hydroxylation, and other substrate processes. By performing the process in a chamber on the cluster tool, substrate surface contamination of atmospheric impurities can be avoided without oxidation prior to deposition of the subsequent film.

根據一或更多個實施例,基板連續處於真空或「裝載鎖定」條件下,且當自一個腔室移動至下一腔室時並未曝露於環境空氣中。移送腔室因此處於真空下且在真空壓力下向下泵送。在處理腔室或移送腔室中可存在惰性氣體。在一些實施例中,將惰性氣體用作淨化氣體以在基板之表面上形成層後移除反應物中的一些或全部。根據一或更多個實施例,在沉積腔室之出口注射淨化氣體以防止反應物自沉積腔室移動至移送腔室及/或額外處理腔室。因此,惰性氣體之流 動在腔室之出口處形成簾幕。 In accordance with one or more embodiments, the substrate is continuously under vacuum or "load lock" conditions and is not exposed to ambient air when moving from one chamber to the next. The transfer chamber is therefore under vacuum and pumped down under vacuum pressure. An inert gas may be present in the processing chamber or transfer chamber. In some embodiments, an inert gas is used as the purge gas to remove some or all of the reactants after forming a layer on the surface of the substrate. According to one or more embodiments, the purge gas is injected at the outlet of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or the additional processing chamber. Therefore, the flow of inert gas A curtain is formed at the exit of the chamber.

在處理期間,可加熱或冷卻基板。可藉由任何適宜手段完成此加熱或冷卻,該手段包括但不限於改變基板支撐件(例如,晶座)之溫度及使加熱或冷卻氣體流動至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,可控制該加熱器/冷卻器傳導地改變基板溫度。在一或更多個實施例中,加熱或冷卻所採用之氣體(反應性氣體或惰性氣體)以局部改變基板溫度。在一些實施例中,在相鄰基板表面的腔室內安置加熱器/冷卻器以對流地改變基板溫度。 The substrate can be heated or cooled during processing. This heating or cooling can be accomplished by any suitable means including, but not limited to, varying the temperature of the substrate support (e.g., the crystal holder) and flowing the heating or cooling gas to the substrate surface. In some embodiments, the substrate support includes a heater/cooler that can be controlled to conductively change the substrate temperature. In one or more embodiments, the gas (reactive gas or inert gas) employed is heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is placed within the chamber of an adjacent substrate surface to convectively change the substrate temperature.

在處理期間基板亦可為靜止或旋轉。可連續旋轉或以分離步驟旋轉旋轉基板。舉例而言,可貫穿整個製程旋轉基板,或可在曝露於不同反應性或淨化氣體之間少量旋轉基板。在處理期間旋轉基板(連續或者逐步)可藉由最小化(例如)氣體流動幾何形狀中的局部變化性之效應幫助產生更均勻的沉積或蝕刻。 The substrate may also be stationary or rotating during processing. The substrate can be rotated continuously or in a separation step. For example, the substrate can be rotated throughout the process, or the substrate can be rotated a small amount between exposure to different reactive or purge gases. Rotating the substrate (continuous or stepwise) during processing can help produce more uniform deposition or etching by minimizing the effects of local variability in, for example, gas flow geometry.

儘管上文所述係針對本揭示案之實施例,但是可在不脫離本揭示案之基本範疇的情況下設計出本揭示案之其他及進一步實施例,且由以下申請專利範圍決定本揭示案之範疇。 While the above is directed to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from the basic scope of the disclosure, and the disclosure is determined by the scope of the following claims. The scope.

Claims (20)

一種處理方法,該方法包含以下步驟:將複數個基板置放於一處理腔室中的一晶座組件的複數個凹部中,該腔室包含複數個區段,每個基板具有一表面,藉由一氣簾將該處理腔室的每個區段與相鄰區段分離,該氣簾包含真空流及淨化氣流;將該表面的至少一部分曝露於包含溫度變化或一第一反應性氣體之一或更多者的一第一製程條件中,以在該處理腔室之一第一區段中的該表面上沉積一第一薄膜;使該基板表面橫向移動穿過該氣簾至該處理腔室之一第二區段;以及將該第一薄膜曝露於包含溫度變化或一第二反應性氣體之一或更多者的一第二製程條件,以在該處理腔室之該第二區段中形成一第二薄膜,其中在將該表面之一第二部分曝露於該等第二製程條件中及將該基板之一中間部分曝露於該氣簾中的同時,將該表面之一第一部分曝露於該等第一製程條件中。 A processing method comprising the steps of: placing a plurality of substrates in a plurality of recesses of a wafer holder assembly in a processing chamber, the chamber comprising a plurality of segments, each substrate having a surface, Separating each section of the processing chamber from an adjacent section by an air curtain comprising a vacuum stream and a purge stream; exposing at least a portion of the surface to one of a temperature change or a first reactive gas or In a first process condition, a first film is deposited on the surface in a first section of the processing chamber; the substrate surface is laterally moved through the curtain to the processing chamber a second section; and exposing the first film to a second process condition comprising one or more of a temperature change or a second reactive gas to be in the second section of the processing chamber Forming a second film, wherein the first portion of one of the surfaces is exposed while exposing a second portion of the surface to the second process conditions and exposing an intermediate portion of the substrate to the air curtain The first process Member. 如請求項1所述之處理方法,其中該等第一製程條件選自由以下組成之群組:包含該第一反應性氣體的一單一反應性氣體,包含該第一反應性氣體的一反應性氣體混合物,包含該第一反應性氣體的一遠端電漿,包含該第一反應性氣體的一直接電漿,溫度變化及上述之組合。 The processing method of claim 1, wherein the first process conditions are selected from the group consisting of: a single reactive gas comprising the first reactive gas, comprising a reactivity of the first reactive gas A gas mixture comprising a remote plasma of the first reactive gas, a direct plasma comprising the first reactive gas, a temperature change, and combinations thereof. 如請求項1所述之處理方法,其中該等第二製程條件選自由以下組成之群組:包含該第二反應性氣體的一單一反應性氣體,包含該第二反應性氣體的一反應性氣體混合物,包含該第二反應性氣體的一遠端電漿,包含該第二反應性氣體的一直接電漿,溫度變化及上述之組合。 The processing method of claim 1, wherein the second process conditions are selected from the group consisting of: a single reactive gas comprising the second reactive gas, comprising a reactivity of the second reactive gas A gas mixture comprising a remote plasma of the second reactive gas, a direct plasma comprising the second reactive gas, a temperature change, and combinations thereof. 如請求項1所述之處理方法,進一步包含以下步驟:對該等第一製程條件及該等第二製程條件的額外依序曝露。 The processing method of claim 1, further comprising the step of: exposing the first process conditions and the additional sequential conditions of the second process conditions. 如請求項1所述之處理方法,進一步包含以下步驟:使該基板表面橫向移動穿過該氣簾至該處理腔室之一第三區段,並將該基板表面曝露於在該處理腔室之該第三區段中包含一第三反應性氣體或溫度變化之一或更多者的一第三製程條件中,其中在將該表面之一第二部分曝露於該等第三製程條件中及將該基板之該中間部分曝露於該氣簾中的同時,將該表面之一第一部分曝露於該等第二製程條件中。 The processing method of claim 1, further comprising the steps of: moving the surface of the substrate laterally through the air curtain to a third section of the processing chamber, and exposing the surface of the substrate to the processing chamber The third section includes a third reactive gas or a third process condition of one or more of the temperature changes, wherein the second portion of the surface is exposed to the third process conditions and While exposing the intermediate portion of the substrate to the air curtain, the first portion of one of the surfaces is exposed to the second process conditions. 如請求項5所述之處理方法,其中該等第三處理條件形成一處理以改良該第二薄膜。 The processing method of claim 5, wherein the third processing conditions form a process to improve the second film. 如請求項5所述之處理方法,其中該等第三處理條件在該表面上沉積一第三薄膜。 The processing method of claim 5, wherein the third processing condition deposits a third film on the surface. 如請求項7所述之處理方法,進一步包含以下步驟:使該基板表面橫向移動穿過該氣簾至該處理腔室之一第四區段,並將該表面曝露於一第四製程條件中以形成一第四薄膜,該等第四製程條件包含在該處理腔室之該第四區段中的一第四反應性氣體或溫度變化之一或更多者,其中在將該表面之一第二部分曝露於該等第四製程條件中及將該表面之該中間部分曝露於該氣簾中的同時,將該表面之一第一部分曝露於該等第三製程條件中。 The processing method of claim 7, further comprising the step of moving the surface of the substrate laterally through the air curtain to a fourth section of the processing chamber and exposing the surface to a fourth process condition Forming a fourth film, the fourth process condition comprising one or more of a fourth reactive gas or temperature change in the fourth section of the processing chamber, wherein one of the surfaces is The first portion of the surface is exposed to the third process conditions while the two portions are exposed to the fourth process conditions and the intermediate portion of the surface is exposed to the air curtain. 如請求項8所述之處理方法,進一步包含以下步驟:使該基板表面自該處理腔室之該第四區段橫向移動至該處理腔室之該第一區段及重複曝露於該第一製程條件、第二製程條件、第三製程條件及第四製程條件中。 The processing method of claim 8, further comprising the step of laterally moving the substrate surface from the fourth section of the processing chamber to the first section of the processing chamber and repeatedly exposing to the first Process conditions, second process conditions, third process conditions, and fourth process conditions. 如請求項9所述之處理方法,其中使該表面自該處理腔室之該第四區段移動至該處理腔室之該第一區段之步驟包含以下步驟:移動穿過該氣簾,而無需曝露於該第三區段或者第二區段中。 The processing method of claim 9, wherein the step of moving the surface from the fourth section of the processing chamber to the first section of the processing chamber comprises the step of moving through the air curtain while No need to be exposed in the third section or the second section. 如請求項8所述之處理方法,其中該第三製程條件及該第四製程條件蝕刻該第二薄膜。 The processing method of claim 8, wherein the third process condition and the fourth process condition etch the second film. 如請求項11所述之處理方法,其中該第二薄膜包含TiN、Co、TaN、TiSiN、W或WN之一或更多者,且蝕刻該 第二薄膜之步驟包含以下步驟:對一遠端NF3電漿及/或氨電漿曝露。 The processing method of claim 11, wherein the second film comprises one or more of TiN, Co, TaN, TiSiN, W or WN, and the step of etching the second film comprises the following steps: NF 3 plasma and / or ammonia plasma exposure. 如請求項11所述之處理方法,其中該第二薄膜包含AlN、TiAlN或Ta2O5之一或更多者,且蝕刻該第二薄膜之步驟包含以下步驟:對BCl3及Cl2曝露。 The processing method of claim 11, wherein the second film comprises one or more of AlN, TiAlN or Ta 2 O 5 , and the step of etching the second film comprises the steps of: exposing BCl 3 and Cl 2 . 如請求項11所述之處理方法,其中該第二薄膜包含TiO2,且蝕刻該第二薄膜之步驟包含以下步驟:對一直接或遠端NF3電漿及/或氨電漿曝露。 The processing method of claim 11, wherein the second film comprises TiO 2 , and the step of etching the second film comprises the step of exposing a direct or distal NF 3 plasma and/or ammonia plasma. 如請求項1所述之處理方法,其中該第二薄膜包含一金屬,該金屬選自由以下組成之群組:Ti、W、Al、Ta、Co、Cu、Hf及上述之組合及合金。 The processing method of claim 1, wherein the second film comprises a metal selected from the group consisting of Ti, W, Al, Ta, Co, Cu, Hf, and combinations and alloys thereof. 如請求項1所述之處理方法,其中該第二薄膜包含一介電材料,該介電材料選自由以下組成之群組:鈦、鋁、鎢、鉭、鈷、銅、鉿及上述之組合之一氧化物、氮化物、矽化物、矽氮化物或上述之組合。 The processing method of claim 1, wherein the second film comprises a dielectric material selected from the group consisting of titanium, aluminum, tungsten, tantalum, cobalt, copper, rhenium, and combinations thereof. An oxide, a nitride, a telluride, a tantalum nitride or a combination thereof. 一種處理方法,該方法包含以下步驟:將複數個基板置放於一處理腔室中,該腔室包含圍繞一中心軸圓形排列的複數個區段,藉由一氣簾將每個區段與相 鄰區段分離,該氣簾包含一或更多個淨化氣流及真空流,每個基板具有一表面並且安置於一晶座組件的一凹部中;以及圍繞該中心軸旋轉該基板以將該基板表面的至少一部分曝露於包含溫度變化或一第一反應性氣體之一或更多者的一第一製程條件中以在該表面上沉積一第一薄膜,並曝露於包含溫度變化或一第二反應性氣體之一或更多者的一第二製程條件中以與該第一薄膜反應以形成一第二薄膜,其中在將該表面之一第二部分曝露於該第二製程條件中及將該基板之一中間部分曝露於該氣簾中的同時,將該表面之一第一部分曝露於該第一製程條件中。 A processing method comprising the steps of: placing a plurality of substrates in a processing chamber, the chamber comprising a plurality of segments arranged circularly around a central axis, each segment being associated with an air curtain phase Separating the adjacent segments, the air curtain comprising one or more purge gas streams and a vacuum stream, each substrate having a surface and disposed in a recess of a crystal holder assembly; and rotating the substrate about the central axis to surface the substrate At least a portion of which is exposed to a first process condition comprising one or more of a temperature change or a first reactive gas to deposit a first film on the surface and to be exposed to a temperature change or a second reaction a second process condition of one or more of the gas to react with the first film to form a second film, wherein the second portion of the surface is exposed to the second process condition and While a middle portion of the substrate is exposed to the air curtain, a first portion of the surface is exposed to the first process condition. 如請求項17所述之處理方法,其中該處理腔室內的該基板之每次旋轉將該基板交替曝露於第一製程條件及第二製程條件中。 The processing method of claim 17, wherein each rotation of the substrate in the processing chamber alternately exposes the substrate to the first process condition and the second process condition. 如請求項17所述之處理方法,其中該第二薄膜包含:一金屬,該金屬選自由以下組成之群組:Ti、W、Al、Ta、Co、Cu、Hf及上述之組合及合金;或一介電材料,該介電材料選自由以下組成之群組:鈦、鋁、鎢、鉭、鈷、銅、鉿及上述之組合之一氧化物、氮化物、矽化物、矽氮化物或上述之組合。 The processing method of claim 17, wherein the second film comprises: a metal selected from the group consisting of Ti, W, Al, Ta, Co, Cu, Hf, and combinations and alloys thereof; Or a dielectric material selected from the group consisting of titanium, aluminum, tungsten, tantalum, cobalt, copper, ruthenium, and one of the foregoing oxides, nitrides, tellurides, tantalum nitrides or Combination of the above. 一種處理方法,該方法包含以下步驟: 將複數個基板置放於一處理腔室中的一晶座組件的複數個凹部中,該處理腔室包含圍繞一中心軸圓形排列的複數個區段,藉由一氣簾將每個區段與相鄰區段分離,該氣簾包含一或更多個淨化氣流及真空流,每個基板具有一表面;將該基板曝露於該處理腔室之一第一區段中的一第一製程條件中以形成一第一薄膜,該第一製程條件包含一第一反應性氣體或溫度變化之一或更多者;圍繞該處理腔室之該中心軸旋轉該基板以使該基板自該第一區段移動穿過一氣簾至具有一第二製程條件的該處理腔室之一第二區段,使得在移動期間的一些點處,在將該基板的至少一個部分曝露於該第二製程條件中及將該基板之一中間部分曝露於該氣簾中的同時,將該基板的至少一個部分曝露於該第一製程條件中;將該基板曝露於該處理腔室之該第二區段中的該第二製程條件中以形成一第二薄膜,該第二製程條件包含一第二反應性氣體或溫度變化之一或更多者;圍繞該處理腔室之該中心軸旋轉該基板以使該基板自該第二區段移動穿過一氣簾至該處理腔室之一第三區段,使得在移動期間的一些點處,在將該基板的至少一個部分曝露於一第三製程條件中及將該基板之該中間部分曝露於該氣簾中的同時,將該基板的至少一個部分曝露於該第二製程條件中;將該基板曝露於該處理腔室之該第三區段中的該第三製程條件中以形成一第三薄膜,該第三製程條件包含一第三反應性氣體或溫度變化之一或更多者; 圍繞該處理腔室之該中心軸旋轉該基板以使該基板自該第三區段移動穿過一氣簾至該處理腔室之一第四區段,使得在移動期間的一些點處,在將該基板的至少一個部分曝露於一第四製程條件中及將該基板之該中間部分曝露於該氣簾中的同時,將該基板的至少一個部分曝露於該第三製程條件中;將該基板曝露於該處理腔室之該第四區段中的該第四製程條件中以形成一第四薄膜,該第四製程條件包含一第四反應性氣體或溫度變化之一或更多者;以及圍繞該處理腔室之該中心軸旋轉該基板以使該基板自該第四區段移動穿過一氣簾至該第一區段,而無需傳遞穿過該第二區段或該第三區段,其中該第一反應性氣體、該第二反應性氣體、該第三反應性氣體或該第四反應性氣體之一或更多者包含矽烷,且該第四薄膜中的缺陷形成與需要在反應性氣體曝露之間淨化該製程腔室的一製程相比明顯減少。 A processing method that includes the following steps: Placing a plurality of substrates in a plurality of recesses in a wafer holder assembly in a processing chamber, the processing chamber including a plurality of segments arranged circularly about a central axis, each segment being replaced by an air curtain Separating from adjacent segments, the air curtain comprising one or more purge gas streams and a vacuum stream, each substrate having a surface; exposing the substrate to a first process condition in a first section of the processing chamber Forming a first film, the first process condition comprising one or more of a first reactive gas or temperature change; rotating the substrate around the central axis of the processing chamber to cause the substrate to be from the first The segment moves through an air curtain to a second section of the processing chamber having a second process condition such that at some point during the movement, at least a portion of the substrate is exposed to the second process condition Exposing at least a portion of the substrate to the first process condition while exposing an intermediate portion of the substrate to the air curtain; exposing the substrate to the second portion of the processing chamber In the second process condition Forming a second film, the second process condition comprising one or more of a second reactive gas or temperature change; rotating the substrate about the central axis of the processing chamber to cause the substrate to be from the second segment Moving through an air curtain to a third section of the processing chamber such that at some point during the movement, exposing at least a portion of the substrate to a third process condition and the intermediate portion of the substrate Exposing at least one portion of the substrate to the second process condition while exposing to the air curtain; exposing the substrate to the third process condition in the third section of the processing chamber to form a a third film, the third process condition comprising one or more of a third reactive gas or temperature change; Rotating the substrate about the central axis of the processing chamber to move the substrate from the third section through an air curtain to a fourth section of the processing chamber such that at some point during the movement, Exposing at least one portion of the substrate to a fourth process condition and exposing the intermediate portion of the substrate to the air curtain, exposing at least a portion of the substrate to the third process condition; exposing the substrate Forming a fourth film in the fourth process condition in the fourth section of the processing chamber, the fourth process condition comprising one or more of a fourth reactive gas or temperature change; The central axis of the processing chamber rotates the substrate to move the substrate from the fourth segment through an air curtain to the first segment without passing through the second segment or the third segment, Wherein one or more of the first reactive gas, the second reactive gas, the third reactive gas or the fourth reactive gas comprises decane, and the defect formation in the fourth film is required to react Purification between sexual gas exposure A process chamber is significantly reduced compared to the process.
TW103143057A 2014-01-05 2014-12-10 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition TWI643971B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461923731P 2014-01-05 2014-01-05
US61/923,731 2014-01-05

Publications (2)

Publication Number Publication Date
TW201529881A TW201529881A (en) 2015-08-01
TWI643971B true TWI643971B (en) 2018-12-11

Family

ID=54342577

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103143057A TWI643971B (en) 2014-01-05 2014-12-10 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Country Status (1)

Country Link
TW (1) TWI643971B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US11365481B2 (en) 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
WO2017100630A1 (en) * 2015-12-10 2017-06-15 Applied Materials, Inc. In-situ film annealing with spatial atomic layer deposition
JP7190905B2 (en) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
JP6966227B2 (en) * 2016-06-28 2021-11-10 芝浦メカトロニクス株式会社 Film-forming equipment, manufacturing methods for film-forming products, and manufacturing methods for electronic components
CN108396311A (en) * 2018-05-18 2018-08-14 宁波英飞迈材料科技有限公司 High-throughput PECVD device and method
JP7538794B2 (en) * 2018-10-29 2024-08-22 アプライド マテリアルズ インコーポレイテッド How to operate the Spatial Deposition Tool
KR20200095082A (en) * 2019-01-31 2020-08-10 주식회사 엘지화학 Apparatus of Atomic Layer Deposition
CN113463190A (en) * 2021-05-13 2021-10-01 顾赢速科技(合肥)有限公司 Epitaxial growth device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques

Also Published As

Publication number Publication date
TW201529881A (en) 2015-08-01

Similar Documents

Publication Publication Date Title
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI643971B (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
US9716012B2 (en) Methods of selective layer deposition
TWI630281B (en) Methods of depositing a metal alloy film
KR102266379B1 (en) Methods for depositing fluorine/carbon-free conformal tungsten
WO2021141876A1 (en) Catalyst enhanced seamless ruthenium gap fill
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US11066743B2 (en) Selective atomic layer deposition of ruthenium
TW201800598A (en) Selective deposition of silicon nitride films for spacer applications
US20150147889A1 (en) Tilted Plate For Batch Processing And Methods Of Use
US10233547B2 (en) Methods of etching films with reduced surface roughness
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
WO2016054401A1 (en) Top lamp module for carousel deposition chamber
KR20150020528A (en) Apparatus for cvd and ald with an elongate nozzle and methods of use
KR20220114060A (en) deposition of metal films
US11869806B2 (en) Methods of forming molybdenum contacts
TW201615879A (en) High temperature silicon oxide atomic layer deposition technology