[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

TW202226915A - Method of forming a patterned layer of material - Google Patents

Method of forming a patterned layer of material Download PDF

Info

Publication number
TW202226915A
TW202226915A TW110142334A TW110142334A TW202226915A TW 202226915 A TW202226915 A TW 202226915A TW 110142334 A TW110142334 A TW 110142334A TW 110142334 A TW110142334 A TW 110142334A TW 202226915 A TW202226915 A TW 202226915A
Authority
TW
Taiwan
Prior art keywords
layer
support layer
radiation
substrate
irradiation
Prior art date
Application number
TW110142334A
Other languages
Chinese (zh)
Inventor
凡駑戈帕蘭 斯安 帕拉雅
艾夫喬尼亞 克爾甘諾凡
維娜 法拉馬茲
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20208461.2A external-priority patent/EP4001455A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202226915A publication Critical patent/TW202226915A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using electron radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Methods of forming a patterned layer of material are disclosed. In one arrangement, a substrate having a layered structure is provided. The layered structure comprises a base layer, a support layer, and a thermally insulating layer between the base layer and the support layer. The support layer comprises a plurality of sub-units that are thermally insulated from each other. A selected portion of the support layer is selectively irradiated during a pattern-forming process. The irradiation locally drives the pattern-forming process to form a layer of material in a pattern defined by the selected portion.

Description

形成圖案化之材料層之方法Method of forming a patterned material layer

本發明係關於形成圖案化之材料層之方法。The present invention relates to methods of forming patterned layers of material.

隨著半導體製造程序之不斷進步,電路元件之尺寸已不斷地減小,而每裝置的諸如電晶體之功能元件之量已在穩定地增加,此遵循通常稱為「莫耳定律(Moore's law)」之趨勢。為了跟上莫耳定律,半導體行業正尋求能夠產生愈來愈小特徵之技術。As semiconductor manufacturing processes have continued to advance, the size of circuit elements has continued to decrease, while the amount of functional elements such as transistors per device has steadily increased, following what is commonly referred to as "Moore's law" " trend. In order to keep up with Moore's Law, the semiconductor industry is seeking technologies that can produce smaller and smaller features.

對於一些類型之電子裝置,按比例縮小裝置特徵可引起效能挑戰,諸如當通道長度變得與源極及汲極接面之耗盡層寬度相當時MOSFET中出現的短通道效應。有時可使用二維材料解決此等挑戰,該等二維材料在原子層面上為薄的且可具有相對較低介電常數。For some types of electronic devices, scaling down device features can cause performance challenges, such as short channel effects that occur in MOSFETs when the channel length becomes comparable to the width of the depletion layer of the source and drain junctions. These challenges can sometimes be addressed using two-dimensional materials, which are atomically thin and can have relatively low dielectric constants.

存在用於製造二維材料之各種沈積技術。此類沈積技術包括化學氣相沈積(CVD)、機械斷裂(剝落)、分子束磊晶法(MBE)、原子層沈積(ALD)、液相剝落及其他沈積技術。關於許多此等沈積技術之挑戰已為程序高效地(在高速及高品質下)工作所需的高溫。高溫可降級或損壞先前沈積層及/或限制可使用之先前沈積層之範圍。先前沈積層必須形成為使得其可耐受高溫至可接受的程度,例如藉由使熔點高於在沈積程序期間所達到之溫度。Various deposition techniques exist for the fabrication of two-dimensional materials. Such deposition techniques include chemical vapor deposition (CVD), mechanical fracture (exfoliation), molecular beam epitaxy (MBE), atomic layer deposition (ALD), liquid phase exfoliation, and other deposition techniques. A challenge with many of these deposition techniques has been the high temperatures required for the process to work efficiently (at high speed and quality). High temperatures can degrade or damage previously deposited layers and/or limit the extent to which previously deposited layers can be used. The previously deposited layer must be formed such that it can withstand high temperatures to an acceptable level, eg, by making the melting point higher than that reached during the deposition process.

在基於剝落之方法中,可離線生長二維材料而不限制溫度,但難以執行具有高輸送量及低缺陷度之剝落及傳送程序。In exfoliation-based methods, two-dimensional materials can be grown offline without limiting temperature, but it is difficult to perform exfoliation and transfer procedures with high throughput and low defectivity.

圖案化二維材料歸因於其易碎性質而呈現其他挑戰。二維材料可極易受損或分層。二維材料可例如因諸如抗蝕劑塗佈、微影、蝕刻及抗蝕劑剝離之傳統圖案化程序而受損。用於DUV及EUV微影之典型光致抗蝕劑亦可能由於為親水性的而二維材料為疏水性的而與二維材料不相容。即使在處理期間非常小心,此物理不相容性亦會導致抗蝕劑殘留物不必要地黏附在結構上,並降低結構與其他層之間的接觸品質。Patterning 2D materials presents additional challenges due to their brittle nature. 2D materials can be extremely fragile or delaminated. Two-dimensional materials can be damaged, for example, by conventional patterning procedures such as resist coating, lithography, etching, and resist stripping. Typical photoresists for DUV and EUV lithography may also be incompatible with 2D materials because they are hydrophilic and 2D materials are hydrophobic. Even with great care during processing, this physical incompatibility can cause resist residues to stick unnecessarily to the structure and degrade the quality of the contact between the structure and other layers.

已提出雷射蝕刻來圖案化二維材料。雷射蝕刻使用雷射局部加熱表面以逐漸熔融材料及藉由蒸發移除材料。雷射處理技術依賴於逐點掃描,此相對於一些替代方法降低良率。Laser etching has been proposed to pattern two-dimensional materials. Laser etching uses a laser to locally heat a surface to gradually melt and remove material by evaporation. Laser processing techniques rely on point-by-point scanning, which reduces yield relative to some alternative methods.

本發明之一目標為提供用於形成圖案化層之替代或改良之方法。It is an object of the present invention to provide alternative or improved methods for forming patterned layers.

根據一態樣,提供一種形成圖案化之材料層之方法,其包含:提供具有分層結構之基板,該分層結構包含基礎層、支撐層,及該基礎層與該支撐層之間的絕熱層,其中:該絕熱層具有比該支撐層低之熱導率;該支撐層包含在該支撐層之平面內彼此熱絕緣之複數個子單元;且該方法包含在圖案形成程序期間選擇性地輻照該支撐層之選定部分,該輻照係關於在該選定部分中局部地驅動該圖案形成程序且藉此形成其圖案由該選定部分界定之材料層。According to one aspect, there is provided a method of forming a patterned material layer, comprising: providing a substrate having a layered structure including a base layer, a support layer, and thermal insulation between the base layer and the support layer layer, wherein: the thermally insulating layer has a lower thermal conductivity than the support layer; the support layer includes a plurality of subunits thermally insulated from each other in the plane of the support layer; and the method includes selectively radiating radiation during a patterning process A selected portion of the support layer is irradiated with respect to locally driving the patterning process in the selected portion and thereby forming a layer of material whose pattern is defined by the selected portion.

因此,提供一種可在不使用抗蝕劑的情況下形成圖案化材料層的方法。此方法提供靈活性及處理效率。該方法尤其適合於用於電子裝置之二維材料之處理(例如,沈積、蝕刻或改質),其可能在替代的基於抗蝕劑之處理期間易受損。該方法亦允許在原位對二維材料進行圖案化(例如,生長),這降低了與在不同位置之間(例如,在基於剝落的替代方案期間)傳送二維材料相關聯的損壞風險及處理複雜度。絕熱層與分段成熱絕緣子單元之支撐層之組合會降低來自選擇性輻照之熱遠離支撐層之耗散速率。該組合因此增加與選擇性輻照相關聯之局部溫度升高。提高之局部溫度提高圖案化速率(例如,生長速率、蝕刻速率或改質速率)及由圖案形成程序形成(例如,藉由沈積程序沈積、藉由蝕刻程序蝕刻,或藉由材料改質程序改質)之材料之結晶品質。局部溫度提高性質降低或避免對底層之損壞之風險。增大圖案化(例如,沈積、蝕刻或改質)速度增大產出量。Accordingly, a method is provided that can form a patterned material layer without using a resist. This method provides flexibility and processing efficiency. The method is particularly suitable for processing (eg, deposition, etching, or modification) of two-dimensional materials for electronic devices, which may be susceptible to damage during alternative resist-based processing. The method also allows for in situ patterning (eg, growth) of 2D materials, which reduces the risk of damage associated with transporting 2D materials between different locations (eg, during exfoliation-based alternatives) and Handling complexity. The combination of the insulating layer and the support layer segmented into thermal insulator units reduces the rate at which heat from selective irradiation is dissipated away from the support layer. This combination thus increases the local temperature increase associated with selective irradiation. Increased local temperature increases the rate of patterning (eg, growth rate, etch rate, or modification rate) and formation by patterning procedures (eg, deposition by deposition procedures, etching by etching procedures, or modification by material modification procedures). quality) of the crystalline quality of the material. The localized temperature elevation properties reduce or avoid the risk of damage to the substrate. Increasing the patterning (eg, deposition, etch, or modification) speed increases throughput.

在一些實施例中,該絕熱層包含包含二維材料層之多層堆疊。該絕熱層中之至少兩個鄰近二維材料層相對於彼此可具有不同組成。提供具有與周圍層不同之組成之二維材料提供減少聲子傳輸之晶格及/或質量密度失配,由此改良支撐層中之熱保持。In some embodiments, the insulating layer comprises a multi-layer stack comprising layers of two-dimensional material. At least two adjacent two-dimensional material layers of the insulating layers may have different compositions relative to each other. Providing a two-dimensional material with a different composition than the surrounding layer provides lattice and/or mass density mismatch that reduces phonon transport, thereby improving thermal retention in the support layer.

在一些實施例中,以與由經輻照選定部分界定之圖案空間對齊之子單元圖案提供子單元。每一子單元接著可表示熱隔離結構,其可藉由選擇性輻照與其他子單元分離地處理。舉例而言,沈積材料之該圖案可包含沈積材料之複數個隔離部分,每一隔離部分提供於子單元中之一不同者上。舉例而言,沈積材料之隔離部分可形成電子裝置之通道,諸如2D-FET之通道。替代地或另外,沈積材料之隔離部分可形成包含溝槽中沈積之二維材料之互連件。In some embodiments, the subunits are provided in a subunit pattern that is spatially aligned with the pattern defined by the irradiated selected portion. Each subunit can then represent a thermal isolation structure, which can be treated separately from the other subunits by selective irradiation. For example, the pattern of deposited material may include a plurality of isolated portions of deposited material, each isolated portion being provided on a different one of the subunits. For example, isolating portions of deposited material can form channels for electronic devices, such as channels for 2D-FETs. Alternatively or additionally, the isolated portions of deposited material may form interconnects comprising two-dimensional material deposited in the trenches.

在一些實施例中,該方法進一步包含在該選定部分之選擇性輻照期間施加補充輻照以提高該支撐層之溫度。該補充輻照可具有相較於選擇性輻照較不精細之空間解析度。該補充輻照可包含泛溢曝光。該補充輻照可升高該支撐層之總平均溫度,使得需要藉由選擇性輻照實現之溫度提高不會如同將以其他方式實現之溫度提高一樣高。此外,該補充輻照可降低該選定部分與周圍區域之間的溫度差,由此降低遠離該選定部分之熱傳導之速率。In some embodiments, the method further includes applying supplemental radiation to increase the temperature of the support layer during the selective irradiation of the selected portion. The supplemental irradiation may have a less refined spatial resolution than the selective irradiation. The supplemental irradiation may comprise flood exposure. The supplemental irradiation can raise the overall average temperature of the support layer so that the temperature increase that needs to be achieved by selective irradiation is not as high as would be achieved otherwise. Furthermore, the supplemental irradiation can reduce the temperature difference between the selected portion and the surrounding area, thereby reducing the rate of heat conduction away from the selected portion.

微影設備為經建構以將所要之圖案施加至基板上之機器。微影設備可用於例如積體電路(IC)之製造中。舉例而言,微影設備可將圖案化裝置(例如,遮罩)處之圖案投影於設置於基板上之輻射敏感材料(抗蝕劑)層上。A lithography apparatus is a machine constructed to apply a desired pattern onto a substrate. Lithographic equipment can be used, for example, in the manufacture of integrated circuits (ICs). For example, a lithography apparatus can project a pattern at a patterning device (eg, a mask) onto a layer of radiation-sensitive material (resist) disposed on a substrate.

為了將圖案投影於基板上,微影設備可使用電磁輻射。此輻射之波長判定圖案化於基板上之特徵的最小大小。當前在使用中之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。與使用例如具有193 nm之波長之輻射的微影設備相比,使用具有小於100 nm、視情況在5 nm至100 nm之範圍內、視情況在4 nm至20 nm之範圍內(例如,6.7 nm或13.5 nm)的波長之極紫外線(EUV)輻射之微影設備可用於在基板上形成較小特徵。In order to project the pattern on the substrate, a lithography apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of the features patterned on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. Compared to the use of lithography equipment with radiation having a wavelength of, for example, 193 nm, the use of radiation with A lithography apparatus using extreme ultraviolet (EUV) radiation at wavelengths of 13.5 nm or 13.5 nm can be used to form smaller features on a substrate.

在本發明文件中,除非另外陳述,否則術語「輻射」及「光束」用於涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有365、248、193、157或126 nm之波長)及極紫外線輻射(EUV,例如具有在約5至100 nm之範圍內的波長),以及電子束輻射。In this document, unless stated otherwise, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (eg, having a wavelength of 365, 248, 193, 157 or 126 nm) and polar Ultraviolet radiation (EUV, eg, having wavelengths in the range of about 5 to 100 nm), and electron beam radiation.

圖1示意性地描繪微影設備LA。微影設備LA包括:照射系統(亦稱為照射器) IL,其經組態以調節輻射光束B (例如,UV輻射、DUV輻射或EUV輻射);遮罩支撐件(例如,遮罩台) MT,其經建構以支撐圖案化裝置(例如,遮罩) MA且連接至經組態以根據某些參數來準確地定位該圖案化裝置MA之第一定位器PM;基板支撐件(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W且連接至經組態以根據某些參數來準確地定位該基板支撐件之第二定位器PW;及投影系統(例如,折射投影透鏡系統) PS,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。Figure 1 schematically depicts a lithography apparatus LA. The lithography apparatus LA includes: an illumination system (also called an illuminator) IL configured to condition a radiation beam B (eg, UV radiation, DUV radiation, or EUV radiation); a mask support (eg, a mask stage) MT constructed to support a patterning device (eg, a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA according to certain parameters; a substrate support (eg, a wafer table) WT constructed to hold a substrate (eg, a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support according to certain parameters; and a projection system (eg, a refractive projection lens system) PS configured to project the pattern imparted to the radiation beam B by the patterning device MA onto a target portion C (eg, comprising one or more dies) of the substrate W .

在操作中,照射系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照射系統IL可包括用於引導、塑形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照射器IL可用以調節輻射光束B,以在圖案化裝置MA之平面處在其橫截面中具有所要空間及角強度分佈。In operation, the illumination system IL receives a radiation beam from the radiation source SO, eg via the beam delivery system BD. The illumination system IL may include various types of optical components for directing, shaping, and/or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof. The illuminator IL can be used to condition the radiation beam B to have the desired spatial and angular intensity distribution in its cross-section at the plane of the patterning device MA.

本文中所使用之術語「投影系統」PS應被廣泛地解釋為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般之術語「投影系統」PS同義。The term "projection system" PS as used herein should be construed broadly to encompass various types of projection systems suitable for the exposure radiation used and/or for other factors such as the use of immersion liquids or the use of vacuum, including Refractive, reflective, catadioptric, synthetic, magnetic, electromagnetic and/or electrostatic optical systems or any combination thereof. Any use of the term "projection lens" herein may be considered synonymous with the more general term "projection system" PS.

微影設備LA可屬於一種類型,其中基板之至少一部分可由具有相對高折射率之例如水之液體覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸潤微影。在以引用方式併入本文中之US6952253中給出關於浸潤技術之更多資訊。The lithography apparatus LA may be of a type in which at least a part of the substrate may be covered by a liquid with a relatively high refractive index, such as water, in order to fill the space between the projection system PS and the substrate W - this is also known as immersion lithography. More information on infiltration techniques is given in US6952253, which is incorporated herein by reference.

微影設備LA亦可屬於具有兩個或更多個基板支撐件WT (又名「雙級」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。The lithography apparatus LA may also be of the type with two or more substrate supports WT (aka "dual stage"). In this "multi-stage" machine, the substrate supports WT can be used in parallel, and/or the steps of preparing the substrate W for subsequent exposure of the substrate W on one of the substrate supports WT can be performed while the The other substrate W on the other substrate support WT is used for exposing a pattern on the other substrate W.

除了基板支撐件WT以外,微影設備LA亦可包含一量測級。量測級經配置以固持感測器及/或清潔裝置。感測器可經配置以量測投影系統PS之屬性或輻射光束B之屬性。量測載物台可固持多個感測器。清潔裝置可經配置以清潔微影設備之部分,例如,投影系統PS之部分或提供浸潤液體之系統之部分。量測載物台可在基板支撐器WT遠離投影系統PS時在投影系統PS之下移動。In addition to the substrate support WT, the lithography apparatus LA may also include a metrology stage. The measurement stage is configured to hold the sensor and/or the cleaning device. The sensors may be configured to measure properties of the projection system PS or properties of the radiation beam B. The measurement stage can hold multiple sensors. The cleaning device may be configured to clean parts of the lithography apparatus, eg, part of the projection system PS or part of the system that provides the immersion liquid. The metrology stage can be moved under the projection system PS when the substrate holder WT is away from the projection system PS.

在操作中,輻射光束B入射於固持於遮罩支撐件MT上之圖案化裝置,例如遮罩MA上,且由圖案化裝置MA上存在之圖案(設計佈局)圖案化。在已橫穿遮罩MA的情況下,輻射光束B傳遞通過投影系統PS,該投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,可準確地移動基板支撐件WT,例如以便在聚焦且對準之位置處在輻射光束B之路徑中定位不同目標部分C。類似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化裝置MA。可使用遮罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA與基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但其可位於目標部分之間的空間中。在基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記稱為切割道對準標記。In operation, the radiation beam B is incident on a patterning device held on the mask support MT, such as the mask MA, and is patterned by the pattern (design layout) present on the patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto the target portion C of the substrate W. By means of the second positioner PW and the position measurement system IF, the substrate support WT can be moved accurately, eg in order to position the different target parts C in the path of the radiation beam B at the focused and aligned position. Similarly, a first positioner PM and possibly another position sensor (which is not explicitly depicted in FIG. 1 ) can be used to accurately position the patterning device MA relative to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks P1, P2 as illustrated occupy dedicated target portions, they may be located in the spaces between the target portions. When the substrate alignment marks P1, P2 are located between the target portions C, these substrate alignment marks are called scribe lane alignment marks.

圖2展示包含輻射源SO及微影設備LA之微影系統。輻射源SO經組態以產生EUV輻射光束B及將EUV輻射光束B供應至微影設備LA。微影設備LA包含照射系統IL、經組態以支撐圖案化裝置MA (例如,遮罩)之支撐結構MT、投影系統PS,及經組態以支撐基板W之基板台WT。Figure 2 shows a lithography system comprising a radiation source SO and a lithography apparatus LA. The radiation source SO is configured to generate the EUV radiation beam B and supply the EUV radiation beam B to the lithography apparatus LA. Lithography apparatus LA includes illumination system IL, support structure MT configured to support patterning device MA (eg, a mask), projection system PS, and substrate table WT configured to support substrate W.

照射系統IL經組態以在EUV輻射光束B入射於圖案化裝置MA上之前調節EUV輻射光束B。另外,照射系統IL可包括琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11。琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11共同提供具有所要橫截面形狀及所要強度分佈之EUV輻射光束B。作為琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11之補充或替代,照射系統IL亦可包括其他鏡面或裝置。The illumination system IL is configured to condition the EUV radiation beam B before it is incident on the patterning device MA. Additionally, the illumination system IL may include a faceted field mirror device 10 and a faceted pupil mirror device 11 . The faceted field mirror device 10 and the faceted pupil mirror device 11 together provide a beam B of EUV radiation having a desired cross-sectional shape and a desired intensity distribution. In addition to or instead of the faceted field mirror device 10 and the faceted pupil mirror device 11, the illumination system IL may also include other mirrors or devices.

在如此調節之後,EUV輻射光束B與圖案化裝置MA相互作用。由於此相互作用,產生經圖案化EUV輻射光束B'。投影系統PS經組態以將經圖案化EUV輻射光束B'投影至基板W上。出於彼目的,投影系統PS可包含經組態以將經圖案化EUV輻射光束B'投影至由基板台WT固持之基板W上的複數個鏡面13、14。投影系統PS可將縮減因數應用於經圖案化EUV輻射光束B',因此形成具有小於圖案化裝置MA上之對應特徵之特徵的影像。舉例而言,可應用縮減因數4或8。儘管投影系統PS在圖2中被說明為僅具有兩個鏡面13、14,但投影系統PS可包括不同數目個鏡面(例如,六個或八個鏡面)。After so conditioning, the EUV radiation beam B interacts with the patterning device MA. Due to this interaction, a patterned EUV radiation beam B' is produced. Projection system PS is configured to project patterned EUV radiation beam B' onto substrate W. For that purpose, the projection system PS may comprise a plurality of mirrors 13, 14 configured to project the patterned EUV radiation beam B' onto the substrate W held by the substrate table WT. Projection system PS may apply a reduction factor to patterned EUV radiation beam B', thus forming an image with features smaller than corresponding features on patterning device MA. For example, a reduction factor of 4 or 8 may be applied. Although projection system PS is illustrated in Figure 2 as having only two mirrors 13, 14, projection system PS may include a different number of mirrors (eg, six or eight mirrors).

基板W可包括先前形成之圖案。在此情況下,微影設備LA使由經圖案化EUV輻射光束B'形成之影像與先前形成於基板W上之圖案對準。The substrate W may include previously formed patterns. In this case, the lithography apparatus LA aligns the image formed by the patterned EUV radiation beam B' with the pattern previously formed on the substrate W.

可在輻射源SO中、在照射系統IL中,及/或在投影系統PS中提供相對真空,亦即在遠低於大氣壓之壓力下之少量氣體(例如,氫氣)。A relative vacuum, ie a small amount of gas (eg, hydrogen) at a pressure well below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL, and/or in the projection system PS.

輻射源SO可為雷射產生電漿(laser produced plasma;LPP)源、放電產生電漿(discharge produced plasma;DPP)源、自由電子雷射(free electron laser;FEL)或能夠產生EUV輻射之任何其他輻射源。The radiation source SO can be a laser produced plasma (LPP) source, a discharge produced plasma (DPP) source, a free electron laser (FEL) or any other source capable of producing EUV radiation other radiation sources.

如描述之引言部分中所提及,儘管關注在半導體製造程序中使用二維材料,但在達成足夠高的結晶品質及/或產出量及/或低缺陷度方面存在挑戰。諸如CVD及ALD之沈積程序需要高溫,其可損壞底層。舉例而言,用於製造二維結晶之高品質單層之典型CVD程序可能需要高於800℃之溫度,而高於500℃之溫度通常與後段製程CMOS技術不相容。舉例而言,用於Si FinFet (鰭型場效電晶體)之熱預算對於前段製程(FEOL)小於1050℃且對於後段製程(BEOL)小於400℃。對於2D-FET (基於二維材料之場效電晶體),此預算低得多(對於FEOL及BEOL兩者通常為450℃至500℃)。基於剝落之程序避免此等熱約束,此係因為可在分離位置處執行二維材料之沈積,但傳送程序係複雜的且難以避免高缺陷度。諸如抗蝕劑塗佈、微影、蝕刻及抗蝕劑剝離之傳統圖案化程序亦存在問題,因為其可能損壞二維材料。此外,習知微影技術表示對圖案化2D材料層之挑戰。歸因於此等材料之性質,所得結構在微影(DUV、EUV、EBL)繼之以(乾式、濕式)蝕刻步驟之後受到污染及/或受到粗糙邊緣損壞。與其他層,例如源極電極及汲極電極之接觸並非最佳的,從而產生比提供乾淨及尖銳界面之情況下大約3.5倍的肖特基(Schottky)障壁。As mentioned in the introductory portion of the description, despite the focus on using two-dimensional materials in semiconductor fabrication processes, challenges exist in achieving sufficiently high crystalline quality and/or yield and/or low defectivity. Deposition procedures such as CVD and ALD require high temperatures, which can damage the underlying layers. For example, typical CVD procedures used to fabricate high-quality monolayers of two-dimensional crystals may require temperatures above 800°C, and temperatures above 500°C are generally incompatible with back-end CMOS technology. For example, the thermal budget for Si FinFet (Fin Field Effect Transistor) is less than 1050°C for front end of line (FEOL) and less than 400°C for back end of line (BEOL). For 2D-FETs (field effect transistors based on 2D materials), this budget is much lower (typically 450°C to 500°C for both FEOL and BEOL). The exfoliation-based procedure avoids these thermal constraints because the deposition of two-dimensional material can be performed at separate locations, but the transfer procedure is complex and high defectivity is unavoidable. Traditional patterning procedures such as resist coating, lithography, etching, and resist stripping are also problematic because they can damage the two-dimensional material. Furthermore, conventional lithography techniques represent challenges for patterning 2D material layers. Due to the properties of these materials, the resulting structures are contaminated and/or damaged by rough edges after lithography (DUV, EUV, EBL) followed by (dry, wet) etching steps. Contacts to other layers, such as source and drain electrodes, are not optimal, resulting in approximately 3.5 times more Schottky barriers than would provide a clean and sharp interface.

使用EUV誘發沈積之替代方法可在無任何抗蝕劑處理的情況下直接形成二維材料之圖案。此類沈積之實例描述於WO2019166318、WO2020207759中及歐洲專利申請案20160615.9中,該等專利申請案全部特此以全文引用之方式併入。然而,在EUV誘發沈積之情況下,可難以達成二維材料之足夠高的生長速率。EUV劑量(藉由EUV輻射之每單位面積的所沈積能量之量)實務上被約束為低於預定EUV劑量限度以避免對經輻照之表面及/或底層之損壞。舉例而言,典型EUV劑量限度可為100 mJ/cm 2。參考圖3,可計算對應於EUV劑量限度之最大EUV曝光時間。圖3描繪EUV投影設備之EUV曝光區域72 (狹縫)在基板W上方之路徑74,以形成經圖案化場70。以下實例組態達成20 mJ/cm 2之EUV劑量:狹縫速度= 330 mm/s;狹縫尺寸= 26 mm×3 mm;場尺寸= 26 mm×33 mm;每一場之曝光時間= (33 + 3)/330;每一圖案之曝光時間=大致9 ms。對應於100 mJ/cm 2之EUV劑量限度的每圖案之最大曝光時間因此將為大致45 ms。 An alternative method using EUV-induced deposition allows direct patterning of two-dimensional materials without any resist processing. Examples of such depositions are described in WO2019166318, WO2020207759 and in European patent application 20160615.9, all of which are hereby incorporated by reference in their entirety. However, with EUV-induced deposition, it can be difficult to achieve sufficiently high growth rates for two-dimensional materials. EUV dose (the amount of deposited energy per unit area by EUV radiation) is practically constrained to be below a predetermined EUV dose limit to avoid damage to irradiated surfaces and/or substrates. For example, a typical EUV dose limit may be 100 mJ/cm 2 . Referring to Figure 3, the maximum EUV exposure time corresponding to the EUV dose limit can be calculated. FIG. 3 depicts the path 74 of the EUV exposure area 72 (slit) of the EUV projection apparatus over the substrate W to form the patterned field 70 . The following example configuration achieves an EUV dose of 20 mJ/ cm2 : Slit speed = 330 mm/s; Slit size = 26 mm x 3 mm; Field size = 26 mm x 33 mm; Exposure time per field = (33 + 3)/330; exposure time per pattern = approximately 9 ms. The maximum exposure time per pattern corresponding to an EUV dose limit of 100 mJ/cm 2 would therefore be approximately 45 ms.

圖4為展示基板上二維材料之側向生長速率與基板之溫度之間的預期指數關係的曲線圖。圖5為展示10 nm之側向生長之時間相對於基板溫度之線性變化的曲線圖。圖4及圖5中之資料點源自Zhengwei Zhang、Peng Chen、Xiangdong Yang、Yuan Liu、Huifang Ma、Jia Li、Bei Zhao、Jun Luo、Xidong Duan、Xiangfeng Duan,單層WS 2及WSe 2之較大單晶之超快生長,《國家科學評論》,第7卷,第4期,2020年4月,第737至744頁。圖5中之虛線指示,為了在45 ms之可用曝光時間期間達成10 nm之側向生長,基板溫度需要為至少500℃。然而,對於100 nm 2面積(對應於10 nm側向生長)上之EUV曝光,在100 mJ/cm 2之劑量下,預期30 nm In 2O 3基板上之對應溫度升高 T rise 僅為約

Figure 02_image001
K:
Figure 02_image003
4 is a graph showing the expected exponential relationship between the lateral growth rate of a two-dimensional material on a substrate and the temperature of the substrate. Figure 5 is a graph showing a linear change in time for lateral growth of 10 nm versus substrate temperature. The data points in Figures 4 and 5 are derived from Zhengwei Zhang, Peng Chen, Xiangdong Yang, Yuan Liu, Huifang Ma, Jia Li, Bei Zhao, Jun Luo, Xidong Duan, Xiangfeng Duan, and the comparison of single-layer WS 2 and WSe 2 Ultrafast Growth of Large Single Crystals, National Science Review, Vol. 7, No. 4, April 2020, pp. 737-744. The dashed line in Figure 5 indicates that to achieve 10 nm lateral growth during the available exposure time of 45 ms, the substrate temperature needs to be at least 500°C. However, for EUV exposure over a 100 nm area (corresponding to 10 nm lateral growth), at a dose of 100 mJ /cm, the expected corresponding temperature rise T rise on a 30 nm In 2 O 3 substrate is only about approx.
Figure 02_image001
K:
Figure 02_image003

本發明之實施例提供形成圖案化材料層的方法,該等方法使用在基板之結構上之調適以更好地保持來自輻照之能量,從而導致諸如沈積程序、蝕刻程序或材料改質程序之相關聯圖案形成程序的較大溫度升高及提高速率。Embodiments of the present invention provide methods of forming patterned layers of material that use structural adaptations on substrates to better retain energy from irradiation, resulting in processes such as deposition, etching, or material modification. Larger temperature rise and rate of increase associated with patterning procedures.

方法可施加至具有分層結構之基板W,如圖6中所例示。圖6僅描繪基板W之一部分且為了清楚起見已經誇示尺寸。分層結構包含一個配置於另一個頂部上之複數個層。分層結構可被稱為堆疊。該等層中之每一者可為連續的或經圖案化。在一實施例中,分層結構包含基礎層80、支撐層84及絕熱層82。絕熱層82係在基礎層80與支撐層84之間。絕熱層82可或可不與基礎層80接觸及/或絕熱層82可或可不與支撐層84接觸。絕熱層82具有比支撐層84低的熱導率(例如,在絕熱層82之體積上平均化)。絕熱層82可另外具有比基礎層80低的熱導率(及/或在具有其他層之實施例中,比支撐層84下方之更多其他層中之一者低的熱導率)。因此,絕熱層82減緩熱流自支撐層84至支撐層84下方之層中的速率。舉例而言,基礎層80可包含矽基板(矽晶圓)。支撐層84可包含任何材料,需要在上面形成二維材料以作為(例如,諸如2D-FET之電子裝置之)製造程序中之步驟。支撐層84可包含以下各項中之一或多者:Al 2O 3;SiO 2;HfO 2;Sn;SnO 2;In 2O 3;氧化銦錫(ITO)。支撐層84可尤其較佳地包含Sn;SnO 2;In 2O 3;ITO中之一或多者。 The method can be applied to a substrate W having a layered structure, as illustrated in FIG. 6 . FIG. 6 depicts only a portion of the substrate W and the dimensions have been exaggerated for clarity. A layered structure consists of a plurality of layers arranged one on top of another. Hierarchical structures may be referred to as stacks. Each of these layers may be continuous or patterned. In one embodiment, the layered structure includes a base layer 80 , a support layer 84 and an insulating layer 82 . The insulating layer 82 is tied between the base layer 80 and the support layer 84 . The insulating layer 82 may or may not be in contact with the base layer 80 and/or the insulating layer 82 may or may not be in contact with the support layer 84 . The insulating layer 82 has a lower thermal conductivity than the support layer 84 (eg, averaged over the volume of the insulating layer 82). The insulating layer 82 may additionally have a lower thermal conductivity than the base layer 80 (and/or, in embodiments with other layers, a lower thermal conductivity than one of the more other layers below the support layer 84). Thus, the insulating layer 82 slows the rate of heat flow from the support layer 84 into the layers below the support layer 84 . For example, the base layer 80 may include a silicon substrate (silicon wafer). The support layer 84 may comprise any material on which a two-dimensional material needs to be formed as a step in a fabrication process (eg, in electronic devices such as 2D-FETs). Support layer 84 may include one or more of the following: Al 2 O 3 ; SiO 2 ; HfO 2 ; Sn; SnO 2 ; In 2 O 3 ; indium tin oxide (ITO). Support layer 84 may especially preferably comprise one or more of Sn; SnO 2 ; In 2 O 3 ; ITO.

絕熱層82可包含由具有低熱導率之材料形成的均質層。由均質層提供之熱阻取決於層之厚度。增加層之厚度將增加熱阻。在一些實施例中,絕熱層82包含包含二維材料層之多層堆疊。至少兩個鄰近二維材料層相對於彼此可具有不同組成。不同組成提供晶格及/或質量密度失配,其減少聲子傳輸且因此降低熱導率。已在使用此類多層堆疊之文獻中報導低至

Figure 02_image005
之熱導率。由於絕熱層82可將底部閘極介電質與裝置層94分離,因此較薄的絕熱層82亦可藉由裝置上方之閘極促進有效靜電控制。個別層之厚度在3D整合中亦可為重要的,其中電晶體之若干層經豎直地堆疊。在一些實施例中,二維材料層包含以下各項之一或多個層:石墨烯;WSe 2;MoS 2;α-硒烯;α-碲烯。此等二維材料可相對直接地沈積,此係因為其不需要以經圖案化形式提供。該等層可以均一層均一地沈積。此外,此等二維材料層無需無缺陷,且可使用習知(低溫) CVD/ALD技術進行沈積。超低熱導率可使用非均質材料之堆疊獲得以妨礙垂直於界面之熱流。然而,當封裝在與聲子波長相當之距離內時,此等界面經耦合且因此對於散射低能聲子係無效的,此係歸因於跨越緊密封裝界面之相干聲子傳輸或低能聲子與界面之弱耦合。遵循Zheng等人之研究(經由石墨烯中低能量模式之稀缺,石墨烯/金屬異質結構之超低熱導率及熱擴散率;Weidong Zheng、Bin Huang及Yee Kan Koh;ACS應用材料與界面,2020 12(8),9572-9579;DOI:10.1021/acsami.9b18290),使用傳送石墨烯及超薄金屬薄膜之石墨烯/金屬異質結構,可藉由可用低能聲子模式之週期性分佈稀缺而阻止此等低能聲子之傳播。 The insulating layer 82 may comprise a homogeneous layer formed of a material having low thermal conductivity. The thermal resistance provided by the homogeneous layer depends on the thickness of the layer. Increasing the thickness of the layers will increase the thermal resistance. In some embodiments, the insulating layer 82 comprises a multi-layer stack comprising layers of two-dimensional material. At least two adjacent two-dimensional material layers may have different compositions relative to each other. Different compositions provide lattice and/or mass density mismatches that reduce phonon transport and thus thermal conductivity. As low as
Figure 02_image005
the thermal conductivity. Since the insulating layer 82 can separate the bottom gate dielectric from the device layer 94, the thinner insulating layer 82 can also facilitate effective electrostatic control with the gate above the device. The thickness of individual layers can also be important in 3D integration, where several layers of transistors are stacked vertically. In some embodiments, the two-dimensional material layer comprises one or more layers of: graphene; WSe 2 ; MoS 2 ; alpha-selenene; alpha-telluene. These two-dimensional materials can be deposited relatively directly because they do not need to be provided in patterned form. The layers may be deposited uniformly in one layer. Furthermore, these two-dimensional material layers need not be defect free and can be deposited using conventional (low temperature) CVD/ALD techniques. Ultra-low thermal conductivity can be achieved using stacks of heterogeneous materials to impede heat flow perpendicular to the interface. However, when encapsulated within a distance comparable to the phonon wavelength, these interfaces are coupled and thus ineffective for scattering low-energy phonon systems due to coherent phonon transport across tightly packed interfaces or low-energy phonons and Weak coupling of interfaces. Following the study by Zheng et al. (Ultra-low thermal conductivity and thermal diffusivity of graphene/metal heterostructures via the scarcity of low-energy modes in graphene; Weidong Zheng, Bin Huang, and Yee Kan Koh; ACS Applied Materials and Interfaces, 2020 12(8), 9572-9579; DOI: 10.1021/acsami.9b18290), Graphene/metal heterostructures using transport graphene and ultrathin metal films, prevented by the scarcity of periodic distributions of available low-energy phonon modes The propagation of these low-energy phonons.

支撐層84可經調適以限制來自輻照之能量之側向擴散。經調適支撐層84與絕熱層82之組合因此減緩熱向下穿過支撐層84下方之層之損耗及在支撐層84自身內之側向損耗。在一些實施例中,支撐層84經組態以包含複數個子單元85。每一子單元85在支撐層84之平面內與每一其他子單元85熱絕緣(亦即,與支撐層84之主平面熱絕緣,該主平面將為水平的且在圖6之定向上自頁面豎直地延伸)。相鄰子單元85之間的熱阻因此高於無熱絕緣體86情況下的情況(例如,在支撐層為均一未經圖案化層的情況下)。子單元85之間的熱絕緣可在涉及以界定子單元85之圖案切割先前均一未經圖案化層之製造程序中實現。The support layer 84 can be adapted to limit the lateral diffusion of energy from irradiation. The combination of the adapted support layer 84 and the insulating layer 82 thus slows the loss of heat down through the layers below the support layer 84 and the lateral loss within the support layer 84 itself. In some embodiments, support layer 84 is configured to include a plurality of subunits 85 . Each sub-unit 85 is thermally insulated from every other sub-unit 85 in the plane of the support layer 84 (ie, thermally insulated from the main plane of the support layer 84, which will be horizontal and self-directed in the orientation of FIG. 6 . the page extends vertically). The thermal resistance between adjacent subunits 85 is thus higher than without thermal insulator 86 (eg, if the support layer is a uniform unpatterned layer). Thermal isolation between subunits 85 can be achieved in a fabrication process that involves cutting a previously uniform unpatterned layer in a pattern that defines the subunits 85 .

作為原理之論證,分段成具有

Figure 02_image007
之尺寸之子單元85的由In 2O 3製成之支撐層84中之溫度升高 T rise 可大致如下計算(出於論證目的假定藉由底層絕熱層之完全絕緣): T rise
Figure 02_image009
T rise 為室溫下之溫度升高,因此支撐層84之實際溫度將為大致
Figure 02_image011
。可藉由選擇具有較低熱擴散率及/或較高(較精細)分段之支撐層84進一步增加溫度升高。實務上將發生穿過絕熱層82之一定熱洩漏,但此將不足以防止二維材料之生長速度的顯著改良。 As an argument for principle, subsections have
Figure 02_image007
The temperature rise T rise in the support layer 84 made of In 2 O 3 for the sub-unit 85 of size can be calculated approximately as follows (assuming complete insulation by the underlying insulating layer for demonstration purposes): T rise
Figure 02_image009
T rise is the temperature rise at room temperature, so the actual temperature of the support layer 84 will be approximately
Figure 02_image011
. The temperature increase can be further increased by selecting a support layer 84 with lower thermal diffusivity and/or higher (finer) segmentation. In practice some heat leakage through the insulating layer 82 will occur, but this will not be sufficient to prevent a significant improvement in the growth rate of the two-dimensional material.

可藉由對偏微分方程式進行求解來計算穿過絕熱層82之各種程度之熱洩漏的表面溫度

Figure 02_image013
其中穿過支撐層84之頂部表面的熱通量 q被給出為
Figure 02_image015
其中 z表示垂直於基板W之平面之豎直方向。對於
Figure 02_image017
之劑量及
Figure 02_image019
之曝光時間,已發現,分段支撐層84之表面溫度對於完全絕緣絕熱層82可升高至
Figure 02_image021
且對於50%絕緣可升高至
Figure 02_image023
。可因此達成在許多情境下支援高效輻射誘發沈積的溫度。 The surface temperature for various degrees of heat leakage through the insulating layer 82 can be calculated by solving partial differential equations
Figure 02_image013
where the heat flux q across the top surface of the support layer 84 is given as
Figure 02_image015
where z represents the vertical direction perpendicular to the plane of the substrate W. for
Figure 02_image017
dose and
Figure 02_image019
exposure time, it has been found that the surface temperature of the segmented support layer 84 can be increased to
Figure 02_image021
and for 50% insulation can be raised to
Figure 02_image023
. Temperatures that support efficient radiation-induced deposition in many scenarios can thus be achieved.

因此,可提供將輻射誘發沈積施加於上文參考圖6所描述之類型之基板的方法。在下文參考圖7及圖8描述例示性方法。此等方法例示在圖案形成程序期間輻照支撐層84以形成圖案化材料層30且圖案形成程序包含沈積程序之實施例。可實現對應方法,其中圖案形成程序包含蝕刻程序或材料改質程序,如下文參考圖27至圖29所描述。Accordingly, a method of applying radiation induced deposition to a substrate of the type described above with reference to FIG. 6 can be provided. Exemplary methods are described below with reference to FIGS. 7 and 8 . These methods illustrate embodiments in which support layer 84 is irradiated to form patterned material layer 30 during a patterning process and the patterning process includes a deposition process. Corresponding methods may be implemented in which the patterning procedure includes an etching procedure or a material modification procedure, as described below with reference to FIGS. 27-29 .

在圖案形成程序包含沈積程序之實施例中,在沈積程序期間輻照支撐層84以形成圖案化材料層30。如圖7中所描繪,該方法包含在沈積程序期間選擇性地輻照34支撐層84之選定部分32。輻照因此可僅施加於選定部分32中而不施加於支撐層84上之其他處。在一實施例中,圖案化層包含二維材料、基本上由二維材料組成或由二維材料組成。二維材料為與垂直於材料平面之方向相比,在材料平面內的側向方向上展示出顯著各向異性之材料。一類別之二維材料有時被稱作單層材料或單層,且可包含由單層原子或在彼此頂部上之小數目個單層原子組成之結晶材料。在一些實施例中,二維材料包含以下各項中之一或多者、基本上由以下各項中之一或多者組成或由以下各項中之一或多者組成:一或多個2D同素異形體,諸如石墨烯及銻烯;一或多種無機化合物,諸如MXene、六方氮化硼(hBN)及過渡金屬二硫屬化物(TMD) (MX 2類型之半導體,其在原子層面上可為薄的,其中字母M代表過渡金屬原子(例如,Mo或W)且字母X代表硫屬元素原子(例如,S、Se或Te)),例如WS 2,MoS 2,WSe 2,MoSe 2等。該二維材料可包含包夾於兩個X原子層之間的M原子層。該二維材料可包含適用作電晶體通道之任何半導體二維材料。如上文所提及,該二維材料可損害2D同素異形體,例如石墨烯或銻烯;或無機化合物。該二維材料可包含單層(或多個單層,若重複沈積程序)。在所展示之實施例中,沈積程序為原子層沈積程序。在其他實施例中,使用不同沈積程序或沈積程序之組合,包括例如獨立地或組合地使用以下各項中之一或多者:原子層沈積;化學氣相沈積;電漿增強型化學氣相沈積;磊晶;濺鍍;及電子束誘發沈積。圖案化材料層30之形成可構成形成待製造的裝置(諸如,半導體裝置)之至少一個層之方法中的步驟。舉例而言,該二維材料可形成FET之通道或互連件中之金屬帽蓋或擴散障壁。 In embodiments where the patterning process includes a deposition process, support layer 84 is irradiated to form patterned material layer 30 during the deposition process. As depicted in FIG. 7, the method includes selectively irradiating 34 selected portions 32 of the support layer 84 during the deposition process. Irradiation may thus be applied only in selected portions 32 and not elsewhere on support layer 84 . In one embodiment, the patterned layer comprises, consists essentially of, or consists of a two-dimensional material. Two-dimensional materials are materials that exhibit significant anisotropy in the lateral direction within the plane of the material compared to the direction perpendicular to the plane of the material. One class of two-dimensional materials is sometimes referred to as monolayer materials or monolayers, and can comprise crystalline materials consisting of a single layer of atoms or a small number of monolayer atoms on top of each other. In some embodiments, the two-dimensional material comprises, consists essentially of, or consists of one or more of: one or more 2D allotropes, such as graphene and antimonene; one or more inorganic compounds, such as MXene, hexagonal boron nitride (hBN), and transition metal dichalcogenides (TMD) (MX 2 type semiconductors, which are at the atomic level can be thin, where the letter M represents a transition metal atom (eg, Mo or W) and the letter X represents a chalcogen atom (eg, S, Se, or Te), such as WS 2 , MoS 2 , WSe 2 , MoSe 2 and so on. The two-dimensional material may comprise a layer of M atoms sandwiched between two layers of X atoms. The two-dimensional material may comprise any semiconductor two-dimensional material suitable for use as a transistor channel. As mentioned above, the two-dimensional material can damage 2D allotropes, such as graphene or antimonene; or inorganic compounds. The two-dimensional material may comprise a monolayer (or monolayers, if the deposition procedure is repeated). In the embodiment shown, the deposition process is an atomic layer deposition process. In other embodiments, different deposition procedures or combinations of deposition procedures are used, including, for example, the use of one or more of the following, independently or in combination: atomic layer deposition; chemical vapor deposition; plasma enhanced chemical vapor deposition deposition; epitaxy; sputtering; and electron beam induced deposition. The formation of patterned material layer 30 may constitute a step in a method of forming at least one layer of a device to be fabricated, such as a semiconductor device. For example, the two-dimensional material can form metal caps or diffusion barriers in the channels or interconnects of FETs.

在一實施例中,使用能夠局部地驅動沈積程序之輻射來執行輻照。在一實施例中,輻射包含能夠局部地驅動沈積程序之任何類型之EUV輻射(具有小於100 nm之波長)、基本上由其組成或由其組成。EUV輻射之使用提供高空間解析度。在一些其他實施例中,使用包含較高波長輻射之輻射、基本上由較高波長輻射組成或由較高波長輻射組成之輻射,視情況結合浸潤液體來執行輻照,如下文所描述。較高波長輻射可在100 nm至400 nm之範圍內(包括DUV輻射)。In one embodiment, the irradiation is performed using radiation capable of locally driving the deposition process. In one embodiment, the radiation comprises, consists essentially of, or consists of any type of EUV radiation (having a wavelength less than 100 nm) capable of locally driving the deposition process. The use of EUV radiation provides high spatial resolution. In some other embodiments, irradiation is performed using radiation comprising, consisting essentially of, or consisting of higher wavelength radiation, as appropriate, in conjunction with an infiltrating liquid, as described below. Higher wavelength radiation may be in the range of 100 nm to 400 nm (including DUV radiation).

輻照在選定部分32中局部地驅動沈積程序,且藉此使得以由選定部分32界定之圖案形成沈積材料層30 (參見圖8)。因此在無需任何抗蝕劑的情況下形成圖案。因此不需要移除抗蝕劑之處理,此降低了損壞圖案化材料層30或損壞任何易碎底層材料的風險。在抗蝕劑殘餘物可顯著地影響易碎底層材料之屬性及/或抗蝕劑之剝離可顯著地損壞易碎底層材料的情況下,此方法尤其合乎需要。易碎底層材料之實例包括極薄的膜塗層、諸如石墨烯或過渡金屬二硫屬化物(TMD)之2D材料及自支撐膜或薄膜。與傳統的基於微影之半導體製造程序相比,輻射正用以驅動沈積程序中所涉及之一或多個化學反應,而非正用以破壞或交聯抗蝕劑中之分子。Irradiation drives the deposition process locally in selected portions 32, and thereby causes layers of deposited material 30 to be formed in a pattern defined by selected portions 32 (see FIG. 8). The pattern is thus formed without any resist. A process to remove the resist is therefore not required, which reduces the risk of damaging the patterned material layer 30 or any fragile underlying material. This method is especially desirable where resist residue can significantly affect the properties of the fragile underlying material and/or where stripping of the resist can significantly damage the fragile underlying material. Examples of fragile underlying materials include extremely thin film coatings, 2D materials such as graphene or transition metal dichalcogenides (TMDs), and self-supporting films or thin films. In contrast to traditional lithography-based semiconductor fabrication processes, radiation is being used to drive one or more of the chemical reactions involved in the deposition process, rather than being used to destroy or cross-link molecules in the resist.

原子層沈積為已知的薄膜沈積技術,其中使至少兩種化學品(其可稱為前驅體材料)中之每一者以連續,自限性的方式與材料之表面反應。與化學氣相沈積相比,兩種前驅體材料通常並不同時存在於基板W上方。Atomic layer deposition is a known thin film deposition technique in which each of at least two chemicals, which may be referred to as precursor materials, are reacted with the surface of the material in a continuous, self-limiting manner. In contrast to chemical vapor deposition, the two precursor materials are generally not present over the substrate W at the same time.

在使用原子層沈積之至少一些實施例中,原子層沈積包含至少第一步驟及第二步驟。在第一步驟(其實例在圖7中描繪)中,使第一前驅體材料51與基板W之表面反應。在第二步驟(其實例在圖8中描繪)中,使第二前驅體材料52在於第一步驟中第一前驅體51與基板W反應的區域(在此實例中為選定部分32)中與基板W反應。In at least some embodiments using atomic layer deposition, atomic layer deposition includes at least a first step and a second step. In a first step, an example of which is depicted in FIG. 7 , a first precursor material 51 is reacted with the surface of the substrate W. In a second step (an example of which is depicted in FIG. 8 ), a second precursor material 52 is allowed to interact with the region (in this example, selected portion 32 ) in the region where the first precursor 51 reacted with the substrate W in the first step. The substrate W reacts.

圖9示意性地描繪用於執行該方法之設備60。設備60因此形成圖案化材料層。設備60包含輻照系統。輻照系統可包含微影設備LA。微影設備LA藉由將來自圖案化裝置MA之經圖案化輻射光束投影至基板W上來輻照選定部分32。微影設備LA可如上文參考圖1所描述予以組態(例如,當輻照包含DUV輻射及/或需要浸潤微影時)或如上文參考圖2所描述予以組態(例如,當輻照包含EUV輻射時)。Figure 9 schematically depicts an apparatus 60 for carrying out the method. Device 60 thus forms a layer of patterned material. Apparatus 60 contains an irradiation system. The irradiation system may comprise a lithography apparatus LA. The lithography apparatus LA irradiates the selected portion 32 by projecting the patterned radiation beam from the patterning device MA onto the substrate W. The lithography apparatus LA may be configured as described above with reference to FIG. 1 (eg, when the irradiation includes DUV radiation and/or requires immersion lithography) or as described above with reference to FIG. 2 (eg, when the irradiation when including EUV radiation).

在一實施例中,微影設備LA經組態以執行浸潤微影。在此實施例中,原子層沈積程序可包含在選定部分32與浸潤液體接觸時輻照選定部分32的步驟。因此,舉例而言,原子層沈積程序可包含:第一步驟,其包含將來自氣態前驅體材料之前驅體吸附至基板W;及第二步驟,其中藉由穿過浸潤液體輻照而將選定部分32中之所吸附前驅體改質(例如,以移除吸附程序之副產物)。藉由穿過浸潤液體輻照所產生之任何副產物可方便地由浸潤液體流帶走。在一實施例中,隨後使經輻照基板W乾燥且對該乾燥基板W執行任何其他所需處理。In one embodiment, the lithography apparatus LA is configured to perform immersion lithography. In this embodiment, the atomic layer deposition process may include the step of irradiating the selected portion 32 while it is in contact with the wetting liquid. Thus, for example, an atomic layer deposition process may comprise: a first step comprising adsorbing a precursor from a gaseous precursor material to the substrate W; and a second step wherein a selected The adsorbed precursor in section 32 is upgraded (eg, to remove by-products of the adsorption process). Any by-products generated by irradiation through the impregnating liquid are conveniently carried away by the impregnating liquid flow. In one embodiment, the irradiated substrate W is then dried and any other desired processing is performed on the dried substrate W.

在一實施例中,提供環境控制系統45。環境控制系統45允許以允許沈積程序繼續進行之方式控制基板W上方環境42之組成。在一實施例中,該環境控制系統45包含腔室36以提供包括基板W上之支撐層之選定部分32的密封環境42。在一些實施例中,基板W之全部在沈積程序(例如,原子沈積程序)期間將在該腔室36內。在一實施例中,提供材料交換系統38 (例如,進入腔室36之通口及相關聯閥及/或導管),其允許將材料添加至密封環境42及自密封環境42移除材料以允許在該密封環境42內建立不同的組成環境。可藉由流管理器44將材料提供至材料交換系統38及自材料交換系統38提供材料。流管理器44可包含儲集器、管道、閥、槽、泵、控制系統及/或提供進入及離開腔室36之所需材料流所必需的其他組件之任何合適組合。以此方式實現之不同的組成環境可對應於沈積程序之不同的各別階段。在一些實施例中,添加至腔室36及自腔室36移除之材料為氣態的,由此提供由氣體之不同組合組成的組成環境。在藉由穿過浸潤液體輻照基板W來執行沈積程序之一或多個步驟之實施例中,環境控制系統45可經組態以允許在將受控液體環境維持在基板W上方的狀態(例如,在浸潤微影模式下之曝光期間)與將受控氣態環境維持在基板W上方之狀態(例如,在自氣態前驅體材料吸附前驅體期間)之間進行切換。In one embodiment, an environmental control system 45 is provided. The environmental control system 45 allows the composition of the environment 42 over the substrate W to be controlled in a manner that allows the deposition process to proceed. In one embodiment, the environmental control system 45 includes a chamber 36 to provide a sealed environment 42 that includes selected portions 32 of the support layer on the substrate W. In some embodiments, the entirety of the substrate W will be within the chamber 36 during a deposition process (eg, an atomic deposition process). In one embodiment, a material exchange system 38 (eg, ports and associated valves and/or conduits into the chamber 36) is provided that allows material to be added to and removed from the sealed environment 42 to allow Within this sealed environment 42 different compositional environments are established. Materials may be provided to and from material exchange system 38 by flow manager 44 . Flow manager 44 may include any suitable combination of reservoirs, pipes, valves, tanks, pumps, control systems, and/or other components necessary to provide the desired flow of material into and out of chamber 36 . The different compositional environments achieved in this way may correspond to different individual stages of the deposition process. In some embodiments, the materials added to and removed from the chamber 36 are gaseous, thereby providing a compositional environment consisting of different combinations of gases. In embodiments in which one or more steps of the deposition process are performed by irradiating the substrate W through the immersion liquid, the environmental control system 45 may be configured to allow a controlled liquid environment to be maintained over the substrate W ( For example, switching between exposure in immersion lithography mode) and maintaining a controlled gaseous environment over substrate W (eg, during adsorption of precursors from gaseous precursor materials).

在一些實施例中,在選定部分32中驅動沈積程序包含驅動涉及前驅體材料之化學反應。將提供前驅體材料,作為在輻照期間建立於基板上方之組成環境之部分。驅動化學反應可引起化學反應以比不存在輻照的情況下更快的速率繼續進行。替代地,化學反應可為在不存在輻照的情況下根本不會發生之化學反應。在一實施例中,化學反應係吸熱的且輻照提供允許化學反應繼續進行所必需之能量。在一些實施例中,化學反應由藉由輻照而產生於基板W中之熱至少部分地驅動。因此,由輻照驅動之化學反應可包含需要高溫以繼續進行或在高溫下更快速地繼續進行之化學反應。在一些實施例中,化學反應包含由輻照驅動之光化學反應。因此,化學反應中所涉及之至少一種物質直接自輻照吸收光子,且光子之吸收允許化學反應繼續進行。在一些實施例中,光化學反應包含多光子光化學反應,該多光子光化學反應涉及光化學反應中所涉及之至少一種物質中之每一者對兩個或更多個光子的吸收。與單光子光化學反應之情況相比,吸收兩個或更多個光子之要求使得化學反應對輻照強度之變化更敏感(亦即,化學反應之速率隨強度之變化而更強烈地變化)。對強度之提高之敏感度提供改良的側向對比度。在一實施例中,光化學反應與輻射誘發加熱之組合用於提供明確界定之程序窗,在該程序窗中局部地驅動化學反應以產生圖案。在一實施例中,藉由輻射與基板W、形成於基板W上之層及/或存在於基板上方之氣體之間的相互作用所產生之電漿來驅動化學反應。在一實施例中,所產生之電漿產生於由輻照界定之局域化區域中。在一實施例中,化學反應由藉由輻照提供之電子驅動。電子可包含光電子或二次電子(由光電子或來自電子束之電子之非彈性散射事件所產生的電子)。在一實施例中,由基板W吸收之光子可在基板W之表面附近提供參與沈積程序之高能電子。在使用電磁輻射及電子束之組合之實施例中,沈積程序之一部分可由來自電子束之電子驅動。In some embodiments, driving the deposition process in selected portions 32 includes driving chemical reactions involving precursor materials. Precursor materials will be provided as part of the constituent environment established over the substrate during irradiation. Driving a chemical reaction can cause the chemical reaction to proceed at a faster rate than in the absence of irradiation. Alternatively, the chemical reaction may be a chemical reaction that would not occur at all in the absence of irradiation. In one embodiment, the chemical reaction is endothermic and the irradiation provides the energy necessary to allow the chemical reaction to proceed. In some embodiments, the chemical reaction is driven at least in part by heat generated in the substrate W by irradiation. Thus, irradiation-driven chemical reactions may include chemical reactions that require elevated temperatures to proceed or proceed more rapidly at elevated temperatures. In some embodiments, the chemical reaction comprises an irradiation-driven photochemical reaction. Thus, at least one species involved in the chemical reaction absorbs photons directly from the irradiation, and the absorption of the photons allows the chemical reaction to proceed. In some embodiments, the photochemical reaction comprises a multiphoton photochemical reaction involving the absorption of two or more photons by each of the at least one species involved in the photochemical reaction. The requirement to absorb two or more photons makes the chemical reaction more sensitive to changes in irradiation intensity (ie, the rate of the chemical reaction changes more strongly with changes in intensity) than is the case for single-photon photochemical reactions . Increased sensitivity to intensity provides improved lateral contrast. In one embodiment, a combination of photochemical reactions and radiation-induced heating is used to provide a well-defined program window in which chemical reactions are locally driven to generate patterns. In one embodiment, the chemical reaction is driven by a plasma generated by the interaction of radiation with the substrate W, a layer formed on the substrate W, and/or a gas present above the substrate. In one embodiment, the generated plasma is generated in a localized region defined by the irradiation. In one embodiment, the chemical reaction is driven by electrons provided by irradiation. Electrons may include photoelectrons or secondary electrons (electrons generated by inelastic scattering events of photoelectrons or electrons from an electron beam). In one embodiment, photons absorbed by the substrate W may provide high-energy electrons near the surface of the substrate W that participate in the deposition process. In embodiments using a combination of electromagnetic radiation and an electron beam, part of the deposition process may be driven by electrons from the electron beam.

在一些實施例中,在沈積程序期間控制氣態/液體共反應物及/或催化劑及/或前驅體之流動動力學。對流動動力學之控制可改良所沈積材料之品質。對流動動力學之控制可包括控制流動方向(或流動之向量流場)。替代地或另外,對流動動力學之控制可包括控制流動速率,包括例如提供脈衝流。在一實施例中,執行對流動動力學之控制以便在空間及/或時間上在沈積位置附近局部地產生高密度相關粒子且在其他表面(例如,光學件)附近產生低密度粒子。In some embodiments, the flow kinetics of gaseous/liquid co-reactants and/or catalysts and/or precursors are controlled during the deposition process. Control over flow dynamics can improve the quality of the deposited material. Control of flow dynamics may include control of flow direction (or the vector flow field of flow). Alternatively or additionally, control of flow dynamics may include control of flow rate including, for example, providing pulsed flow. In one embodiment, control of the flow dynamics is performed to locally and/or temporally generate high-density correlated particles near the deposition site and low-density particles near other surfaces (eg, optics).

在一些實施例中,控制組成環境以在不同時間提供不同的氣體混合物。可提供不同的氣體混合物以沈積不同材料或在沈積材料之模式與蝕刻掉材料之模式之間切換。不同的氣體混合物亦可用於隨時間變化而可控制地改變沈積速率,此可用於例如產生具有明確界定之邊緣及/或形狀之特徵。In some embodiments, the compositional environment is controlled to provide different gas mixtures at different times. Different gas mixtures can be provided to deposit different materials or to switch between a mode of depositing material and a mode of etching away material. Different gas mixtures can also be used to controllably vary the deposition rate over time, which can be used, for example, to create features with well-defined edges and/or shapes.

在一些實施例中,在沈積程序期間使用預充電及/或連續充電來控制充電平衡。舉例而言,可使用電子泛射槍或由光發射機制(例如,使用雷射)提供預充電及/或連續充電。In some embodiments, pre-charging and/or continuous charging are used to control charge balancing during the deposition process. For example, pre-charging and/or continuous charging may be provided using an electron flood gun or by a light emission mechanism (eg, using a laser).

低能電子(如自基板-真空界面逸出的二次或反向散射電子)可誘發與基板表面上或上方之分子的化學反應。反應速率取決於存在之低能電子之數目及能量。對於非導電材料,電子自基板之發射(例如,藉由EUV輻照)造成基板W正電充電。此充電影響來自基板W之電子良率及離開基板W之電子之軌跡及能量。充電因此影響基板表面上或上方之電子密度且可用以在沈積程序或其他程序期間控制反應速率。使用電子泛射槍允許額外正或負樣本充電(取決於電子束導降能量)。使用雷射束造成經由光電效應之額外正電充電。可藉由調諧電子束能量或雷射束波長以使產生電子之平均深度變化來達成進一步程序控制。Low energy electrons, such as secondary or backscattered electrons escaping from the substrate-vacuum interface, can induce chemical reactions with molecules on or above the substrate surface. The rate of the reaction depends on the number and energy of low-energy electrons present. For non-conductive materials, the emission of electrons from the substrate (eg, by EUV irradiation) causes the substrate W to be positively charged. This charging affects the yield of electrons from substrate W and the trajectory and energy of electrons leaving substrate W. The charging thus affects the electron density on or above the substrate surface and can be used to control the reaction rate during deposition or other processes. Use of an electron flood gun allows for additional positive or negative sample charging (depending on the beam guide energy). The use of a laser beam causes additional positive charging via the photoelectric effect. Further program control can be achieved by tuning the electron beam energy or laser beam wavelength to vary the average depth of generated electrons.

在圖7及圖8之實例中,僅在原子層沈積之第一步驟中輻照基板W。在其他實施例中,僅在第二步驟期間或在第一步驟及第二步驟期間執行選定部分32之輻照。在不涉及浸潤液體之實施例中,可使用EUV輻射來執行該兩個步驟中之至少一者中選定部分32之輻照。可另外使用包括DUV輻射之其他形式之輻照(具有或不具有浸潤液體)來在一或多個其他步驟中執行輻照。In the example of FIGS. 7 and 8 , the substrate W is irradiated only in the first step of atomic layer deposition. In other embodiments, the irradiation of selected portions 32 is performed only during the second step or during both the first step and the second step. In embodiments that do not involve an infiltration liquid, the irradiation of selected portions 32 of at least one of the two steps may be performed using EUV radiation. Irradiation may additionally be performed in one or more other steps using other forms of irradiation including DUV radiation (with or without immersion liquid).

在一些實施例中,該方法包含在選定部分32之選擇性輻照期間施加補充輻照以提高支撐層84之溫度。可在選擇性輻照之前及/或期間施加補充輻照。補充輻照具有相較於選擇性輻照較不精細(解析度較低)之空間解析度。在一個實施例中,使用EUV輻射之微影設備LA用於提供選定部分32之選擇性輻照。圖案化裝置(例如,遮罩)可用於界定選擇輻射之圖案。此方法達成高等級之局域化、銳邊緣、節距及臨界尺寸(CD)。補充輻照亦可由EUV輻射系統提供(例如,不具有圖案化裝置及/或具有不同、較低解析度之圖案化裝置及/或具有散焦以將照射擴散至較大區)。替代地,補充輻照可使用不同輻射系統執行(例如,使用較長波長輻射,諸如紅外線輻射之輻射系統)。補充輻照可被稱為泛溢曝光,其中不使用圖案化裝置或其中輻照以其他方式充分散開使得支撐層實質上由補充輻照均一地照射。補充輻照可升高支撐層84之總平均溫度,使得需要藉由選擇性輻照實現之溫度提高不會如同將以其他方式實現之溫度提高一樣高。此外,該補充輻照可降低選定部分32與周圍區域之間的溫度差,由此降低遠離選定部分32之熱傳導之速率。替代地或另外,可提供基板加熱器,該基板加熱器經由上面支撐有基板W之基板台加熱基板W。In some embodiments, the method includes applying supplemental radiation to increase the temperature of support layer 84 during selective radiation of selected portions 32 . Supplemental irradiation may be applied before and/or during selective irradiation. Supplemental irradiation has a less refined (lower resolution) spatial resolution than selective irradiation. In one embodiment, a lithography apparatus LA using EUV radiation is used to provide selective irradiation of selected portions 32 . A patterning device (eg, a mask) can be used to define the pattern of selective radiation. This method achieves a high level of localization, sharp edges, pitch and critical dimension (CD). Supplemental irradiation can also be provided by an EUV irradiation system (eg, without a patterning device and/or with a different, lower resolution patterning device and/or with defocusing to spread the irradiation over a larger area). Alternatively, supplemental irradiation may be performed using a different radiation system (eg, a radiation system using longer wavelength radiation, such as infrared radiation). Supplemental radiation may be referred to as flood exposure, where no patterning device is used or where the radiation is otherwise sufficiently diffused such that the support layer is irradiated substantially uniformly by the supplemental radiation. Supplemental irradiation can raise the overall average temperature of support layer 84 so that the temperature increase that needs to be achieved by selective irradiation is not as high as would be achieved otherwise. In addition, the supplemental irradiation can reduce the temperature difference between the selected portion 32 and the surrounding area, thereby reducing the rate of heat conduction away from the selected portion 32 . Alternatively or additionally, a substrate heater may be provided that heats the substrate W via a substrate stage on which the substrate W is supported.

圖10中展示用於實施選擇性輻照及補充輻照之實例設備。在所展示之實例中,微影設備LA用於提供選擇性輻照34以驅動沈積程序。另一輻射系統70用於提供補充輻照。該微影設備LA及另一輻射系統70可使用不同輻射源。此方法允許每一輻射源針對其需要執行之各別程序進行最佳化(亦即,驅動沈積程序或提供背景溫度提高)。在圖10之實例中,該微影設備LA及另一輻射系統70自基板W之相對側輻照基板W。此方法具有較大的靈活性,可以定位硬體以實施各別輻照程序。在其他實施例中,自基板W之同一側施加選擇性輻照及補充輻照。An example apparatus for performing selective irradiation and supplemental irradiation is shown in FIG. 10 . In the example shown, lithography apparatus LA is used to provide selective irradiation 34 to drive the deposition process. Another radiation system 70 is used to provide supplemental radiation. The lithography apparatus LA and the further radiation system 70 may use different radiation sources. This approach allows each radiation source to be optimized for the respective process it needs to perform (ie, driving the deposition process or providing a background temperature increase). In the example of FIG. 10 , the lithography apparatus LA and another radiation system 70 irradiate the substrate W from its opposite side. This method provides greater flexibility in positioning the hardware to perform individual irradiation procedures. In other embodiments, the selective irradiation and supplemental irradiation are applied from the same side of the substrate W.

在另一配置中,如圖11中所例示,使用由第一輻射系統LA施加之EUV輻射(例如,具有小於100 nm、視情況在5 nm至100 nm之範圍內、視情況在4 nm至20 nm之範圍內,例如6.7 nm或13.5 nm之波長)結合在沈積程序之驅動期間由第二輻射系統182施加之以下各項中之一或多者來執行用於驅動沈積程序之選定部分32之選擇性輻照:電子束;具有在100 nm至400 nm之範圍內之波長之輻射;及雷射輻射。在圖11之實例中,EUV微影設備充當第一輻射系統LA且電子束源充當第二輻照系統182。In another configuration, as illustrated in FIG. 11 , EUV radiation (eg, having less than 100 nm, optionally in the range of 5 nm to 100 nm, optionally 4 nm to range of 20 nm, such as wavelengths of 6.7 nm or 13.5 nm) in combination with one or more of the following applied by the second radiation system 182 during the driving of the deposition process to perform selected portions 32 for driving the deposition process Selective radiation of: electron beam; radiation with wavelengths in the range of 100 nm to 400 nm; and laser radiation. In the example of FIG. 11 , the EUV lithography apparatus acts as the first irradiation system LA and the electron beam source acts as the second irradiation system 182 .

藉由EUV輻射對沈積程序之驅動常常主要由於EUV輻射與固體材料(例如,基板W或形成於基板W上之層)相互作用以自固體材料釋放電子且藉此形成電漿而發生。電漿驅動與沈積程序有關的化學反應。結合EUV輻射使用電子束提供高濃度之電子及/或進一步促使破壞鍵以產生反應性物質,由此促進材料之更快沈積。The driving of the deposition process by EUV radiation often occurs primarily due to the interaction of EUV radiation with a solid material (eg, substrate W or a layer formed on substrate W) to release electrons from the solid material and thereby form a plasma. The plasma drives the chemical reactions associated with the deposition process. The use of electron beams in conjunction with EUV radiation provides high concentrations of electrons and/or further promotes the breaking of bonds to generate reactive species, thereby promoting faster deposition of materials.

在一些實施例中,以與由經輻照選定部分32界定之圖案空間對齊之子單元圖案提供子單元85。舉例而言,子單元圖案及由經輻照選定部分32界定之圖案均可為週期性的,在基板W之平面內在至少一個方向上具有相同週期性。子單元圖案之不同單位胞元中之特徵將因此始終與由經輻照選定部分32界定之圖案之單位胞元中之對應特徵對準。在一些實施例中,藉由穿過支撐層84蝕刻溝槽86而形成子單元85。由選定部分32界定之沈積材料之圖案可接著使得提供沈積材料之複數個隔離部分且每一隔離部分提供於由溝槽86界定之子單元85中之一不同者上。沈積材料之隔離部分中之每一者在面積上可等於或小於上面提供有隔離部分之子單元85。溝槽86可因此界定支撐層84中之島狀子單元85且可將沈積材料之相異隔離部分沈積於島狀子單元85中之每一者上。選擇性輻照之空間解析度必須足夠精細以促進在大小與子單元85相同或小於該等子單元之區域上局部地沈積。此等概念藉由下文參考圖12至圖31所描述之實施例及關於製造2D-FET之例示性應用來例示。In some embodiments, the subunits 85 are provided in a subunit pattern that is spatially aligned with the pattern defined by the irradiated selected portion 32 . For example, both the subunit pattern and the pattern defined by the irradiated selected portions 32 can be periodic, having the same periodicity in at least one direction in the plane of the substrate W. Features in the different unit cells of the sub-unit pattern will thus always align with corresponding features in the unit cells of the pattern defined by the irradiated selected portion 32 . In some embodiments, subunit 85 is formed by etching trench 86 through support layer 84 . The pattern of deposited material defined by selected portions 32 may then be such that a plurality of isolated portions of deposited material are provided and each isolated portion is provided on a different one of the subunits 85 defined by trenches 86 . Each of the isolated portions of deposited material may be equal to or smaller in area than the subunit 85 on which the isolated portion is provided. The trenches 86 can thus define the island-shaped sub-units 85 in the support layer 84 and can deposit distinct isolated portions of the deposition material on each of the island-shaped sub-units 85 . The spatial resolution of the selective irradiation must be fine enough to facilitate localized deposition over areas of the same size as subunits 85 or smaller than those subunits. These concepts are exemplified by the embodiments described below with reference to FIGS. 12-31 and an exemplary application with respect to fabricating 2D-FETs.

圖12至圖26描繪用於使用上文所描述之方法形成2D-FET之實例程序流程。對應程序流程可用於形成所沈積二維材料執行其他功能之裝置。舉例而言,二維材料可沈積於溝槽(例如,不具有源極/汲極或閘極介電質)中以用作互連件。12-26 depict example program flows for forming 2D-FETs using the methods described above. Corresponding process flows can be used to form devices that perform other functions from the deposited two-dimensional material. For example, two-dimensional materials can be deposited in trenches (eg, without source/drain or gate dielectrics) for use as interconnects.

圖12描繪在用於形成2D-FET之實例程序流程中之第一階段。提供包含分層結構之基板W。分層結構包含基礎層80 (例如,矽晶圓)、支撐層84及絕熱層82。分層結構可呈上文參考圖6所論述之形式中之任一者。在所展示之實例中,分層結構進一步包含下部介電層92。下部介電層92可經組態以充當2D-FET之底部閘極介電質。在一個配置中,下部介電層92包含HfO 2、基本上由其組成或由其組成。分層結構進一步包含間隔物層91。舉例而言,間隔物層91可包含SiO 2、基本上由其組成或由其組成。間隔物層91可與支撐層84具有相同的組成。可針對分層結構之該等層中之每一者選擇各種不同厚度。在一個配置中:間隔物層91具有40 nm之厚度且包含SiO 2;下部介電層92具有10 nm之厚度且包含HfO 2;且支撐層84具有5 nm之厚度且包含SiO 212 depicts the first stage in an example process flow for forming a 2D-FET. A substrate W including a layered structure is provided. The layered structure includes a base layer 80 (eg, a silicon wafer), a support layer 84 and an insulating layer 82 . The hierarchical structure may be in any of the forms discussed above with reference to FIG. 6 . In the example shown, the layered structure further includes a lower dielectric layer 92 . The lower dielectric layer 92 can be configured to act as the bottom gate dielectric of the 2D-FET. In one configuration, the lower dielectric layer 92 comprises, consists essentially of, or consists of HfO 2 . The layered structure further includes a spacer layer 91 . For example, spacer layer 91 may comprise, consist essentially of, or consist of SiO 2 . The spacer layer 91 may have the same composition as the support layer 84 . Various different thicknesses can be selected for each of the layers of the layered structure. In one configuration: spacer layer 91 has a thickness of 40 nm and includes SiO2 ; lower dielectric layer 92 has a thickness of 10 nm and includes HfO2 ; and support layer 84 has a thickness of 5 nm and includes SiO2 .

圖13描繪在處理圖12之配置以穿過支撐層84蝕刻溝槽86之後的階段。在此實例中,溝槽86延伸穿過基礎層80上方之所有層。溝槽86對支撐層84進行分段以形成呈子單元圖案之子單元85。子單元圖案在頁面之平面中在水平方向上且在至頁面中之方向(未展示)上為週期性的。FIG. 13 depicts a stage after processing the configuration of FIG. 12 to etch trenches 86 through support layer 84 . In this example, trenches 86 extend through all layers above base layer 80 . Trenches 86 segment support layer 84 to form subunits 85 in a subunit pattern. The subunit pattern is periodic in the horizontal direction in the plane of the page and in the direction into the page (not shown).

圖14描繪在處理圖13之配置以在支撐層84之每一子單元85上之裝置層94中提供源極材料單元95及汲極材料單元96之後的階段。源極材料單元95及汲極材料單元96可由適合於充當2D-FET中之源極及/或汲極之任何材料,諸如Ti、TiN及/或W形成。源極材料單元95及汲極材料單元96可藉由習知微影處理(例如,抗蝕劑塗佈、微影、蝕刻、抗蝕劑剝離等)或使用EUV誘發沈積(不具有抗蝕劑)而形成。若使用習知微影處理,則將需要高效清潔程序以限制污染在邊緣介接之源極/汲極電極處之存在。FIG. 14 depicts the stage after processing the configuration of FIG. 13 to provide source material unit 95 and drain material unit 96 in device layer 94 on each subunit 85 of support layer 84 . Source material unit 95 and drain material unit 96 may be formed of any material suitable for serving as a source and/or drain in a 2D-FET, such as Ti, TiN and/or W. Source material unit 95 and drain material unit 96 can be deposited by conventional lithography processes (eg, resist coating, lithography, etching, resist stripping, etc.) or using EUV induced deposition (without resist ) formed. If a conventional lithography process is used, an efficient cleaning procedure would be required to limit the presence of contamination at the edge-interfaced source/drain electrodes.

圖15描繪在處理圖14之配置以使二維材料之區域97沈積於每一子單元85之源極材料單元95與汲極材料單元96之間的空間中之後的階段。二維材料構成圖案化材料層,其可使用形成本文中所描述之圖案化材料層之方法中之任一者形成。因此可藉由在沈積程序期間選擇性地輻照支撐層84之選定部分32而沈積二維材料。在此情況下,選定部分32及沈積材料之所得圖案包含沈積材料之複數個隔離部分。每一隔離部分提供於子單元85中之一不同者上。每一子單元85上之區域97為此隔離部分之實例。子單元圖案與由經輻照選定部分32界定之圖案空間對齊。每一子單元85在空間上與形成由經輻照選定部分32界定之圖案之部分的二維材料之區域97中之一者對準。由經輻照選定部分32界定之圖案在頁面之平面中在水平方向上為週期性的,與子單元圖案具有相同週期性。對應於沈積材料之隔離部分之區域97各自形成待製造之不同電子裝置之相同組件(在此實例中,該等區域各自形成2D-FET之通道)。二維材料可包含適用作電晶體通道之任何半導體二維材料,諸如TMD(例如,WS 2、MoS 2、WSe 2、MoSe 2),MXene,石墨烯或銻烯。 FIG. 15 depicts a stage after processing the configuration of FIG. 14 to deposit a region 97 of two-dimensional material in the space between the source material unit 95 and the drain material unit 96 of each subunit 85 . The two-dimensional material constitutes a patterned material layer, which can be formed using any of the methods of forming a patterned material layer described herein. Two-dimensional material can thus be deposited by selectively irradiating selected portions 32 of support layer 84 during the deposition process. In this case, the resulting pattern of selected portions 32 and deposited material includes a plurality of isolated portions of deposited material. Each isolation portion is provided on a different one of the subunits 85 . The area 97 on each subunit 85 is an example of this isolated portion. The subunit pattern is spatially aligned with the pattern defined by the irradiated selected portion 32 . Each subunit 85 is spatially aligned with one of the regions 97 of two-dimensional material that form part of the pattern defined by the irradiated selected portion 32 . The pattern defined by the irradiated selected portions 32 is periodic in the horizontal direction in the plane of the page, having the same periodicity as the sub-unit pattern. The regions 97 corresponding to the isolated portions of deposited material each form the same component of a different electronic device to be fabricated (in this example, the regions each form the channel of the 2D-FET). The two-dimensional material may comprise any semiconducting two-dimensional material suitable for use as a transistor channel, such as TMD (eg, WS2, MoS2, WSe2 , MoSe2 ), MXene , graphene, or antimonene .

圖16描繪在處理圖15之配置以提供上部介電層99之後的階段。上部介電層99可經組態以充當2D-FET之頂部閘極介電質。在奈米薄片及環繞式閘極(GAA)之情況下,二維材料2D層可全部包覆有閘極介電質。在一個配置中,上部介電層99包含HfO 2、基本上由其組成或由其組成。分層結構進一步包含上部介電層99與裝置層94之間的間隔物層98。舉例而言,間隔物層98可包含SiO 2、基本上由其組成或由其組成。間隔物層98可與支撐層84具有相同的組成。 FIG. 16 depicts a stage after processing the configuration of FIG. 15 to provide an upper dielectric layer 99 . The upper dielectric layer 99 can be configured to act as the top gate dielectric of the 2D-FET. In the case of nanosheets and gate all around (GAA), the 2D layer of two-dimensional material can be fully coated with a gate dielectric. In one configuration, upper dielectric layer 99 comprises, consists essentially of, or consists of HfO 2 . The layered structure further includes a spacer layer 98 between the upper dielectric layer 99 and the device layer 94 . For example, spacer layer 98 may comprise, consist essentially of, or consist of SiO2 . Spacer layer 98 may have the same composition as support layer 84 .

需要圖12至圖16之程序流程,因為絕熱層82直接提供在支撐層84下方。此組態有利於支撐層84中之局部化的溫度升高。然而,亦有可能提供程序流程,其中絕熱層82及下部介電層92 (充當底部閘極介電質)之堆疊次序反轉。因此,在此程序流程中,絕熱層82將在下部介電層92下方。程序流程之所有其他元件可呈上文參考圖12至圖16所描述之形式中之任一者。The process flow of FIGS. 12-16 is required because the insulating layer 82 is provided directly under the support layer 84 . This configuration facilitates a localized temperature increase in support layer 84 . However, it is also possible to provide a process flow in which the stacking order of the insulating layer 82 and the lower dielectric layer 92 (acting as the bottom gate dielectric) is reversed. Therefore, in this process flow, the insulating layer 82 will be below the lower dielectric layer 92 . All other elements of the program flow may be in any of the forms described above with reference to FIGS. 12-16 .

圖17至圖21描繪圖12至圖16之程序流程之變化,其中額外底層100提供於支撐層84下方。此底層100可提供於絕熱層82與支撐層84之間及/或與支撐層84直接接觸。程序流程之所有其他元件可呈上文參考圖12至圖16所描述之形式中之任一者。作為替代方案,絕熱層82可提供於下部介電層92下方。底層100可經組態以在選擇性輻照期間吸收穿透支撐層84之實質上所有輻射(亦即,自支撐層84傳輸之殘餘光子中之所有或大多數)且藉此有助於保持自絕熱層82上方之輻照導出之熱能。底層100可包含一或多個元素,其具有高原子吸收率橫截面,例如高於約

Figure 02_image025
,較佳地高於
Figure 02_image027
,較佳地高於約
Figure 02_image029
。在一些實施例中,底層100包含以下各項中之一或多者:In 2O 3、ITO、SnO 2、Sn。底層100之厚度可經選擇以達成所要吸收量。舉例而言,具有10 nm、20 nm、30 nm及40 nm之厚度的由ITO製成之底層分別粗略地吸收EUV光子之47%、72%、85%及92%。底層100可尤其適用,因為支撐層84之材料理想地選擇為適合於二維材料之生長。支撐層84可能不適合於吸收與輻照相關聯的所有入射光子。可將光子之一部分傳輸至支撐層84下方之層。底層100之提供允許在絕熱層82上方吸收此等殘餘光子中之所有或大多數,由此產生的熱可有助於沈積程序之局部驅動。 FIGS. 17-21 depict a variation of the process flow of FIGS. 12-16 in which an additional bottom layer 100 is provided below the support layer 84 . This bottom layer 100 may be provided between and/or in direct contact with the insulating layer 82 and the support layer 84 . All other elements of the program flow may be in any of the forms described above with reference to FIGS. 12-16 . Alternatively, a thermal insulating layer 82 may be provided below the lower dielectric layer 92 . Bottom layer 100 can be configured to absorb substantially all radiation that penetrates support layer 84 (ie, all or most of the residual photons transmitted from support layer 84) during selective irradiation and thereby help to preserve Thermal energy derived from irradiation above thermal insulation layer 82. Bottom layer 100 may include one or more elements having a high atomic absorption rate cross-section, eg, above about
Figure 02_image025
, preferably higher than
Figure 02_image027
, preferably higher than about
Figure 02_image029
. In some embodiments, the bottom layer 100 includes one or more of the following: In 2 O 3 , ITO, SnO 2 , Sn. The thickness of the bottom layer 100 can be selected to achieve the desired amount of absorption. For example, bottom layers made of ITO with thicknesses of 10 nm, 20 nm, 30 nm and 40 nm roughly absorb 47%, 72%, 85% and 92% of EUV photons, respectively. Bottom layer 100 may be particularly suitable because the material of support layer 84 is ideally selected for the growth of two-dimensional materials. The support layer 84 may not be suitable for absorbing all incident photons associated with radiography. A portion of the photons may be transmitted to the layer below the support layer 84 . The provision of the bottom layer 100 allows all or most of these residual photons to be absorbed above the insulating layer 82, and the heat generated thereby can aid in the local drive of the deposition process.

圖22至圖26描繪上文所描述之程序流程之變化,其中省略了間隔物層91及下部介電層92。程序流程之所有其他元件可呈上文參考圖12至圖16及圖17至圖21所描述之形式中之任一者。22-26 depict variations of the process flow described above, in which the spacer layer 91 and the lower dielectric layer 92 are omitted. All other elements of the program flow may be in any of the forms described above with reference to FIGS. 12-16 and 17-21.

在一些實施例中,提供上文參考圖6至圖26所描述之方法之變化,其中圖案形成程序包含蝕刻程序而非沈積程序。在此類實施例中,提供具有分層結構之基板W。基板W及分層結構可呈上文例如參考圖6所描述之形式及/或組成中之任一者。分層結構可因此包含基礎層80、支撐層84,及絕熱層82。絕熱層82具有低於支撐層84之熱導率。支撐層84包含複數個子單元85。子單元85在支撐層84之平面內彼此熱絕緣。基礎層80、支撐層84、絕熱層82,及子單元85可各自呈上文例如參考圖6所描述之形式及/或組成中之任一者。支撐層84因此可經調適以限制來自輻照之能量之側向擴散。經調適支撐層84與絕熱層82之組合因此減緩熱向下穿過支撐層84下方之層之損耗及在支撐層84自身內之側向損耗。In some embodiments, a variation of the method described above with reference to FIGS. 6-26 is provided, wherein the patterning process includes an etching process rather than a deposition process. In such embodiments, a substrate W having a layered structure is provided. The substrates and layered structures may be in any of the forms and/or compositions described above, eg, with reference to FIG. 6 . The layered structure may thus include a base layer 80 , a support layer 84 , and an insulating layer 82 . The insulating layer 82 has a lower thermal conductivity than the support layer 84 . The support layer 84 includes a plurality of subunits 85 . The subunits 85 are thermally insulated from each other in the plane of the support layer 84 . Base layer 80 , support layer 84 , insulating layer 82 , and subunit 85 may each be in any of the forms and/or compositions described above, eg, with reference to FIG. 6 . The support layer 84 can thus be adapted to limit the lateral diffusion of energy from irradiation. The combination of the adapted support layer 84 and the insulating layer 82 thus slows the loss of heat down through the layers below the support layer 84 and the lateral loss within the support layer 84 itself.

該方法包含在圖案形成程序期間選擇性地輻照支撐層84之選定部分。輻照在選定部分中局部地驅動圖案形成程序。圖案形成程序之局部驅動形成其圖案由選定部分界定之材料層。選擇性輻照可以上文參考圖7至圖11所描述之方式中之任一者執行,包括使用包含能夠局部地驅動圖案形成程序的任何類型之EUV輻射(具有小於100 nm之波長)、基本上由其組成或由其組成的輻射。藉由支撐層84及絕熱層82之向下及側向熱損耗之減緩藉由提高在圖案形成程序期間藉由輻照達成之局部溫度而不造成過度加熱其他組件來促成圖案形成程序之局部驅動的功效。The method includes selectively irradiating selected portions of the support layer 84 during the patterning process. The irradiation drives the patterning process locally in selected portions. Local actuation of the patterning process forms a layer of material whose pattern is defined by selected portions. Selective irradiation can be performed in any of the ways described above with reference to FIGS. 7-11 , including using EUV radiation of any type (having wavelengths less than 100 nm), including basic radiation on which it consists or consists. Facilitates local actuation of the patterning process by increasing the local temperature achieved by irradiation during the patterning process without causing excessive heating of other components through the mitigation of downward and lateral heat loss by the support layer 84 and the thermal insulation layer 82 effect.

如上文所提及,在一些實施例中,圖案形成程序包含目標層110之蝕刻程序。在此類實施例中,穿過目標層110執行選定部分之輻照。選定部分之輻照局部地驅動該蝕刻程序。實例實施示意性地描繪於圖27及圖28中。圖27描繪在該蝕刻程序之前的基板W。該基板W可與圖6中所描繪之基板W相同,除了在支撐層84上提供目標層110以外。目標層110可包含二維材料,較佳地為單層。二維材料可呈上文所論述之二維材料形式中之任一者。二維材料可例如使用用於生長二維材料之任何已知方法生長,包括以下各項中之一或多者:CVD、金屬有機化學氣相沈積(MOCVD)、ALD及MBE。可將二維材料以空間上均一層沈積以形成目標層110。在圖27之實例中,藉由沈積二維材料之空間上均一層且隨後經由二維材料形成溝槽86而形成目標層110。溝槽86延伸穿過支撐層84以形成子單元85。圖28展示將該蝕刻程序施加於圖27中所展示之配置之實例結果。該蝕刻程序自該目標層110選擇性地移除材料以形成經蝕刻區域112。在所展示實施例中,經蝕刻區域112在至少一個尺寸上小於子單元85。至少部分地藉由由輻照引起之溫度之局部提高而提供該蝕刻程序之局部驅動。藉由子單元85之存在減緩支撐層84中之側向熱流相較於均一支撐層84之情況(在無子單元的情況下)引起經蝕刻區域112附近之較大溫度升高。因此高效地驅動該蝕刻程序(例如,以較迅速地進行)而溫度在其他處不會過度升高。先前沈積層因此可避免達到將足夠高以損壞先前沈積層(例如,藉由引起熔融)之溫度。亦可藉由修改以下各項中之一或多者來高度靈活地控制該蝕刻程序之蝕刻速率:基礎層80、絕熱層82及/或支撐層84之材料及/或厚度;子單元85之大小及/或形狀。As mentioned above, in some embodiments, the patterning process includes an etching process of the target layer 110 . In such embodiments, irradiation of selected portions is performed through the target layer 110 . Irradiation of selected portions locally drives the etching process. Example implementations are schematically depicted in FIGS. 27 and 28 . Figure 27 depicts the substrate W prior to the etching process. The substrate W may be the same as the substrate W depicted in FIG. 6 , except that the target layer 110 is provided on the support layer 84 . The target layer 110 may comprise a two-dimensional material, preferably a single layer. The two-dimensional material can be in any of the two-dimensional material forms discussed above. The two-dimensional material can be grown, for example, using any known method for growing two-dimensional materials, including one or more of the following: CVD, metal organic chemical vapor deposition (MOCVD), ALD, and MBE. The two-dimensional material may be deposited in a spatially uniform layer to form the target layer 110 . In the example of FIG. 27, target layer 110 is formed by depositing a spatially uniform layer of two-dimensional material and then forming trenches 86 through the two-dimensional material. Trenches 86 extend through support layer 84 to form subunits 85 . FIG. 28 shows example results of applying this etch procedure to the configuration shown in FIG. 27 . The etch process selectively removes material from the target layer 110 to form etched regions 112 . In the embodiment shown, etched region 112 is smaller than subunit 85 in at least one dimension. Local drive of the etching process is provided at least in part by a local increase in temperature caused by irradiation. The slowing of the lateral heat flow in the support layer 84 by the presence of the subunits 85 causes a larger temperature increase near the etched region 112 compared to the case of the uniform support layer 84 (without the subunits). The etch process is thus driven efficiently (eg, to proceed more rapidly) without the temperature rising excessively elsewhere. The previously deposited layer can thus avoid reaching a temperature that would be high enough to damage the previously deposited layer (eg, by causing melting). The etch rate of the etch process can also be controlled with a high degree of flexibility by modifying one or more of the following: the material and/or thickness of the base layer 80, the insulating layer 82 and/or the support layer 84; the size and/or shape.

如上文所提及,在一些實施例中,圖案形成程序包含材料改質程序。選定部分之輻照局部地驅動材料改質程序。圖29示意性地描繪將材料改質程序施加於圖27之配置以提供經改質區域114的結果。在一些實施例中,材料改質程序包含對用於沈積程序之前驅體材料進行改質。舉例而言,可以使得後續沈積程序選擇性地在已經改質之前驅體材料之部分上而非其他處引起材料沈積的方式對前驅體材料進行改質。替代地,可以使得後續沈積程序在除前驅體材料已經改質之區域以外的區域上引起材料沈積之方式對前驅體材料進行改質,因此前驅體材料之改質用以阻礙或防止材料沈積在經改質之前驅體材料上。在一些實施例中,材料改質程序包含局部地摻雜材料。舉例而言,待摻雜材料可在選擇性輻照期間曝光於摻雜前驅體。由輻照引起之局部溫度升高導致在輻照部分中而非其他處發生摻雜。在一些實施例中,材料改質程序包含退火。退火可提高先前沈積材料之結晶度。舉例而言,該改質程序可包含將非晶材料轉換成材料之結晶形態或增加已經具有結晶度之材料之粒度。在材料改質程序之此等不同實例中之每一者中,至少部分地藉由由輻照引起之溫度之局部提高而提供材料改質程序之局部驅動。因此,適合於高效地達成材料改質之溫度可在需要之局部區域中達到,而其他處之溫度可保持較低。先前沈積層因此可避免達到將足夠高以損壞先前沈積層(例如,藉由引起熔融)之溫度。As mentioned above, in some embodiments, the patterning procedure includes a material modification procedure. Irradiation of selected portions locally drives the material modification process. FIG. 29 schematically depicts the result of applying a material modification procedure to the configuration of FIG. 27 to provide modified regions 114 . In some embodiments, the material modification procedure includes modification of a precursor material used in the deposition procedure. For example, the precursor material may be modified in a manner that causes subsequent deposition procedures to selectively cause deposition of material on portions of the precursor material that have been modified and not elsewhere. Alternatively, the precursor material may be modified in a manner such that subsequent deposition procedures cause deposition of material on areas other than those where the precursor material has been modified, whereby the modification of the precursor material acts to hinder or prevent deposition of the material on the on the modified precursor material. In some embodiments, the material modification procedure includes locally doping the material. For example, the material to be doped may be exposed to a doping precursor during selective irradiation. The local temperature increase caused by irradiation causes doping to occur in the irradiated portion and not elsewhere. In some embodiments, the material modification procedure includes annealing. Annealing can increase the crystallinity of the previously deposited material. For example, the modification procedure may include converting the amorphous material to the crystalline form of the material or increasing the particle size of the material already having crystallinity. In each of these various examples of a material modification procedure, the local drive of the material modification procedure is provided at least in part by a local increase in temperature caused by irradiation. Thus, temperatures suitable for achieving material modification efficiently can be achieved in the local areas where needed, while temperatures elsewhere can be kept low. The previously deposited layer can thus avoid reaching a temperature that would be high enough to damage the previously deposited layer (eg, by causing melting).

可使用以下條項進一步描述實施例: 1.     一種形成一圖案化之材料層之方法,其包含: 提供具有一分層結構之一基板,該分層結構包含一基礎層、一支撐層,及一絕熱層,其中: 該絕熱層具有比該支撐層低之一熱導率; 該支撐層包含在該支撐層之一平面內彼此熱絕緣的複數個子單元;且 該方法包含在一沈積程序期間選擇性地輻照該支撐層之一選定部分,該輻照係關於在該選定部分中局部地驅動該沈積程序且藉此形成其圖案由該選定部分界定之一沈積材料層。 2.     如條項1之方法,其中該分層結構進一步包含該支撐層與該絕熱層之間的一底層,該底層經組態以在該選擇性輻照期間吸收穿透該支撐層之實質上所有輻射。 3.     如任一前述條項之方法,其中該沈積材料層包含一二維材料,較佳地一單層。 4.     如任一前述條項之方法,其中該絕熱層包含包含二維材料層之一多層堆疊。 5.     如條項4之方法,其中該絕熱層中之至少兩個鄰近二維材料層相對於彼此具有不同組成。 6.     如條項4或5之方法,其中該絕熱層中之該等二維材料層包含以下各項之一或多個層:石墨烯;WSe 2;MoS 2;α-硒烯;α-碲烯。 7.     如任一前述條項之方法,其中以與由經輻照選定部分界定之該圖案空間對齊之一子單元圖案提供該等子單元。 8.     如條項7之方法,其中該子單元圖案及由該經輻照選定部分界定之該圖案均為週期性的,在該基板之該平面內在至少一個方向上具有相同週期性。 9.     如任一前述條項之方法,其進一步包含在該支撐層中藉由穿過該支撐層蝕刻溝槽而形成該等子單元。 10.   如任一前述條項之方法,其中沈積材料之該圖案包含沈積材料之複數個隔離部分,每一隔離部分提供於該等子單元中之一不同者上。 11.    如條項10之方法,其中沈積材料之該等隔離部分中之每一者在面積上等於或小於上面提供有該隔離部分之該子單元。 12.   如條項10或11之方法,其中沈積材料之該等隔離部分中之每一者形成待製造之一不同電子裝置之一相同組件。 13.   如條項12之方法,其中沈積材料之該等隔離部分形成電子裝置之通道。 14.   如條項12或13之方法,其中待製造之該電子裝置包含一2D-FET。 15.   如任一前述條項之方法,其進一步包含在該選定部分之該選擇性輻照期間施加一補充輻照以提高該支撐層之一溫度,其中該補充輻照具有相較於該選擇性輻照較不精細之一空間解析度。 16.   如條項15之方法,其中該選擇性輻照及該補充輻照係使用不同輻射源執行。 17.   如任一前述條項之方法,其中使用具有小於100 nm之一波長之電磁輻射來執行該選擇性輻照。 18.   如任一前述條項之方法,其中該支撐層包含以下各項中之一或多者:Al 2O 3;SiO 2;HfO 2;Sn;SnO 2;In 2O 3;ITO。 19.   如任一前述條項之方法,其中該沈積程序包含以下各項中之一或多者:原子層沈積;化學氣相沈積;電漿增強型化學氣相沈積;磊晶;濺鍍;及電子束誘發之沈積。 20.   如任一前述條項之方法,其中該沈積材料層包含以下各項中之一或多者:石墨烯;銻烯;一MXene;六方氮化硼;一過渡金屬二硫屬化物。 21.   如任一前述條項之方法,其中一微影設備用於藉由將一經圖案化輻射光束自一圖案化裝置投影至該基板上而提供該選擇性輻照。 22.   一種形成一半導體裝置之方法,其包含使用如任一前述條項之方法以在該裝置中形成至少一個層。 23.   一種形成一圖案化之材料層之方法,其包含: 提供具有一分層結構之一基板,該分層結構包含一基礎層、一支撐層,及該基礎層與該支撐層之間的一絕熱層,其中: 該絕熱層具有比該支撐層低之一熱導率; 該支撐層包含在該支撐層之一平面內彼此熱絕緣的複數個子單元;且 該方法包含在一圖案形成程序期間選擇性地輻照該支撐層之一選定部分,該輻照係關於在該選定部分中局部地驅動該圖案形成程序且藉此形成其圖案由該選定部分界定之一材料層。 24.   如條項23之方法,其中該分層結構進一步包含該支撐層與該絕熱層之間的一底層,該底層經組態以在該選擇性輻照期間吸收穿透該支撐層之實質上所有輻射。 25.   如條項23或24之方法,其中該絕熱層包含包含二維材料層之一多層堆疊。 26.   如條項25之方法,其中該絕熱層中之至少兩個鄰近二維材料層相對於彼此具有不同組成。 27.   如條項25或26之方法,其中該絕熱層中之該等二維材料層包含以下各項之一或多個層:石墨烯;WSe 2;MoS 2;α-硒烯;α-碲烯。 28.   如條項23至27中任一項之方法,其中以與由經輻照選定部分界定之該圖案空間對齊之一子單元圖案提供該等子單元。 29.   如條項28之方法,其中該子單元圖案及由該經輻照選定部分界定之該圖案均為週期性的,在該基板之該平面內在至少一個方向上具有相同週期性。 30.   如條項23至29中任一項之方法,其中材料之該圖案包含材料之複數個隔離部分,每一隔離部分提供於該等子單元中之一不同者上。 31.   如條項30之方法,其中材料之該等隔離部分中之每一者在面積上等於或小於上面提供有該隔離部分之該子單元。 32.   如條項30或31之方法,其中材料之該等隔離部分中之每一者形成待製造之一不同電子裝置之一相同組件。 33.   如條項32之方法,其中材料之該等隔離部分形成電子裝置之通道。 34.   如條項32或33之方法,其中待製造之該電子裝置包含一2D-FET。 35.   如條項23至34中任一項之方法,其進一步包含在該選定部分之該選擇性輻照期間施加一補充輻照以提高該支撐層之一溫度,其中該補充輻照具有相較於該選擇性輻照較不精細之一空間解析度。 36.   如條項35之方法,其中該選擇性輻照及該補充輻照係使用不同輻射源執行。 37.   如條項23至36中任一項之方法,其中使用具有小於100 nm之一波長之電磁輻射來執行該選擇性輻照。 38.   如條項23至37中任一項之方法,其中該支撐層包含以下各項中之一或多者:Al 2O 3;SiO 2;HfO 2;Sn;SnO 2;In 2O 3;ITO。 39.    如條項23至38中任一項之方法,其中該圖案形成程序包含一沈積程序且該選定部分之該輻照係關於在該選定部分中局部地驅動該沈積程序。 40.   如條項39之方法,其中該沈積材料層包含一二維材料,較佳地一單層。 41.   如條項39或40之方法,其中該沈積程序包含以下各項中之一或多者:原子層沈積;化學氣相沈積;電漿增強型化學氣相沈積;磊晶;濺鍍;及電子束誘發之沈積。 42.   如條項39至41中任一項之方法,其中該沈積材料層包含以下各項中之一或多者:石墨烯;銻烯;一MXene;六方氮化硼;一過渡金屬二硫屬化物。 43.   如條項23至39中任一項之方法,其中該圖案形成程序包含一目標層之一蝕刻程序且該選定部分之該輻照係穿過該目標層執行且係關於局部地驅動該蝕刻程序。 44.   如條項23至39中任一項之方法,其中該圖案形成程序包含一材料改質程序且該選定部分之該輻照係關於局部地驅動該材料改質程序。 45.   如條項44之方法,其中該材料改質程序包含對用於一沈積程序之一前驅體材料進行改質。 46.   如條項44或45之方法,其中該材料改質程序包含局部地摻雜一材料。 47.   如條項44之方法,其中該材料改質包含提高一材料之一結晶度。 48.   如條項23至47中任一項之方法,其中一微影設備用於藉由將一經圖案化輻射光束自一圖案化裝置投影至該基板上而提供該選擇性輻照。 49.   一種形成一半導體裝置之方法,其包含使用如條項23至48中任一項之方法以在該裝置中形成至少一個層。 Embodiments may be further described using the following clauses: 1. A method of forming a patterned layer of material, comprising: providing a substrate having a layered structure comprising a base layer, a support layer, and a thermal insulation layer, wherein: the thermal insulation layer has a lower thermal conductivity than the support layer; the support layer includes a plurality of subunits thermally insulated from each other in a plane of the support layer; and the method includes during a deposition process A selected portion of the support layer is selectively irradiated with respect to locally driving the deposition process in the selected portion and thereby forming a layer of deposited material whose pattern is defined by the selected portion. 2. The method of clause 1, wherein the layered structure further comprises an underlayer between the support layer and the thermally insulating layer, the underlayer being configured to absorb material penetrating the support layer during the selective irradiation all radiation. 3. The method of any preceding clause, wherein the layer of deposited material comprises a two-dimensional material, preferably a monolayer. 4. The method of any preceding clause, wherein the thermally insulating layer comprises a multilayer stack comprising layers of two-dimensional material. 5. The method of clause 4, wherein at least two adjacent two-dimensional material layers in the insulating layer have different compositions relative to each other. 6. The method of clause 4 or 5, wherein the layers of two-dimensional material in the insulating layer comprise one or more layers of: graphene; WSe 2 ; MoS 2 ; α-selenene; α- Tellurene. 7. The method of any preceding clause, wherein the subunits are provided in a subunit pattern that is spatially aligned with the pattern defined by the irradiated selected portion. 8. The method of clause 7, wherein the subunit pattern and the pattern defined by the irradiated selected portion are both periodic, having the same periodicity in at least one direction in the plane of the substrate. 9. The method of any preceding clause, further comprising forming the subunits in the support layer by etching trenches through the support layer. 10. The method of any preceding clause, wherein the pattern of deposited material comprises a plurality of isolated portions of deposited material, each isolated portion being provided on a different one of the subunits. 11. The method of clause 10, wherein each of the isolated portions of deposited material is equal in area or smaller than the subunit on which the isolated portion is provided. 12. The method of clause 10 or 11, wherein each of the isolated portions of deposited material form the same component of a different electronic device to be fabricated. 13. The method of clause 12, wherein the isolated portions of deposited material form channels of an electronic device. 14. The method of clause 12 or 13, wherein the electronic device to be fabricated comprises a 2D-FET. 15. The method of any preceding clause, further comprising applying a supplemental radiation to increase a temperature of the support layer during the selective irradiation of the selected portion, wherein the supplemental radiation has a The spatial resolution of sexual radiation is less refined. 16. The method of clause 15, wherein the selective irradiation and the supplemental irradiation are performed using different radiation sources. 17. The method of any preceding clause, wherein the selective irradiation is performed using electromagnetic radiation having a wavelength of less than 100 nm. 18. The method of any preceding clause, wherein the support layer comprises one or more of: Al 2 O 3 ; SiO 2 ; HfO 2 ; Sn; SnO 2 ; In 2 O 3 ; ITO. 19. The method of any preceding clause, wherein the deposition procedure comprises one or more of the following: atomic layer deposition; chemical vapor deposition; plasma enhanced chemical vapor deposition; epitaxy; sputtering; and electron beam induced deposition. 20. The method of any preceding clause, wherein the layer of deposited material comprises one or more of the following: graphene; antimonene; an MXene; hexagonal boron nitride; a transition metal dichalcogenide. 21. The method of any preceding clause, wherein a lithography apparatus is used to provide the selective irradiation by projecting a patterned beam of radiation onto the substrate from a patterning device. 22. A method of forming a semiconductor device comprising using the method of any preceding clause to form at least one layer in the device. 23. A method of forming a patterned material layer, comprising: providing a substrate having a layered structure, the layered structure comprising a base layer, a support layer, and a space between the base layer and the support layer. a thermal insulation layer, wherein: the thermal insulation layer has a lower thermal conductivity than the support layer; the support layer includes a plurality of subunits thermally insulated from each other in a plane of the support layer; and the method includes a patterning process A selected portion of the support layer is selectively irradiated during the irradiation with respect to locally driving the patterning procedure in the selected portion and thereby forming a layer of material whose pattern is defined by the selected portion. 24. The method of clause 23, wherein the layered structure further comprises a bottom layer between the support layer and the thermally insulating layer, the bottom layer configured to absorb the substance penetrating the support layer during the selective irradiation all radiation. 25. The method of clause 23 or 24, wherein the thermally insulating layer comprises a multilayer stack comprising layers of two-dimensional material. 26. The method of clause 25, wherein at least two adjacent two-dimensional material layers in the insulating layer have different compositions relative to each other. 27. The method of clause 25 or 26, wherein the layers of two-dimensional material in the thermally insulating layer comprise one or more layers of: graphene; WSe 2 ; MoS 2 ; α-selenene; α- Tellurene. 28. The method of any of clauses 23 to 27, wherein the subunits are provided in a subunit pattern that is spatially aligned with the pattern defined by the irradiated selected portion. 29. The method of clause 28, wherein the subunit pattern and the pattern defined by the irradiated selected portion are both periodic, having the same periodicity in at least one direction in the plane of the substrate. 30. The method of any of clauses 23 to 29, wherein the pattern of material comprises a plurality of isolated portions of material, each isolated portion being provided on a different one of the subunits. 31. The method of clause 30, wherein each of the isolated portions of material is equal in area or smaller than the subunit on which the isolated portion is provided. 32. The method of clause 30 or 31, wherein each of the isolated portions of material form the same component of a different electronic device to be fabricated. 33. The method of clause 32, wherein the isolated portions of material form channels for electronic devices. 34. The method of clause 32 or 33, wherein the electronic device to be fabricated comprises a 2D-FET. 35. The method of any one of clauses 23 to 34, further comprising applying a supplemental radiation to increase a temperature of the support layer during the selective irradiation of the selected portion, wherein the supplemental radiation has a phase A spatial resolution that is less fine than the selective irradiation. 36. The method of clause 35, wherein the selective irradiation and the supplemental irradiation are performed using different radiation sources. 37. The method of any of clauses 23 to 36, wherein the selective irradiation is performed using electromagnetic radiation having a wavelength of less than 100 nm. 38. The method of any one of clauses 23 to 37, wherein the support layer comprises one or more of: Al 2 O 3 ; SiO 2 ; HfO 2 ; Sn; SnO 2 ; In 2 O 3 ;ITO. 39. The method of any one of clauses 23 to 38, wherein the patterning procedure comprises a deposition procedure and the irradiation of the selected portion is about locally driving the deposition procedure in the selected portion. 40. The method of clause 39, wherein the layer of deposited material comprises a two-dimensional material, preferably a monolayer. 41. The method of clause 39 or 40, wherein the deposition procedure comprises one or more of the following: atomic layer deposition; chemical vapor deposition; plasma enhanced chemical vapor deposition; epitaxy; sputtering; and electron beam induced deposition. 42. The method of any one of clauses 39 to 41, wherein the layer of deposited material comprises one or more of the following: graphene; antimonene; MXene; hexagonal boron nitride; transition metal dichalcogenides belongings. 43. The method of any one of clauses 23 to 39, wherein the patterning process comprises an etching process of a target layer and the irradiation of the selected portion is performed through the target layer and is about locally driving the etching procedure. 44. The method of any one of clauses 23 to 39, wherein the patterning procedure comprises a material modification procedure and the irradiation of the selected portion is about locally driving the material modification procedure. 45. The method of clause 44, wherein the material modifying procedure comprises modifying a precursor material for a deposition procedure. 46. The method of clause 44 or 45, wherein the material modification procedure comprises locally doping a material. 47. The method of clause 44, wherein the material modification comprises increasing a crystallinity of a material. 48. The method of any of clauses 23 to 47, wherein a lithography apparatus is used to provide the selective irradiation by projecting a patterned beam of radiation onto the substrate from a patterning device. 49. A method of forming a semiconductor device comprising using the method of any of clauses 23 to 48 to form at least one layer in the device.

在形成圖案化之材料層之上文所描述之方法中的任一者中,圖案化之材料層可包含將存在於所製造之裝置(例如,IC裝置)中的最終材料。舉例而言,最終材料可包含二維材料,諸如以下各項中之一或多者:石墨烯、六方氮化硼(hBN)及過渡金屬二硫屬化物(TMD)。替代地或另外,圖案化之材料層可包含將在功能上貢獻於一或多個後續製造步驟之輔助圖案。在一實施例中,輔助材料充當硬遮罩(例如當由非晶C形成時)。在一實施例中,輔助材料充當增強光電子良率之材料(例如當包含Sn、In及/或其化合物中之一或多者時)。在一實施例中,輔助材料充當用於一或多個後續沈積步驟之前驅體及/或共反應物及/或催化劑(例如,金屬及其化合物)。In any of the methods described above to form a patterned layer of material, the patterned layer of material may comprise the final material that will be present in the device being fabricated (eg, an IC device). For example, the final material may comprise a two-dimensional material such as one or more of the following: graphene, hexagonal boron nitride (hBN), and transition metal dichalcogenide (TMD). Alternatively or additionally, the patterned layer of material may include auxiliary patterns that will functionally contribute to one or more subsequent fabrication steps. In one embodiment, the auxiliary material acts as a hard mask (eg, when formed of amorphous C). In one embodiment, the auxiliary material acts as a material that enhances optoelectronic yield (eg, when one or more of Sn, In, and/or compounds thereof are included). In one embodiment, the auxiliary material acts as a precursor and/or co-reactant and/or catalyst (eg, metals and compounds thereof) for one or more subsequent deposition steps.

10:琢面化場鏡面裝置 11:琢面化光瞳鏡面裝置 13:鏡面 14:鏡面 30:圖案化材料層/沈積材料層 32:選定部分 34:選擇性輻照 36:腔室 38:材料交換系統 42:環境/密封環境 44:流管理器 45:環境控制系統 51:第一前驅體材料 52:第二前驅體材料 60:設備 70:經圖案化場/輻射系統 72:EUV曝光區域 74:路徑 80:基礎層 82:絕熱層 84:支撐層 85:子單元 86:熱絕緣體/溝槽 91:間隔物層 92:下部介電層 94:裝置層 95:源極材料單元 96:汲極材料單元 97:區域 98:間隔物層 99:上部介電層 100:底層 110:目標層 112:蝕刻區域 114:經改質區域 182:第二輻射系統 B:輻射光束 B':經圖案化EUV輻射光束 BD:光束遞送系統 C:目標部分 IF:位置量測系統 IL:照射系統/照射器 LA:微影設備 M1:遮罩對準標記 M2:遮罩對準標記 MA:圖案化裝置 MT:遮罩支撐件 P1:基板對準標記 P2:基板對準標記 PM:第一定位器 PS:投影系統 PW:第二定位器 SO:輻射源 W:基板 WT:基板支撐件 10: Faceted Field Mirror Device 11: Faceted pupil mirror device 13: Mirror 14: Mirror 30: Patterning material layer/depositing material layer 32: Selected Parts 34: Selective Irradiation 36: Chamber 38: Material Exchange System 42: Environment/Sealed Environment 44: Stream Manager 45: Environmental Control System 51: First Precursor Material 52: Second Precursor Material 60: Equipment 70: Patterned Field/Radiation System 72: EUV exposure area 74: Path 80: base layer 82: Insulation layer 84: Support layer 85: Subunit 86: Thermal Insulator/Trenches 91: Spacer layer 92: Lower dielectric layer 94: Device Layer 95: Source material unit 96: Drain material unit 97: Area 98: Spacer layer 99: Upper Dielectric Layer 100: bottom layer 110: Target Layer 112: Etched area 114: Modified area 182: Second Radiation System B: Radiation beam B': Patterned EUV radiation beam BD: Beam Delivery System C: Target Section IF: Position Measurement System IL: Irradiation system/illuminator LA: lithography equipment M1: Mask alignment mark M2: Mask alignment mark MA: Patterning Apparatus MT: Mask Support P1: Substrate alignment mark P2: Substrate alignment mark PM: first locator PS: Projection system PW: Second Locator SO: radiation source W: substrate WT: substrate support

現在將參考隨附示意性圖式而僅藉助於實例來描述本發明之實施例,在該等圖式中: 圖1描繪包含微影設備及輻射源之微影系統的第一實例; 圖2描繪包含微影設備及輻射源之微影系統之第二實例; 圖3示意性地描繪基板上方之EUV曝光區域/狹縫之路徑; 圖4為展示基板上二維材料之側向生長速率與基板之溫度之間的預期指數關係的曲線圖; 圖5為展示作為基板溫度之函數之側向生長時間之線性變化的曲線圖; 圖6為基板之一部分之示意性側視截面圖,該基板具有具有基礎層、絕熱層及分段支撐層之分層結構; 圖7示意性地描繪在原子層沈積程序之第一步驟期間對基板上之選定部分之輻照; 圖8示意性地描繪在圖7中所描繪之步驟之後的原子層沈積程序中之步驟; 圖9示意性地描繪將輻射提供至環境控制系統之微影設備; 圖10示意性地描繪包含經組態以自相對側輻照基板之輻射系統的用於形成圖案化材料層的設備; 圖11示意性地描繪包含EUV微影設備及電子束源的用於形成圖案化材料層的設備; 圖12至圖16為描繪用於形成2D-FET之第一實例程序流程中之步驟的基板之一部分之示意性側視截面圖; 圖17至圖21為描繪用於形成2D-FET之第二實例程序流程中之步驟的基板之一部分之示意性側視截面圖; 圖22至圖26為描繪用於形成2D-FET之第三實例程序流程中之步驟的基板之一部分之示意性側視截面圖; 圖27為具有形成於支撐層上之目標層的基板之一部分之示意性側視截面圖; 圖28示意性地描繪在施加蝕刻程序之後的圖27之基板;且 圖29示意性地描繪在施加材料改質程序之後的圖27之基板。 Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which: 1 depicts a first example of a lithography system including a lithography apparatus and a radiation source; 2 depicts a second example of a lithography system including a lithography apparatus and a radiation source; Figure 3 schematically depicts the path of the EUV exposure area/slit above the substrate; 4 is a graph showing the expected exponential relationship between the lateral growth rate of a two-dimensional material on a substrate and the temperature of the substrate; 5 is a graph showing the linear variation of lateral growth time as a function of substrate temperature; 6 is a schematic side cross-sectional view of a portion of a substrate having a layered structure having a base layer, a thermal insulating layer, and a segmented support layer; Figure 7 schematically depicts irradiation of selected portions on a substrate during a first step of an atomic layer deposition procedure; Figure 8 schematically depicts steps in an atomic layer deposition procedure subsequent to the steps depicted in Figure 7; Figure 9 schematically depicts a lithography apparatus providing radiation to an environmental control system; 10 schematically depicts an apparatus for forming a patterned material layer including a radiation system configured to irradiate a substrate from opposite sides; Figure 11 schematically depicts an apparatus for forming a layer of patterned material comprising an EUV lithography apparatus and an electron beam source; 12-16 are schematic side cross-sectional views of a portion of a substrate depicting steps in a first example program flow for forming a 2D-FET; 17-21 are schematic side cross-sectional views of a portion of a substrate depicting steps in a second example program flow for forming 2D-FETs; 22-26 are schematic side cross-sectional views of a portion of a substrate depicting steps in a third example program flow for forming 2D-FETs; 27 is a schematic side cross-sectional view of a portion of a substrate having a target layer formed on a support layer; FIG. 28 schematically depicts the substrate of FIG. 27 after an etching process has been applied; and Figure 29 schematically depicts the substrate of Figure 27 after a material modification procedure has been applied.

80:基礎層 80: base layer

82:絕熱層 82: Insulation layer

84:支撐層 84: Support layer

85:子單元 85: Subunit

86:熱絕緣體/溝槽 86: Thermal Insulator/Trenches

W:基板 W: substrate

Claims (15)

一種形成一圖案化之材料層之方法,其包含: 提供具有一分層結構之一基板,該分層結構包含一基礎層、一支撐層,及該基礎層與該支撐層之間的一絕熱層,其中: 該絕熱層具有比該支撐層低之一熱導率; 該支撐層包含在該支撐層之一平面內彼此熱絕緣的複數個子單元;且 該方法包含在一圖案形成程序期間選擇性地輻照該支撐層之一選定部分,該輻照係關於在該選定部分中局部地驅動該圖案形成程序且藉此形成其圖案由該選定部分界定之一材料層。 A method of forming a patterned layer of material comprising: A substrate with a layered structure is provided, the layered structure includes a base layer, a support layer, and an insulating layer between the base layer and the support layer, wherein: The insulating layer has a lower thermal conductivity than the support layer; The support layer includes a plurality of subunits thermally insulated from each other in a plane of the support layer; and The method includes selectively irradiating a selected portion of the support layer during a patterning procedure with respect to locally driving the patterning procedure in the selected portion and thereby forming a pattern thereof defined by the selected portion a material layer. 如請求項1之方法,其中該分層結構進一步包含該支撐層與該絕熱層之間的一底層,該底層經組態以在該選擇性輻照期間吸收穿透該支撐層之實質上所有輻射。The method of claim 1, wherein the layered structure further comprises a bottom layer between the support layer and the thermally insulating layer, the bottom layer configured to absorb substantially all of the transmission through the support layer during the selective irradiation radiation. 如請求項1或2之方法,其中該絕熱層包含包含二維材料層之一多層堆疊,其中該絕熱層中之至少兩個鄰近二維材料層相對於彼此具有不同組成,且其中視情況,該絕熱層中之該等二維材料層包含以下各項之一或多個層:石墨烯;WSe 2;MoS 2;α-硒烯;α-碲烯。 The method of claim 1 or 2, wherein the insulating layer comprises a multilayer stack comprising two-dimensional material layers, wherein at least two adjacent two-dimensional material layers of the insulating layer have different compositions relative to each other, and wherein as appropriate , the two-dimensional material layers in the thermal insulation layer comprise one or more of the following layers: graphene; WSe 2 ; MoS 2 ; α-selenene; α-telluene. 如請求項1或2之方法,其中以與由經輻照選定部分界定之該圖案空間對齊之一子單元圖案提供該等子單元,其中視情況,該子單元圖案及由該經輻照選定部分界定之該圖案均為週期性的,在該基板之該平面內在至少一個方向上具有相同週期性。The method of claim 1 or 2, wherein the subunits are provided in a subunit pattern spatially aligned with the pattern defined by the irradiated selected portion, wherein the subunit pattern and the irradiated selected portion are optionally The partially defined patterns are all periodic, having the same periodicity in at least one direction in the plane of the substrate. 如請求項1或2之方法,其中材料之該圖案包含材料之複數個隔離部分,每一隔離部分提供於該等子單元中之一不同者上且視情況在面積上等於或小於上面提供有該隔離部分之該子單元。The method of claim 1 or 2, wherein the pattern of material comprises a plurality of isolated portions of material, each isolated portion being provided on a different one of the subunits and, as the case may be, in area equal to or smaller than that provided above the subunit of the isolation portion. 如請求項5之方法,其中材料之該等隔離部分中之每一者形成待製造之一不同電子裝置之一相同組件,其中視情況,材料之該等隔離部分形成電子裝置之通道。5. The method of claim 5, wherein each of the isolated portions of material form the same component of a different electronic device to be fabricated, wherein the isolated portions of material optionally form channels for the electronic device. 如請求項1或2之方法,其進一步包含在該選定部分之該選擇性輻照期間施加一補充輻照以提高該支撐層之一溫度,其中該補充輻照具有相較於該選擇性輻照較不精細之一空間解析度。The method of claim 1 or 2, further comprising applying a supplemental radiation to increase a temperature of the support layer during the selective radiation of the selected portion, wherein the supplemental radiation has a A spatial resolution that is less detailed. 如請求項1或2之方法,其中使用具有小於100 nm之一波長之電磁輻射執行該選擇性輻照,且其中視情況,該支撐層包含以下各項中之一或多者:Al 2O 3;SiO 2;HfO 2;Sn;SnO 2;In 2O 3;ITO。 The method of claim 1 or 2, wherein the selective irradiation is performed using electromagnetic radiation having a wavelength of less than 100 nm, and wherein the support layer, as appropriate, comprises one or more of: Al 2 O 3 ; SiO 2 ; HfO 2 ; Sn; SnO 2 ; In 2 O 3 ; ITO. 如請求項1或2之方法,其中該圖案形成程序包含一沈積程序且該選定部分之該輻照係關於在該選定部分中局部地驅動該沈積程序。2. The method of claim 1 or 2, wherein the patterning procedure includes a deposition procedure and the irradiation of the selected portion is related to locally driving the deposition procedure in the selected portion. 如請求項9之方法,其中: 沈積材料層包含一二維材料,較佳地一單層; 該沈積程序包含以下各項中之一或多者:原子層沈積;化學氣相沈積;電漿增強型化學氣相沈積;磊晶;濺鍍;及電子束誘發之沈積;且 該沈積材料層視情況包含以下各項中之一或多者:石墨烯;銻烯;一MXene;六方氮化硼;一過渡金屬二硫屬化物。 As in the method of claim 9, wherein: The deposited material layer comprises a two-dimensional material, preferably a monolayer; The deposition procedure includes one or more of: atomic layer deposition; chemical vapor deposition; plasma enhanced chemical vapor deposition; epitaxy; sputtering; and electron beam induced deposition; and The layer of deposited material optionally includes one or more of the following: graphene; antimonene; an MXene; hexagonal boron nitride; a transition metal dichalcogenide. 如請求項1或2之方法,其中該圖案形成程序包含一目標層之一蝕刻程序且該選定部分之該輻照係穿過該目標層執行且係關於局部地驅動該蝕刻程序。2. The method of claim 1 or 2, wherein the patterning process includes an etching process of a target layer and the irradiation of the selected portion is performed through the target layer and is about locally driving the etching process. 如請求項1或2之方法,其中該圖案形成程序包含一材料改質程序且該選定部分之該輻照係關於局部地驅動該材料改質程序。2. The method of claim 1 or 2, wherein the patterning process includes a material modification process and the irradiation of the selected portion is about locally driving the material modification process. 如請求項12之方法,其中該材料改質程序包含:對用於一沈積程序之一前驅體材料進行改質;局部地摻雜一材料;或提高一材料之一結晶度。The method of claim 12, wherein the material modification procedure comprises: modifying a precursor material used in a deposition procedure; locally doping a material; or increasing a crystallinity of a material. 如請求項1或2之方法,其中一微影設備用於藉由將一經圖案化輻射光束自一圖案化裝置投影至該基板上而提供該選擇性輻照。The method of claim 1 or 2, wherein a lithography apparatus is used to provide the selective irradiation by projecting a patterned beam of radiation onto the substrate from a patterning device. 一種形成一半導體裝置之方法,其包含使用如請求項1至14中任一項之方法在該裝置中形成至少一個層。A method of forming a semiconductor device comprising forming at least one layer in the device using the method of any one of claims 1 to 14.
TW110142334A 2020-11-18 2021-11-15 Method of forming a patterned layer of material TW202226915A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP20208461.2 2020-11-18
EP20208461.2A EP4001455A1 (en) 2020-11-18 2020-11-18 Method of forming a patterned layer of material
EP21169600 2021-04-21
EP21169600.0 2021-04-21

Publications (1)

Publication Number Publication Date
TW202226915A true TW202226915A (en) 2022-07-01

Family

ID=78463468

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110142334A TW202226915A (en) 2020-11-18 2021-11-15 Method of forming a patterned layer of material

Country Status (2)

Country Link
TW (1) TW202226915A (en)
WO (1) WO2022106157A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024002578A1 (en) * 2022-06-27 2024-01-04 Asml Netherlands B.V. Material, method and apparatus for forming a patterned layer of 2d material

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3977324B2 (en) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
EP3534211A1 (en) * 2018-03-02 2019-09-04 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
CN111837074B (en) 2018-03-02 2023-11-03 Asml荷兰有限公司 Method and apparatus for forming patterned material layer
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
US20220213593A1 (en) 2019-04-12 2022-07-07 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material

Also Published As

Publication number Publication date
WO2022106157A1 (en) 2022-05-27

Similar Documents

Publication Publication Date Title
US20090246706A1 (en) Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
KR102639026B1 (en) Method and apparatus for forming a layer of patterned material
US20150062545A1 (en) Pattern forming method, pattern forming apparatus, and computer readable storage medium
TWI842772B (en) A cluster processing system for forming a transition metal material
TWI714973B (en) Method and apparatus for forming a patterned layer of material
TW202226915A (en) Method of forming a patterned layer of material
EP4001455A1 (en) Method of forming a patterned layer of material
Aras et al. Glass‐assisted chemical vapor deposition‐grown monolayer MoS2: Effective control of size distribution via surface patterning
US20070022623A1 (en) Laser surface drying
JP2004525506A (en) Method for producing metal / semiconductor compound structure by X-ray / EUV projection lithography
US20230350301A1 (en) Method and apparatus for forming a patterned layer of material
EP4343020A1 (en) Method of forming a patterned layer of material, apparatus for forming a patterned layer of material
EP3722457A1 (en) Method and apparatus for forming a patterned layer of material
KR102619440B1 (en) Method and apparatus for forming a patterned layer of carbon, method of forming a patterned layer of material
EP4206823A1 (en) Method of patterning a target layer, apparatus for patterning a target layer
US20240071773A1 (en) Ion implantation for increased adhesion with resist material
JP2004134720A (en) Dry lithography method and gate pattern forming method using it
JPS63100746A (en) Method and apparatus thereof for wiring laying
WO2003015145A1 (en) Micromachining method using ionbeam
KR102617884B1 (en) Method for manufacturing reflective layer for lithography mask
JPS63164240A (en) Wiring formation and apparatus therefor
Milenin et al. A wafer-scaled III-V vertical FET fabrication by means of plasma etching
JP2003179032A (en) Ion beam microfabrication method of inorganic multilayer resist and semiconductor device, quantum device, micromachine component and fine structure thereby
JPWO2003054973A1 (en) Ion beam microfabrication method of inorganic multilayer resist and semiconductor device, quantum device, micromachine component and microstructure by this method