TW201906035A - 生產完全自我對準的介層窗及觸點之方法 - Google Patents
生產完全自我對準的介層窗及觸點之方法Info
- Publication number
- TW201906035A TW201906035A TW107120139A TW107120139A TW201906035A TW 201906035 A TW201906035 A TW 201906035A TW 107120139 A TW107120139 A TW 107120139A TW 107120139 A TW107120139 A TW 107120139A TW 201906035 A TW201906035 A TW 201906035A
- Authority
- TW
- Taiwan
- Prior art keywords
- insulating layer
- wires
- layer
- recessed
- window
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 85
- 239000000758 substrate Substances 0.000 claims abstract description 71
- 229910052751 metal Inorganic materials 0.000 claims abstract description 53
- 239000002184 metal Substances 0.000 claims abstract description 53
- 239000010410 layer Substances 0.000 claims description 347
- 239000011229 interlayer Substances 0.000 claims description 40
- 238000005530 etching Methods 0.000 claims description 36
- 238000012545 processing Methods 0.000 claims description 33
- 238000000151 deposition Methods 0.000 claims description 32
- 239000000463 material Substances 0.000 claims description 32
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 24
- 239000010408 film Substances 0.000 claims description 23
- 238000004519 manufacturing process Methods 0.000 claims description 23
- 238000009413 insulation Methods 0.000 claims description 21
- 229910052721 tungsten Inorganic materials 0.000 claims description 15
- 239000004020 conductor Substances 0.000 claims description 14
- 239000010937 tungsten Substances 0.000 claims description 14
- 239000010949 copper Substances 0.000 claims description 13
- 230000008021 deposition Effects 0.000 claims description 13
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 13
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 12
- 229910052802 copper Inorganic materials 0.000 claims description 12
- 239000000377 silicon dioxide Substances 0.000 claims description 10
- 235000012239 silicon dioxide Nutrition 0.000 claims description 10
- 239000010409 thin film Substances 0.000 claims description 8
- 230000001590 oxidative effect Effects 0.000 claims description 7
- 229910001930 tungsten oxide Inorganic materials 0.000 claims description 7
- 239000011521 glass Substances 0.000 claims description 6
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims description 6
- 150000004767 nitrides Chemical class 0.000 claims description 5
- 229910021426 porous silicon Inorganic materials 0.000 claims description 5
- 229910052718 tin Inorganic materials 0.000 claims description 5
- 238000001039 wet etching Methods 0.000 claims description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 4
- 229910020177 SiOF Inorganic materials 0.000 claims description 3
- 230000009471 action Effects 0.000 claims description 3
- 229910017052 cobalt Inorganic materials 0.000 claims description 3
- 239000010941 cobalt Substances 0.000 claims description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 3
- 229940104869 fluorosilicate Drugs 0.000 claims description 3
- 239000005360 phosphosilicate glass Substances 0.000 claims description 3
- 229920000642 polymer Polymers 0.000 claims description 3
- 239000005368 silicate glass Substances 0.000 claims description 3
- 230000008569 process Effects 0.000 description 46
- 238000001465 metallisation Methods 0.000 description 26
- -1 for example Substances 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910001507 metal halide Inorganic materials 0.000 description 12
- 230000003647 oxidation Effects 0.000 description 12
- 238000007254 oxidation reaction Methods 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 230000004888 barrier function Effects 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 239000007789 gas Substances 0.000 description 10
- 150000005309 metal halides Chemical class 0.000 description 10
- 239000002243 precursor Substances 0.000 description 10
- 239000003989 dielectric material Substances 0.000 description 9
- 238000004377 microelectronic Methods 0.000 description 9
- 238000005240 physical vapour deposition Methods 0.000 description 9
- 239000010936 titanium Substances 0.000 description 9
- 229910052715 tantalum Inorganic materials 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 230000015654 memory Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 229910052719 titanium Inorganic materials 0.000 description 7
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 6
- 239000011651 chromium Substances 0.000 description 6
- 229910052735 hafnium Inorganic materials 0.000 description 6
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 6
- 229910052736 halogen Inorganic materials 0.000 description 6
- 150000002367 halogens Chemical class 0.000 description 6
- 238000001451 molecular beam epitaxy Methods 0.000 description 6
- 229910052750 molybdenum Inorganic materials 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 229910052804 chromium Inorganic materials 0.000 description 5
- 239000011133 lead Substances 0.000 description 5
- 239000011572 manganese Substances 0.000 description 5
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 5
- 239000011733 molybdenum Substances 0.000 description 5
- 239000010955 niobium Substances 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N acetic acid Substances CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- WABPQHHGFIMREM-VENIDDJXSA-N lead-201 Chemical compound [201Pb] WABPQHHGFIMREM-VENIDDJXSA-N 0.000 description 4
- 229910052748 manganese Inorganic materials 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 229910052758 niobium Inorganic materials 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 239000011135 tin Substances 0.000 description 4
- 229910052720 vanadium Inorganic materials 0.000 description 4
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- 239000000908 ammonium hydroxide Substances 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000010931 gold Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 3
- 230000005693 optoelectronics Effects 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- YADSGOSSYOOKMP-UHFFFAOYSA-N dioxolead Chemical compound O=[Pb]=O YADSGOSSYOOKMP-UHFFFAOYSA-N 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 238000011010 flushing procedure Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 239000010948 rhodium Substances 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000003570 air Substances 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- QZPSXPBJTPJTSZ-UHFFFAOYSA-N aqua regia Chemical compound Cl.O[N+]([O-])=O QZPSXPBJTPJTSZ-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- RJCQBQGAPKAMLL-UHFFFAOYSA-N bromotrifluoromethane Chemical compound FC(F)(F)Br RJCQBQGAPKAMLL-UHFFFAOYSA-N 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- KMWHNPPKABDZMJ-UHFFFAOYSA-N cyclobuten-1-ylbenzene Chemical compound C1CC(C=2C=CC=CC=2)=C1 KMWHNPPKABDZMJ-UHFFFAOYSA-N 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 230000033444 hydroxylation Effects 0.000 description 1
- 238000005805 hydroxylation reaction Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- VVRQVWSVLMGPRN-UHFFFAOYSA-N oxotungsten Chemical class [W]=O VVRQVWSVLMGPRN-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- FGIUAXJPYTZDNR-UHFFFAOYSA-N potassium nitrate Chemical compound [K+].[O-][N+]([O-])=O FGIUAXJPYTZDNR-UHFFFAOYSA-N 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67138—Apparatus for wiring semiconductor or solid state device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
- H01L21/02244—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32134—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1026—Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
Abstract
本發明描述形成完全自我對準之介層窗的方法及設備。第一導線凹入於基板上之第一絕緣層中。第一金屬薄膜形成於凹入之第一導線中,且支柱係自第一金屬薄膜形成。支柱中之一些支柱經選擇性地移除,且第二絕緣層圍繞剩餘支柱沉積。剩餘支柱經移除以在第二絕緣層中形成介層窗。第三絕緣層沉積於介層窗中,且覆蓋層形成於第二絕緣層上。覆蓋層之多個部分自第二絕緣層選擇性地蝕刻以暴露第二絕緣層及經填充介層窗,且在第二絕緣層上留下第三絕緣層的多個部分。第三絕緣層自經填充介層窗蝕刻以形成到第一導線之介層窗開口。
Description
本揭示案之實施例大體係關於要求多個層經由介層窗之連接的積體電路製造方法。更特定言之,本揭示案之實施例係針對生產介層窗之方法,該等介層窗係自我對準的以使得具有在相反方向上運行之線的傳導層得以連接。
大體而言,積體電路(integrated circuit; IC)指代一組電子裝置,例如,形成於小的半導體材料晶片上之電晶體,該半導體材料通常為矽。通常,IC包括具有金屬線之一或多個金屬化層以將IC之電子裝置連接至彼此且連接至外部連接。通常,層間介電材料層置放於IC之金屬化層之間以用於絕緣。
隨著積體電路之大小減小,金屬線之間的間隔減小。通常,為了製造互連結構,平面製程得以使用,該平面製程涉及將一個金屬化層對準及連接至另一金屬化層。
通常,金屬化層中之金屬線的圖案化係自該金屬化層上方之介層窗獨立地執行。然而,習知的介層窗製造技術不可提供完全介層窗自我對準。在習知技術中,經形成以將上部金屬化層中之線連接至下部金屬化層的介層窗經常與下部金屬化層中之線非對準。介層窗-線非對準經由電阻而增加,且導致對錯誤金屬線之潛在短接。介層窗-線非對準引起裝置故障、減小產率且增加製造成本。因此,需要生產完全自我對準之介層窗的方法。
本揭示案之一或多個實施例係針對提供自我對準之介層窗的方法。第一導線凹入於基板上之第一絕緣層上。該等第一導線在該第一絕緣層上沿著第一方向延伸。第一金屬薄膜形成於該等凹入之第一導線中。支柱係自該等凹入之導線中的該第一金屬薄膜形成。該等支柱中之一些支柱經選擇性地移除,從而留下至少一個支柱。第二絕緣層圍繞該等剩餘支柱沉積。該等剩餘支柱經移除以在該第二絕緣層中形成介層窗。第三絕緣層在該等介層窗中沉積至該等凹入之第一導線上以形成經填充介層窗。第三絕緣層之覆蓋層形成於該第二絕緣層上。該覆蓋層之一部分自該第二絕緣層選擇性地蝕刻以暴露該第二絕緣層及該等經填充介層窗,且在該第二絕緣層上留下第三絕緣層的多個部分。該第三絕緣層自該等經填充介層窗移除以形成到該第一導線之介層窗開口。
本揭示案之額外實施例係針對製造電子裝置之系統。該等系統包括處理腔室、電漿源及處理器。該處理腔室包含底座以將包含複數個第一導線之基板固持於第一絕緣層上。該等第一導線在該第一絕緣層上沿著第一方向延伸。該電漿源耦接至該處理腔室以產生電漿。該處理器耦接至該電漿源。該處理器具有一或多個配置以控制選自以下各者之行動:使該等第一導線凹入;在該等凹入之第一導線上形成第一金屬薄膜;自該等凹入之第一導線中的該第一金屬薄膜形成支柱;選擇性地移除該等支柱中之一些支柱且留下至少一個支柱;圍繞該等剩餘支柱沉積第二絕緣層;移除該等剩餘支柱以在該第二絕緣層中形成介層窗;經由該等介層窗將第三絕緣層沉積至該等凹入之第一導線上以形成經填充介層窗;在該第二絕緣層上形成第三絕緣層之覆蓋層;自該第二絕緣層選擇性地蝕刻該覆蓋層之一部分以暴露該第二絕緣層及該等經填充介層窗,且在該第二絕緣層上留下第三絕緣層的多個部分;及/或自該等經填充介層窗蝕刻該第三絕緣層以形成到該第一導線之介層窗開口。
提供完全自我對準之介層窗的方法及設備得以描述。在一個實施例中,第一金屬化層得以形成,該第一金屬化層包含在基板上之第一絕緣層上沿著第一方向延伸的一組第一導線。第二絕緣層形成於第一絕緣層上。第二金屬化層得以形成,該第二金屬化層包含在第一金屬化層上方之第三絕緣層上的一組第二導線。該組第二導線沿著第二方向延伸。介層窗形成於第一金屬化層與第二金屬化層之間。介層窗沿著第二方向自我對準至第一導線中之一者。介層窗沿著第一方向自我對準至第二導線中之一者,如下文進一步詳細描述。在一個實施例中,第一及第二方向以一角度彼此交叉。在一個實施例中,第一方向及第二方向實質上彼此正交。
在一個實施例中,完全自我對準之介層窗係使用選擇性支柱生長技術製造。在一個實施例中,基板上之第一絕緣層上的導線凹入。該等導線在第一絕緣層上沿著第一方向延伸。支柱形成於凹入之導線上。第二絕緣層沉積於支柱之間。第三絕緣層沉積於第二絕緣層上。第三絕緣層相對於第二絕緣層選擇性地蝕刻以形成下至導線中之一者的介層窗開口,如下文進一步詳細描述。
在一個實施例中,完全自我對準之介層窗為沿著至少兩個方向自我對準至下部及上部金屬化層中之導線的介層窗。在一個實施例中,完全自我對準之介層窗係藉由一個方向上之硬遮罩及另一方向上的下層絕緣層界定,如下文進一步詳細描述。
一或多個實施例提供完全自我對準之介層窗,該等介層窗有利地消除介層窗非對準問題且避免對錯誤金屬線的短接。完全自我對準之介層窗提供益處大於習知介層窗的較低介層窗電阻及電容。自我對準之介層窗的實施例提供介層窗與金屬化層之導線之間的完全對準,該完全對準為實質上無誤差的,這有利地增大裝置產率並降低裝置成本。
當介層窗靠近在一起印刷時(與可藉由微影獲得之最小間距相比為更靠近的),介層窗遮罩層組分成多個遮罩。舉例而言,替代於以單一微影-蝕刻序列界定對金屬之介層窗,兩個或更多個微影-蝕刻序列用以避免短接緊密間隔的介層窗。本揭示案之一些實施例係針對支柱生長製程,其中所有介層窗經界定為在兩個金屬層之間交叉,使得鄰近的介層窗將不會彼此短接。在一些實施例中,多個介層窗可使用置放於多個交叉點之上的一個大的微影特徵來界定。在此狀況下,金屬層在所界定之大的微影開口下重疊之所有區域將形成介層窗。如稍後論述,第22圖圖示對彼此交叉之第一導線201及第二導線2001的介層窗1801鏈結。不具有介層窗1801之交叉部分可藉由微影遮罩來維持。組合自我對準製程與經最佳化之介層窗及選路設計規則,每層的遮罩數目可減少,從而節省成本及製程複雜性。
在以下描述中,諸如元件之特定材料、化學物、尺寸等的眾多特定細節得以闡述,以便提供對本揭示案之實施例中之一或多者的詳盡理解。然而,本領域中熟習此項技術者將顯而易見,本揭示案之一或多個實施例可在無此等特定細節的情況下實踐。在其他情況下,半導體製造製程、技術、材料、裝備等尚未以大量細節來描述,以避免不必要地混淆此描述。在具有所包括之描述的情況下,本領域中熟習此項技術者將能夠在無過度實驗的情況下實施適當的功能性。
儘管本揭示案之某些示範性實施例在隨附圖式中得以描述及圖示,但應理解,此等實施例僅僅為說明性的且並不限制本揭示案,且本揭示案不限於所圖示及描述之特定構造及佈置,此係因為本領域中熟習此項技術者可想到修改。
遍及本說明書對「一個實施例」、「另一實施例」或「實施例」之引用意謂著,結合實施例所述之特定特徵、結構或特性包括於本揭示案的至少一實施例中。因此,片語「在一個實施例中」或「在實施例中」在遍及本說明書之各處的出現未必均指代本揭示案之同一實施例。此外,特定特徵、結構或特性可在一或多個實施例中以任何合適之方式組合。
在描述本揭示案之若干示範性實施例之前,應理解,本揭示案不限於以下描述中所闡述之構造或製程步驟的細節。本揭示案能夠具有其他實施例且能夠以各種方式實踐或實行。
如本文所使用之「基板」指代在基板上所形成之任何基板或材料表面,薄膜處理在製造製程期間係在該基板或材料表面上執行。舉例而言,取決於應用,處理可於其上執行之基板表面包括:材料,諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜之氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石;及任何其他材料,諸如金屬、金屬氮化物、金屬合金;及其他傳導性材料。基板包括(無限制)半導體晶圓。基板可暴露至預處理製程以拋光、蝕刻、減小、氧化、羥化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接對基板自身之表面進行薄膜處理之外,在本揭示案中,所揭示之薄膜處理步驟中的任一者亦可對如下文更詳細揭示之基板上所形成的下層執行,且術語「基板表面」意欲包括此下層,如上下文指示。因此舉例而言,在薄膜/層或部分薄膜/層已沉積至基板表面上的情況下,新近沉積之薄膜/層的暴露表面變為基板表面。
本揭示案之一或多個實施例係針對提供完全自我對準之介層窗的方法及設備。關於諸圖中所示出之詳細製程來描述本揭示案之各種態樣。熟習此項技術者將理解,本揭示案之範疇不限於諸圖中所述的特定細節且製程之一些部分可更改或省略。
第1A圖示出根據一些實施例的提供完全自我對準之介層窗或氣隙的電子裝置結構之俯視圖100及橫截面圖110。橫截面圖110係沿著軸線A-A',如第1A圖中所描繪。第1B圖為第1A圖中所描繪之電子裝置結構的透視圖120。下部金屬化層(Mx)包含在基板101上之絕緣層102上沿著X軸線(方向)121延伸的一組導線,如第1A圖及第1B圖中所示。如第1B圖中所示,X方向121以角度123與Y軸線(方向)122交叉。在一或多個實施例中,角度123為約90度。在一些實施例中,角度123為除了90度角度以外之角度。絕緣層102包含溝槽104。導線103沉積於溝槽104中。
在一些實施例中,基板101包含半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、InP、GaAs、InGaAs、InAIAs、其他半導體材料,或其任何組合。在一些實施例中,基板101為隔絕體上半導體(semiconductor-on-isolator; SOI)基板,該基板包括大塊下部基板、中間絕緣層及頂部單晶層。頂部單晶層可包含上文所列出之任何材料,例如矽。在各種實施例中,基板101可為例如有機體、陶瓷、玻璃或半導體基板。儘管此處描述了可形成基板之材料的少許實例,但可充當被動及主動電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子裝置或任何其他電子裝置)可建置之基礎的任何材料落入本揭示案之精神及範疇內。
在一些實施例中,基板101包括用於積體電路之一或多個金屬化互連層。在一些實施例中,基板101包括經配置來連接金屬化層之互連件,例如介層窗。在一些實施例中,基板101包括電子裝置,例如電晶體、記憶體、電容器、電阻器、光電子裝置、開關,及藉由電絕緣層分開之任何其他主動及被動電子裝置。舉例而言,層間介電質、溝槽絕緣層或任何其他絕緣層對於一般熟習電子裝置製造之技術者而言係已知的。在一些實施例中,基板包括一或多個緩衝層,該一或多個緩衝層適應基板101與基板101上方之一或多個層之間的晶格失配且限制晶格錯位及缺陷。
絕緣層102可為適於使鄰近的裝置絕緣且防止洩漏之任何材料。在一些實施例中,電絕緣層102為氧化物層,例如二氧化矽,或藉由電子裝置設計所決定之任何其他電絕緣層。在一些實施例中,絕緣層102包含層間介電質(interlayer dielectric; ILD)。在一些實施例中,絕緣層102為低k介電質,該低k介電質包括但不限於諸如以下各者之材料:例如,二氧化矽、氧化矽、例如碳摻雜之二氧化矽的碳摻雜之氧化物(carbon doped oxide; 「CDO」)、多孔二氧化矽、氮化矽或其任何組合。
在一些實施例中,絕緣層102包括具有小於5之k值的介電材料。在一些實施例中,絕緣層102包括具有小於2之k值的介電材料。在一些實施例中,絕緣層102包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、藉由電子裝置設計所決定之其他電絕緣層,或其任何組合。在一些實施例中,絕緣層102可包括聚醯亞胺、環氧樹脂、諸如苯環丁烯(BCB)之光可定義材料,及WPR系列材料,或旋塗式玻璃。
在一些實施例中,絕緣層102為在基板101上隔絕一個金屬線與其他金屬線之低k層間介電質。在一些實施例中,層102之厚度係在自約10奈米(nm)至約2微米(µm)的近似範圍內。
在一些實施例中,絕緣層102係使用沉積技術中之一者來沉積,諸如但不限於化學氣相沉積(chemical vapor deposition; 「CVD」)、物理氣相沉積(physical vapor deposition; 「PVD」)、分子束磊晶(molecular beam epitaxy; 「MBE」)、金屬有機化學氣相沉積(metalorganic chemical vapor deposition; 「MOCVD」)、原子層沉積(atomic layer deposition; 「ALO」)、旋塗,或一般熟習微電子裝置製造之技術者已知的其他絕緣沉積技術。
在一些實施例中,包含金屬線103之下部金屬化層Mx為電子裝置之後端金屬化的一部分。在一些實施例中,絕緣層102係使用硬遮罩來圖案化及蝕刻,以使用一般熟習微電子裝置製造之技術者已知的一或多種圖案化及蝕刻技術來形成溝槽104。在一些實施例中,絕緣層102中之溝槽的大小係藉由稍後在製程中形成之導線的大小來決定。
在一些實施例中,形成導線103涉及用傳導性材料層填充溝槽104。在一些實施例中,基底層(未圖示)首先沉積於溝槽104之內部側壁及底部上,且接著傳導層沉積於基底層上。在一些實施例中,基底層包括沉積於傳導性障壁層(未圖示)上之傳導性晶種層(未圖示)。晶種層可包括銅,且傳導性障壁層可包括鋁、鈦、鉭、氮化鉭,及相似金屬。傳導性障壁層可用以防止傳導性材料自例如銅之晶種層擴散至絕緣層102中。另外,傳導性障壁層可用以提供針對晶種層(例如,銅)之黏附。
在一些實施例中,為了形成基底層,傳導性障壁層沉積至溝槽104之側壁及底部上,且接著晶種層沉積於傳導性障壁層上。在另一實施例中,傳導性基底層包括直接沉積至溝槽104之側壁及底部上的晶種層。傳導性障壁層及晶種層中之每一者可使用一般熟習半導體製造之技術者已知的任何薄膜沉積技術來沉積,例如濺鍍、毯覆式沉積及其類似者。在一個實施例中,傳導性障壁層及晶種層中之每一者具有在自約1 nm至約100 nm之近似範圍內的厚度。在一些實施例中,障壁層可為已被蝕刻以建立對下方之金屬層之傳導性的薄介電質。在一些實施例中,障壁層可一起省略,且銅線之適當摻雜可用以製成「自我形成障壁」。
在一些實施例中,例如銅之傳導層藉由電鍍製程沉積至銅基底層的晶種層上。在一些實施例中,傳導層使用一般熟習微電子裝置製造之技術者已知的鑲嵌製程沉積至溝槽104中。在一個實施例中,傳導層使用選擇性沉積技術沉積至溝槽104中之晶種層上,該技術諸如但不限於電鍍、無電、CVD、PVD、MBE、MOCVD、ALO、旋塗,或一般熟習微電子裝置製造之技術者已知的其他沉積技術。
在一些實施例中,針對用於導線103之傳導層的材料之選擇決定了針對晶種層之材料的選擇。舉例而言,若針對導線103之材料包括銅,則針對晶種層之材料亦包括銅。在一些實施例中,導線103包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hi)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Au)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)或其任何組合。
在替代性實施例中,可用於金屬化層Mx之導線103的傳導性材料之實例為但不限於:金屬,例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛、金屬合金;金屬碳化物,例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁;其他傳導性材料;或其任何組合。
在一些實施例中,傳導層及基底層之多個部分經移除,以使用一般熟習微電子裝置製造之技術者已知的化學-機械拋光(chemical-mechanical polishing; 「CMP」)技術使導線103之頂部部分與絕緣層102之頂部部分變平。
在一個非限制性實例中,導線103之厚度係在自約15 nm至約1000 nm之近似範圍內。在一個非限制性實例中,導線103之厚度係自約20 nm至約200 nm。在一個非限制性實例中,導線103之寬度係在自約5 nm至約500 nm之近似範圍內。在一個非限制性實例中,導線103之間的間隔(間距)係自約2 nm至約500 nm。在更特定之非限制性實例中,導線103之間的間隔(間距)係自約5 nm至約50 nm。
在一些實施例中,下部金屬化層Mx經配置來連接至其他金屬化層(未圖示)。在一些實施例中,金屬化層Mx經配置來對電子裝置提供電接觸,該等電子裝置例如電晶體、記憶體、電容器、電阻器、光電子裝置、開關,及藉由電絕緣層分開之任何其他主動及被動電子裝置,該電絕緣層例如層間介電質、溝槽絕緣層,或一般熟習電子裝置製造之技術者已知的任何其他絕緣層。
第2A圖為根據一些實施例的在導線103凹入之後之類似於第1A圖之視圖110的視圖200。第2B圖為根據一些實施例的在導線103凹入之後之類似於第1B圖的視圖210。導線103凹入至預定深度以形成凹入之導線201。如第2A圖及第2B圖中所示,溝槽202形成於絕緣層102中。每一溝槽202具有為絕緣層102之部分的側壁204及為凹入之導線201之頂部表面203的底部。
在一些實施例中,溝槽202之深度係自約10 nm至約500 nm。在一些實施例中,溝槽202之深度係自導線之厚度的約10%至約100%。在一些實施例中,導線103係使用濕式蝕刻、乾式蝕刻,或一般熟習電子裝置製造之技術者已知的技術之組合中之一或多者來凹入。
第3圖為根據一些實施例的在襯墊301沉積於凹入之導線201上之後之類似於第2A圖的視圖300。襯墊301沉積於溝槽202之底部及側壁上,如第3圖中所示。
在一些實施例中,襯墊301經沉積以保護導線201免於稍後在製程(例如,在鎢沉積期間,或其他製程)中改變性質。在一些實施例中,襯墊301為傳導性襯墊。在另一實施例中,襯墊301為非傳導性襯墊。在一些實施例中,當襯墊301為非傳導性襯墊時,襯墊301稍後在製程中移除,如下文進一步詳細描述。在一些實施例中,襯墊301包括氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN),或其任何組合。在另一實施例中,襯墊301為氧化物,例如氧化鋁(AlO)、氧化鈦(TiO2
)。在又一實施例中,襯墊301為氮化物,例如氮化矽(SiN)。在實施例中,襯墊301沉積至自約0.5 nm至約10 nm之厚度。
在一些實施例中,襯墊301係使用原子層沉積(ALD)技術來沉積。在一些實施例中,襯墊301係使用沉積技術中之一者來沉積,該等沉積技術諸如但不限於CVD、PVD、MBE、MOCVD、旋塗,或一般熟習微電子裝置製造之技術者已知的其他襯墊沉積技術。
第4圖為根據一些實施例的在晶種填隙層401沉積於襯墊301上之後之類似於第3圖的視圖400。在一些實施例中,晶種填隙層401為自我對準之選擇性生長晶種薄膜。如第4圖中所示,晶種填隙層401沉積於凹入之導線201之頂部表面203、溝槽202的側壁204及絕緣層102之頂部部分上的襯墊301上。在一些實施例中,晶種填隙層401為鎢(W)層或其他晶種填隙層以提供選擇性生長支柱。在一些實施例中,晶種填隙層401為金屬薄膜或含金屬薄膜。合適的金屬薄膜包括但不限於包括以下各者中之一或多者的薄膜:Co、Mo、W、Ta、Ti、Ru、銠(Rh)、Cu、Fe、Mn、V、鈮(Nb)、鉿(Hf)、鋯(Zr)、釔(Y)、Al、Sn、Cr、鑭(La),或其任何組合。在一些實施例中,晶種填隙層401包含鎢(W)晶種填隙層。
在一些實施例中,晶種填隙層401係使用沉積技術中之一者來沉積,該等沉積技術諸如但不限於ALD、CVD、PVD、MBE、MOCVD、旋塗,或一般熟習微電子裝置製造之技術者已知的其他襯墊沉積技術。
第5A圖為根據一個實施例的在晶種填隙層401之多個部分經移除以暴露絕緣層102之頂部部分之後的類似於第4圖之視圖500。第5B圖為第5A圖中所示之電子裝置結構的透視圖。在一些實施例中,晶種填隙層401之多個部分係使用一般熟習微電子裝置製造之技術者已知的化學-機械拋光(chemical-mechanical polishing; CMP)技術中之一者來移除。
第6A圖為根據一或多個實施例的在自我對準之選擇性生長支柱601使用凹入之導線201上的襯墊301上之晶種填隙層401形成之後的類似於第5A圖之視圖600,且第6B圖為類似於第5B圖之視圖610。如第6A圖及第6B圖中所示,自我對準之選擇性生長支柱601的陣列具有與該組導線201相同的圖案。如第6A圖及第6B圖中所示,支柱601自導線201之頂部表面實質上正交地延伸。如第6A圖及第6B圖中所示,支柱601沿著與導線201相同之方向延伸。如第6A圖及第6B圖中所示,支柱601係藉由間隙603分開。
在一些實施例中,支柱601自導線201上之襯墊301之多個部分上的晶種填隙層401選擇性地生長。支柱601並未生長於絕緣層102上之襯墊301的多個部分上,如第6A圖及第6B圖中所示。在一些實施例中,導線201上方之晶種填隙層401的多個部分例如藉由氧化、氮化或其他製程而擴張以使支柱601生長。在一些實施例中,晶種填隙層401係藉由暴露至氧化劑或氧化條件而氧化,以將金屬或含金屬晶種填隙層401變換為金屬氧化物支柱601。在一些實施例中,支柱601包括上文所列出之一或多種金屬的氧化物。在更特定實施例中,支柱601包括鎢氧化物(例如,WO、WO3
及其他鎢氧化物)。
氧化劑可為任何合適之氧化劑,包括但不限於O2
、O3
、N2
O、H2
O、H2
O2
、CO、CO2
、NH3
、N2
/Ar、N2
/He、N2
/Ar/He或其任何組合。在一些實施例中,氧化條件包含熱氧化、電漿增強型氧化、遠端電漿氧化、微波及射頻氧化(例如,電感耦合電漿(inductively coupled plasma; ICP)、電容耦合電漿(capacitively coupled plasma; CCP))。
在一些實施例中,支柱601係取決於例如晶種填隙層及氧化劑之組成而在任何合適之溫度下藉由晶種填隙層的氧化來形成。在一些實施例中,氧化在約攝氏25度至約攝氏800度之近似範圍內的溫度下發生。在一些實施例中,氧化在大於或等於約150 ℃之溫度下發生。在一些實施例中,支柱601之高度602係在自約5埃(A.)至約10微米(µm)的近似範圍內。
第7A圖為根據一些實施例的在絕緣層701經沉積以使支柱601之間的間隙滿溢之後的類似於第6A圖之視圖700,且第7B圖為類似於第6B圖之視圖710。如第7A圖及第7B圖中所示,絕緣層701沉積於支柱601之相對側面702及頂部部分703上,且沉積通過絕緣層102之多個部分及支柱601之間的襯墊301上之間隙。第一遮罩720及第二遮罩730係示出於絕緣層701上。第一遮罩720經圖示為覆蓋全部的絕緣層701,且第二遮罩730係在單獨的支柱601之上方隔絕。熟習此項技術者將認識到,遮蔽及絕緣體層可為單或多層。
在一些實施例中,絕緣層701為低k填隙層。在一個實施例中,絕緣層701為可流動氧化矽(FSiOx)層。在一些實施例中,絕緣層701為氧化物層,例如二氧化矽,或藉由電子裝置設計所決定之任何其他電絕緣層。在一些實施例中,絕緣層701為層間介電質(interlayer dielectric; ILD)。在一些實施例中,絕緣層701為低k介電質,該低k介電質包括但不限於諸如以下各者之材料:二氧化矽、氧化矽、例如多孔碳薄膜之碳基材料、例如碳摻雜之二氧化矽的碳摻雜之氧化物(carbon doped oxide; 「CDO」)、多孔二氧化矽、多孔氧化矽碳化物氫化物(SiOCH)、氮化矽,或其任何組合。在一些實施例中,絕緣層701為具有小於3之k值的介電材料。在一些實施例中,絕緣層701為具有在自約2.2至約2.7之近似範圍內之k值的介電材料。在一些實施例中,絕緣層701包括具有小於2之k值的介電材料。在一些實施例中,絕緣層701表示上文關於絕緣層102所述之絕緣層中的一者。
在一些實施例中,絕緣層701為隔絕一個金屬線與其他金屬線之低k層間介電質。在一些實施例中,絕緣層701係使用沉積技術中之一者來沉積,該等沉積技術諸如但不限於CVD、旋塗、ALD、PVD、MBE、MOCVD,或一般熟習微電子裝置製造之技術者已知的其他低k絕緣層沉積技術。
遮罩720及/或遮罩730可為任何合適之材料。在一些實施例中,遮罩720或遮罩730中之一或多者包含氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳氮化矽或氧碳氮化矽。在一些實施例中,遮罩720或遮罩730中之一或多者包含光阻。
第8A圖為在蝕刻遮罩720、絕緣層701及至少一個支柱601之多個部分之後的類似於第7A圖之視圖800,且第8B圖為類似於第7B圖的視圖810。
該蝕刻留下與導線201接觸之至少一個支柱601。在所示出之實施例中,存在兩個支柱601保持與導線201接觸且一個支柱已被移除。
在所示出之實施例中,蝕刻製程各向同性地移除並非在遮罩730正下方之材料。絕緣層701之多個部分保留於剩餘支柱601之側面702及頂部703上。中間支柱601或並未藉由遮罩730遮掩之任何支柱經移除,從而留下間隙801。
在所示出之實施例中,襯墊301保留於間隙801中。在一些實施例中,襯墊301係使用一般熟習電子裝置製造之技術者已知的乾式及濕式蝕刻技術中之一或多者來選擇性地移除。
蝕刻可藉由熟習此項技術者已知的任何合適之蝕刻技術在製程之此部分或併有蝕刻之製程的任何其他部分中執行。在一些實施例中,蝕刻製程為乾式蝕刻或濕式蝕刻中之一或多者。在一些實施例中,蝕刻溶液包含在約80℃之溫度下的5 wt%氫氧化銨水溶液。在一些實施例中,過氧化氫添加至氫氧化銨溶液以增大蝕刻速率。在一些實施例中,以約1:1之比率的氫氟酸及硝酸用以蝕刻。在一些實施例中,以約3:7之比率的HF及HNO3
分別用以蝕刻。在一些實施例中,HF:HNO3
比率為約4:1。在一些實施例中,支柱601包括鎢及/或鈦,且係使用以1:2之比率的氫氧化銨:過氧化氫來蝕刻。在一個實施例中,支柱601係使用305克之鐵氰化鉀(K3
Fe(CN)6
)、44.5克之氫氧化鈉(NaOH)及1000 ml的水(H2
O)來選擇性地濕式蝕刻。在一個實施例中,支柱601係使用化學物中之經稀釋或濃縮的一或多者來選擇性地濕式蝕刻,該等化學物包括鹽酸(HCl)、HNO3
、硫酸(H2
SO4
)、HF及H2
O2
。在一個實施例中,支柱601係分別使用以4:4:3之比率的HF、HNO3
及醋酸(HAc)來選擇性地濕式蝕刻。在一個實施例中,支柱601係使用一溴三氟甲烷(CBrF3
)反應性離子蝕刻(RIE)技術來選擇性地乾式蝕刻。在一個實施例中,支柱601係使用基於氯、氟、溴或其任何組合之化學物來選擇性地乾式蝕刻。在一個實施例中,支柱601係使用熱或暖的王水混合物來選擇性地濕式蝕刻,該王水混合物分別包括以3:1之比率的HCl及HNO3
。在一個實施例中,支柱601係使用具有氧化劑(硝酸鉀(KNO3
)及二氧化鉛(PbO2
))之鹼來選擇性地蝕刻。
第9A圖及第9B圖分別為在絕緣層701、遮罩720及遮罩730之移除以暴露支柱601及間隙801之後的類似於第8A圖及第8B圖之視圖900及視圖910。絕緣層701、遮罩720及遮罩730可藉由任何合適之技術或技術之組合移除。舉例而言,蝕刻製程可選擇性地移除絕緣層701、遮罩720及遮罩730,而不影響支柱601或絕緣層102。在一些實施例中,一個以上蝕刻製程用以移除絕緣層701、遮罩720及遮罩730。舉例而言,第一蝕刻製程可用以移除遮罩730,且第二蝕刻製程可用以移除遮罩720及絕緣層701。在一些實施例中,存在三個蝕刻製程用以移除該等三個層,其中每一蝕刻製程針對該等層中之一者為選擇性的。
第10A圖及第10B圖分別為在第二絕緣層1001之沉積之後的類似於第9A圖及第9B圖之視圖1000及視圖1010,第二絕緣層1001亦稱為層間介電質或ILD-A。第二絕緣層1001可為如上文關於絕緣層102所述之任何合適的介電材料。在一些實施例中,ILD-A包含可流動薄膜。在一些實施例中,可流動薄膜包含氧化矽或碳氧化矽中之一或多者。在一些實施例中,ILD-A包含旋塗式低k材料。
在第10A圖及第10B圖中所示之實施例中,第二絕緣層1001具有大於支柱601之高度。以不同方式陳述,第二絕緣層1001之厚度足以覆蓋支柱601。在一些實施例中,第二絕緣層1001經形成,使得ILD-A之頂部實質上與支柱601平齊或稍低於支柱601的頂部。
第11A圖及第11B圖分別為在第二絕緣層1001之化學-機械平面化(chemical-mechanical planarization; CMP)以暴露支柱601之頂部703之後的類似於第10A圖及第10B圖之視圖1100及視圖1110。CMP製程可為熟習此項技術者已知的任何合適之平面化製程。在一些實施例中,第二絕緣層1001經沉積,使得ILD-A之頂部與支柱601之頂部703平齊或稍低於頂部703,且CMP製程並未執行。
第12A圖及第12B圖分別為在支柱601之移除以留下第二絕緣層1001中之介層窗1201之後的類似於第11A圖及第11B圖之視圖1200及視圖1210。支柱601之蝕刻可藉由任何合適之技術來進行。在一些實施例中,蝕刻支柱601包含將支柱601暴露至金屬鹵化物化合物。在一些實施例中,金屬鹵化物化合物具有不同於支柱601之金屬。
在一些實施例中,蝕刻支柱601包含暴露至含金屬及鹵素之前驅體(例如,WCl6
),亦稱為金屬鹵化物前驅體。金屬鹵化物前驅體可與支柱601反應。在一些實施例中,暴露至金屬鹵化物前驅體引起與支柱材料之放熱反應,且無電漿存在於基板處理區中。在一些實施例中,無電漿用以在進入基板處理區之前激勵金屬鹵化物前驅體。
在示範性非限制性製程中,支柱601包含鎢且藉由與氧反應而生長以形成氧化鎢支柱,該等氧化鎢支柱可採取WO3
之形式。WO3
暴露至WCl6
(或可能地WCl5
)形成離開表面之揮發性WOCl4
及/或WO2
Cl2
,直至所有氧化鎢經移除為止。一旦氧化鎢部分(或大體而言,金屬氧化物部分)經移除,則反應可自發地停止。該製程可重複整數數目個循環。每一循環可移除可選擇量之原始鎢薄膜(例如,1或2個單層)。
在一些實施例中,金屬鹵化物前驅體包括兩個或更多個或僅兩個不同元素,該等元素包括金屬元素及鹵素元素。金屬鹵化物前驅體可包括僅單一原子之金屬元素但多個原子的相同鹵素元素(如針對WCl6
及WCl5
之情形)。金屬鹵化物之金屬元素可在實施例中包括以下各者中之一或多者:鈦、鉿、鋯、釩、鈮、鉭、鉻、鉬、鎢、錳、錸、鍀、鐵、鋁及鎵。在一些實施例中,金屬鹵化物之金屬元素具有22、23、24、40、41、42、72、73或74之原子數目。在一或多個實施例中,金屬元素包含元素週期表之第4族、第5族或第6族之元素,或可為過渡金屬。根據一或多個實施例,鹵素元素可為F及Cl中之一者。鹵素元素可為F、Cl、Br及/或I中之一或多者。在一些實施例中,含金屬及鹵素之前驅體無氟。合適之金屬鹵化物前驅體的一些實例包括但不限於釩五鹵化物、鉭五鹵化物、鉻六鹵化物、鉬五鹵化物、鉬六鹵化物、鈮五鹵化物、鎢五鹵化物、鎢六鹵化物及錳四鹵化物。在一些實施例中,金屬鹵化物前驅體包括但不限於鹵化釩、鹵化鉭、鹵化鉻、鹵化鉬、鹵化鈮、鹵化鎢及/或鹵化錳,其中金屬元素之氧化狀態可為任何合適的氧化狀態。
第13A圖及第13B圖分別為在用第三絕緣層1301對介層窗1201進行填隙之後的類似於第12A圖及第12B圖之視圖1300及視圖1310。第三絕緣層1301可為不同於第二絕緣層1001之任何合適的介電材料。第三絕緣層1301填充介層窗1201且接觸襯墊301(如所示)或凹入之第一導線201(若無襯墊301存在)。
在所示出之實施例中,第三絕緣層1301經沉積足以在第二絕緣層1001之頂部上形成覆蓋層1302的厚度。覆蓋層1302可為可易於在後續平面化或蝕刻製程中移除之任何合適厚度。第三絕緣層1301亦可稱為第二層間介電質或ILD-B。一些實施例之第三絕緣層1301包含具有小於或等於約5之介電常數的低k介電質。在一些實施例中,第一絕緣層、第二絕緣層及第三絕緣層中之一或多者獨立地選自:氧化物、碳摻雜之氧化物、多孔二氧化矽、氮化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH),或其任何組合。
第14A圖及第14B圖分別為在第三絕緣層1301之覆蓋層1302之移除之後的類似於第13A圖及第13B圖之視圖1400及視圖1410。在一些實施例中,覆蓋層1302可藉由熟習此項技術者已知的化學-機械平面化(chemical-mechanical planarization; CMP)製程來移除。
在一些實施例中,覆蓋層1302係藉由選擇性蝕刻製程移除。選擇性蝕刻製程可針對第三絕緣層1301相對於第二絕緣層1001之移除而為選擇性的,使得實質上無第二絕緣層1001被移除。在覆蓋層1302之移除之後,第二絕緣層1001及第三絕緣層1301被暴露。以不同方式陳述,在覆蓋層1302之移除之後,第一層間介電質ILD-A及第二層間介電質ILD-B兩者被暴露。
第15A圖及第15B圖分別為在額外第三絕緣層1501、堆疊1502及遮罩1503之形成之後的類似於第14A圖及第14B圖之視圖1500及視圖1510。額外第三絕緣層1501為與在介層窗中已存在之第三絕緣層1301相同的材料。額外第三絕緣層1501及堆疊1502之厚度的組合與介層窗之深度實質上相同。第三絕緣層1301、額外第三絕緣層1501、堆疊1502及遮罩1503可藉由熟習此項技術者已知的任何合適技術來形成。
第16A圖及第16B圖分別為在蝕刻堆疊1502及額外第三絕緣層1501以暴露第二絕緣層1001之後的類似於第15A圖及第15B圖之視圖1600及視圖1610。遮罩1503覆蓋堆疊1502及額外第三絕緣層1501的在蝕刻製程中並未移除之多個部分以形成溝槽1601。
溝槽1601在不同於第一導線201之第一方向的第二方向上延伸。在所示之實施例中,第一導線201沿著x軸線延伸且溝槽1601沿著y軸線延伸。在一些實施例中,第二方向與第一方向成一角度,該角度在約30°至約150°之範圍內,或在約50°至約130°之範圍內,或在約70°至約110°之範圍內,或在約80°至約100°之範圍內,或在約85°至約95°之範圍內,或在約87°至約93°之範圍內,或在約89°至約91°之範圍內。
第17A圖及第17B圖分別為在額外堆疊1701(例如,熟習此項技術者已知的三層堆疊)及遮罩1702得以形成之後的類似於第16A圖及第16B圖之視圖1700及視圖1710。堆疊1701及遮罩1702可為任何合適之材料。在一些實施例中,額外堆疊1701具有實質上等於已存在之額外第三絕緣層1501及堆疊1502之厚度的厚度。
第18A圖及第18B圖分別為在自並非在遮罩1503或遮罩1702之下之區蝕刻額外堆疊1701及第三絕緣層1301之後的類似於第17A圖及第17B圖之視圖1800及視圖1810。在第三絕緣層1301之移除之後,介層窗1801形成於第二絕緣層1001中。用以移除第三絕緣層1301之蝕刻製程針對相對於第二絕緣材料1001之第三絕緣層1301為選擇性的,使得介層窗1801的寬度受控制。
第19A圖及第19B圖分別為在移除遮罩1503、遮罩1702及堆疊1502從而留下介層窗1801及溝槽1901之後的類似於第18A圖及第18B圖之視圖1900及視圖1910。第三絕緣層1301已在此點處完全移除,從而留下第一絕緣層102上之第二絕緣層1001及延伸通過第二絕緣層1001的介層窗1801。
第20A圖及第20B圖分別為在第二導線2001於介層窗1801及溝槽1901中之沉積之後的類似於第19A圖及第19B圖之視圖2000及視圖2010。第二導線2001可為任何合適之金屬且可藉由任何合適之沉積技術來沉積。第二導線2001在不同於第一導線201之第一方向的第二方向上延伸,如上文所述。
第21圖圖示本揭示案之另一實施例。此處,支柱601生長以在閘2160之間形成觸點2150。介電質2170防止鄰近的閘2160之直接短接。閘2160可為熟習此項技術者已知的任何合適類型之閘。閘2160之特定結構並未如熟習此項技術者將認識到及知曉形成合適閘之方式般分解為個別部件。在一些實施例中,閘2160觸點形成一或多個導線103,如第1圖中所示,且關於各圖所述之製程形成觸點2150。
第22圖圖示具有呈巢套結構之完全自我對準之介層窗的裝置2100之一部分。第一導線201在頁面上垂直地延伸,且第二導線2001在頁面上水平地延伸。介層窗1801得以示出,其中第一導線201與第二導線2001之間的連接發生。導線及介層窗之包裝及佈置與所示出之實施例相比可為更緊(亦即,較高密度)或更松的(亦即,較低密度)。
第23圖圖示根據一個實施例的執行操作中之至少一些操作以提供完全自我對準之介層窗的電漿系統之方塊圖。如第23圖中所示,系統2200具有處理腔室2201。固持電子裝置結構2203之可移動底座2202置放於處理腔室2201中。底座2202包含靜電吸盤(「ESC」)、嵌入至ESC中之DC電極,及冷卻/加熱基底。在實施例中,底座2202充當移動陰極。在實施例中,ESC包含Al2
O3
材料、Y2
O3
,或一般熟習電子裝置製造之技術者已知的其他陶瓷材料。DC電源供應器2204連接至底座2202之DC電極。
如第23圖中所示,電子裝置結構2203係經由開口2208加載且置放於底座2202上。電子裝置結構2203表示上文所述之電子裝置結構中的一者。系統2200包含入口以經由大流量控制器2211將一或多種製程氣體2212輸入至電漿源2213。包含噴淋頭2214之電漿源2213耦接至處理腔室2201以接收一或多種氣體2212來產生電漿。電漿源2213耦接至RF源功率2210。電漿源2213經由噴淋頭2214使用高頻電場自一或多種製程氣體2212在處理腔室2201中產生電漿2215。電漿2215包含電漿粒子,諸如離子、電子、原子團或其任何組合。在實施例中,電源2210在自約400 kHz至約162 MHz之頻率下供應自約50 W至約3000 W的功率以產生電漿2215。
電漿偏置功率2205經由RF匹配器2207耦接至底座2202(例如,陰極)以激發電漿。在實施例中,電漿偏置功率2205在約2 MHz至60 MHz之間的頻率下提供不大於l000 W之偏置功率,且在特定實施例中在約13 MHz下提供該偏置功率。電漿偏置功率2206亦可經提供以例如在自約400 kHz至約60 MHz之頻率下提供不大於1000 W的另一偏置功率,且在特定實施例中在約60 MHz下提供該另一偏置功率。電漿偏置功率2206及偏置功率2205連接至RF匹配器2207以提供雙頻偏置功率。在實施例中,施加至底座2202之總偏置功率係自約10 W至約3000 W。
如第23圖中所示,壓力控制系統2209將壓力提供至處理腔室2201。如第23圖中所示,腔室2201具有一或多個排放出口2216以排空在腔室中之處理期間所生產的揮發性產物。在實施例中,電漿系統2200為電感耦合電漿(inductively coupled plasma; ICP)系統。在實施例中,電漿系統2200為電容耦合電漿(capacitively coupled plasma; CCP)系統。
控制系統2217耦接至腔室2201。控制系統2217包含處理器2218、耦接至處理器2218之溫度控制器2219、耦接至處理器2218之記憶體2220及耦接至處理器2218的輸入/輸出裝置2221以形成如本文所述之完全自我對準之介層窗。控制系統2217亦可包括以下各者中之一或多者:電路、非暫時性記憶體、暫時性記憶體、電子媒體或如可用以在各種配置下操作的可執行指令集。
在一個實施例中,控制系統2217或控制系統2217內之處理器2218包括一或多個配置(亦即,可執行指令集)以處理基板。控制系統2217及/或處理器2218可具有一或多個配置以控制選自以下各者之行動或製程:使第一導線凹入;在凹入之第一導線上形成第一金屬薄膜;自凹入之第一導線中的第一金屬薄膜形成支柱;選擇性地移除支柱中之一些支柱且留下至少一個支柱;圍繞剩餘支柱沉積第二絕緣層;移除剩餘支柱以在第二絕緣層中形成介層窗;經由介層窗將第三絕緣層沉積至凹入之第一導線上以形成經填充介層窗;在第二絕緣層上形成第三絕緣層之覆蓋層;自第二絕緣層選擇性地蝕刻覆蓋層之一部分以暴露第二絕緣層及經填充介層窗,且在第二絕緣層上留下第三絕緣層的多個部分;及/或自經填充介層窗蝕刻第三絕緣層以形成到第一導線之介層窗開口。在一些實施例中,該配置控制凹入第一導線,使得第一導線在約10 nm至約50 nm之範圍內凹入。在一些實施例中,控制系統2217及/或處理器2218包括在凹入之第一導線上沉積襯墊的配置。在一些實施例中,控制系統2217及/或處理器2218包括將第二傳導性材料沉積至介層窗開口中的配置。在一些實施例中,控制系統2217及/或處理器2218包括將複數個第二導線沉積於第二絕緣層上且與介層窗開口中之第二傳導性材料接觸的配置,第二導線在第二絕緣層上沿著第二方向延伸。
控制系統2217經配置來執行如本文所述之方法中的至少一些方法,且可為軟體或硬體或兩者之組合。電漿系統2200可為此項技術中已知的任何類型之高效能處理電漿系統,諸如但不限於蝕刻器、清潔器、爐,或製造電子裝置之任何其他電漿系統。
根據一或多個實施例,基板係在形成層之前及/或之後經受處理。此處理可在同一腔室中或在一或多個單獨的處理腔室中執行。在一些實施例中,基板自第一腔室移動至單獨的第二腔室以用於進一步處理。基板可自第一腔室直接地移動至單獨處理腔室,或基板可自第一腔室移動至一或多個傳送腔室,且接著移動至單獨處理腔室。因此,處理設備可包含與傳送台連通之多個腔室。此種類之設備可被稱為「叢集工具」或「叢集系統」及其類似者。
大體而言,叢集工具為包含執行各種功能之多個腔室的模組化系統,該等功能包括基板中心發現及定向、除氣、退火、沉積及/或蝕刻。根據一或多個實施例,叢集工具至少包括第一腔室及中央傳送腔室。中央傳送腔室可容納機器人,該機器人可使基板在處理腔室與負載鎖定腔室(load lock chamber)之間及當中往返穿梭。傳送腔室通常維持於真空條件下且提供中間級,該中間級用於使基板自一個腔室往返穿梭至另一腔室及/或至位於叢集工具之前端處的負載鎖定腔室。然而,腔室之確切佈置及組合可為執行如本文所述之製程之特定步驟的目的而更改。可使用之其他處理腔室包括但不限於循環層沉積(cyclical layer deposition; CLD)、原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積( physical vapor deposition; PVD)、蝕刻、預清潔、化學清潔、諸如RTP之熱處理、電漿氮化、除氣、定向、羥化及其他基板製程。藉由在叢集工具上之腔室中實行製程,利用大氣雜質對基板的表面污染可得以避免,而無在沉積後續薄膜之前之氧化。
根據一或多個實施例,基板連續地處於真空或「負載鎖定」條件下且在正自一個腔室移動至下一腔室時並未暴露至環境空氣。傳送腔室因此處於真空下且在真空壓力下「抽氣」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,惰性氣體用作沖洗氣體以移除反應物中之一些或全部。根據一或多個實施例,沖洗氣體係在沉積腔室之出口處注入,以防止反應物自沉積腔室移動至傳送腔室及/或額外處理腔室。因此,惰性氣體之流動在腔室之出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中單一基板在另一基板經處理之前被加載、處理及卸載。基板亦可類似於運送機系統以連續方式處理,其中多個基板個別地加載至腔室之第一部分中,移動通過腔室且自腔室的第二部分卸載。腔室及相關聯之運送機系統的形狀可形成筆直路徑或彎曲路徑。另外,處理腔室可為回轉料架,其中多個基板圍繞中央軸線移動且遍及回轉料架路徑暴露至沉積、蝕刻、退火、清潔等製程。
在處理期間,基板可經加熱或冷卻。此加熱或冷卻可藉由任何合適之手段來實現,該手段包括但不限於改變基板支撐件的溫度及使經加熱或冷卻之氣體流至基板表面。在一些實施例中,基板支撐件包括可受控制以傳導性地改變基板溫度之加熱器/冷卻器。在一或多個實施例中,正採用之氣體(反應性氣體或惰性氣體)經加熱或冷卻以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器位於鄰近基板表面之腔室內,以對流地改變基板溫度。
基板亦可在處理期間靜止或旋轉。旋轉基板可連續地或以離散步驟旋轉。舉例而言,基板可遍及整個製程旋轉,或基板可在暴露至不同的反應性或沖洗氣體之間旋轉小量。在處理期間旋轉基板(連續地或以多個步驟)可幫助藉由最小化例如氣流幾何結構中之局部可變性的效應而產生更均勻之沉積或蝕刻。
遍及本說明書對「一個實施例」、「某些實施例」、「一或多個實施例」或「實施例」之引用意謂著,結合實施例所述之特定特徵、結構、材料或特性包括於本揭示案的至少一實施例中。因此,諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」之片語在遍及本說明書之各處的出現未必指代本揭示案之同一實施例。此外,特定特徵、結構、材料或特性可在一或多個實施例中以任何合適之方式組合。
儘管已參考特定實施例描述了本文之揭示內容,但熟習此項技術者將理解,所描述之實施例僅僅說明本揭示案的原理及應用。熟習此項技術者將顯而易見,在不脫離本揭示案之精神及範疇的情況下,可對本揭示案之方法及設備進行各種修改及變化。因此,本揭示案可包括在所附申請專利範圍及其等效物之範疇內的修改及變化。
100‧‧‧俯視圖
101‧‧‧基板
102‧‧‧絕緣層
103‧‧‧導線/金屬線
104‧‧‧溝槽
110‧‧‧橫截面圖
120‧‧‧透視圖
121‧‧‧X軸線(方向)
122‧‧‧Y軸線(方向)
123‧‧‧角度
200‧‧‧視圖
201‧‧‧第一導線/凹入之導線
202‧‧‧溝槽
203‧‧‧頂部表面
204‧‧‧側壁
210‧‧‧視圖
300‧‧‧視圖
301‧‧‧襯墊
400‧‧‧視圖
401‧‧‧晶種填隙層
500‧‧‧視圖
600‧‧‧視圖
601‧‧‧自我對準之選擇性生長支柱
602‧‧‧支柱之高度
603‧‧‧間隙
610‧‧‧視圖
700‧‧‧視圖
701‧‧‧絕緣層
702‧‧‧側面
703‧‧‧頂部部分
710‧‧‧視圖
720‧‧‧第一遮罩
730‧‧‧第二遮罩
800‧‧‧視圖
801‧‧‧間隙
810‧‧‧視圖
900‧‧‧視圖
910‧‧‧視圖
1000‧‧‧視圖
1001‧‧‧第二絕緣層/第二絕緣材料
1010‧‧‧視圖
1100‧‧‧視圖
1110‧‧‧視圖
1200‧‧‧視圖
1201‧‧‧介層窗
1210‧‧‧視圖
1300‧‧‧視圖
1301‧‧‧第三絕緣層
1302‧‧‧覆蓋層
1310‧‧‧視圖
1400‧‧‧視圖
1410‧‧‧視圖
1500‧‧‧視圖
1501‧‧‧第三絕緣層
1502‧‧‧堆疊
1503‧‧‧遮罩
1510‧‧‧視圖
1600‧‧‧視圖
1601‧‧‧溝槽
1610‧‧‧視圖
1700‧‧‧視圖
1701‧‧‧堆疊
1702‧‧‧遮罩
1710‧‧‧視圖
1800‧‧‧視圖
1801‧‧‧介層窗
1810‧‧‧視圖
1900‧‧‧視圖
1901‧‧‧溝槽
1910‧‧‧視圖
2000‧‧‧視圖
2001‧‧‧第二導線
2010‧‧‧視圖
2100‧‧‧裝置
2150‧‧‧觸點
2160‧‧‧閘
2170‧‧‧介電質
2200‧‧‧系統
2201‧‧‧處理腔室
2202‧‧‧可移動底座
2203‧‧‧電子裝置結構
2204‧‧‧DC電源供應器
2205‧‧‧電漿偏置功率
2206‧‧‧電漿偏置功率
2207‧‧‧RF匹配器
2208‧‧‧開口
2209‧‧‧壓力控制系統
2210‧‧‧RF源功率/電源
2211‧‧‧大流量控制器
2212‧‧‧製程氣體
2213‧‧‧電漿源
2214‧‧‧噴淋頭
2215‧‧‧電漿
2216‧‧‧排放出口
2217‧‧‧控制系統
2218‧‧‧處理器
2219‧‧‧溫度控制器
2220‧‧‧記憶體
2221‧‧‧輸入/輸出裝置
因此,可詳細理解本揭示案之上文所敘述特徵的方式,上文簡要概述之本揭示案的更特定描述可藉由參考實施例而得到,該等實施例中之一些實施例係在所附圖式中示出。然而,應注意,所附圖式僅示出本揭示案之典型實施例且因此不被視為對本揭示案之範疇的限制,因為本揭示案可承認其他同等有效之實施例。
第1A圖示出根據一些實施例的提供完全自我對準之介層窗的電子裝置結構之俯視圖及橫截面圖;
第1B圖為第1A圖中所描繪之電子裝置結構的透視圖;
第2A圖為根據一些實施例的在導線凹入之後之電子裝置結構的側視橫截面圖;
第2B圖為第2A圖之電子裝置結構的俯視圖;
第3圖為根據一些實施例的在襯墊沉積於凹入之導線上之後的電子裝置結構之側視橫截面圖;
第4圖為根據一些實施例的在晶種填隙層沉積於襯墊上之後的電子裝置結構之側視橫截面圖;
第5A圖為根據一些實施例的在晶種填隙層之多個部分經移除以暴露絕緣層之頂部部分之後的電子裝置結構之側視橫截面圖;
第5B圖為第5A圖中所示之電子裝置結構的透視圖;
第6A圖為根據一些實施例的在自我對準之選擇性生長支柱得以形成之後之電子裝置結構的側視橫截面圖;
第6B圖為第6A圖中所示之電子裝置結構的透視圖;
第7A圖為根據一些實施例的在絕緣層及遮罩得以形成之後之電子裝置結構的側視橫截面圖;
第7B圖為第7A圖中所示之電子裝置結構的透視圖;
第8A圖為根據一些實施例的在蝕刻絕緣層之後之電子裝置結構的側視橫截面圖;
第8B圖為第8A圖中所示之電子裝置結構的透視圖;
第9A圖為根據一些實施例的在移除剩餘絕緣層之後之電子裝置結構的側視橫截面圖;
第9B圖為第9A圖中所示之電子裝置結構的透視圖;
第10A圖為根據一些實施例的在形成第二絕緣層之後之電子裝置結構的側視橫截面圖;
第10B圖為第10A圖中所示之電子裝置結構的透視圖;
第11A圖為根據一些實施例的在平面化第二絕緣層之後之電子裝置結構的側視橫截面圖;
第11B圖為第11A圖中所示之電子裝置結構的透視圖;
第12A圖為根據一些實施例的在移除支柱之後之電子裝置結構的側視橫截面圖;
第12B圖為第12A圖中所示之電子裝置結構的透視圖;
第13A圖為根據一些實施例的在沉積第三絕緣層之後之電子裝置結構的側視橫截面圖;
第13B圖為第13A圖中所示之電子裝置結構的透視圖;
第14A圖為根據一些實施例的在移除第三絕緣層之覆蓋層之後的電子裝置結構之側視橫截面圖;
第14B圖為第14A圖中所示之電子裝置結構的透視圖;
第15A圖為根據一些實施例的在形成已知厚度之額外第三絕緣層且遮蔽之後的電子裝置結構之側視橫截面圖;
第15B圖為第15A圖中所示之電子裝置結構的透視圖;
第16A圖為根據一些實施例的在將額外第三絕緣層蝕刻至已知深度之後之電子裝置結構的側視橫截面圖;
第16B圖為第16A圖中所示之電子裝置結構的透視圖;
第17A圖為根據一些實施例的在形成堆疊且遮蔽之後之電子裝置結構的側視橫截面圖;
第17B圖為第17A圖中所示之電子裝置結構的透視圖;
第18A圖為根據一些實施例的在蝕刻堆疊及第三絕緣層以形成介層窗之後之電子裝置結構的側視橫截面圖;
第18B圖為第18A圖中所示之電子裝置結構的透視圖;
第19A圖為根據一些實施例的在移除堆疊及遮罩而留下介層窗之後之電子裝置結構的側視橫截面圖;
第19B圖為第19A圖中所示之電子裝置結構的透視圖;
第20A圖為根據一些實施例的在形成第二導線且填充介層窗之後之電子裝置結構的側視橫截面圖;
第20B圖為第20A圖中所示之電子裝置結構的透視圖;
第21圖圖示根據本揭示案之一或多個實施例所形成的電子裝置結構之示意性表示;
第22圖圖示根據本揭示案之一或多個實施例的具有完全自我對準之介層窗的電子裝置之一部分;及
第23圖圖示根據本揭示案之一或多個實施例的電漿系統之方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
Claims (20)
- 一種提供一自我對準之介層窗的方法,該方法包含以下步驟: 使一基板上之一第一絕緣層上的第一導線凹入,該等第一導線在該第一絕緣層上沿著一第一方向延伸;在該等凹入之第一導線中形成一第一金屬薄膜;自該等凹入之第一導線中的該第一金屬薄膜形成支柱;選擇性地移除該等支柱中之一些支柱且留下至少一支柱;圍繞該等剩餘支柱沉積一第二絕緣層;移除該等剩餘支柱以在該第二絕緣層中形成介層窗;將該等介層窗中之一第三絕緣層沉積至該等凹入之第一導線上以形成經填充介層窗;在該第二絕緣層上形成第三絕緣層之一覆蓋層;自該第二絕緣層選擇性地蝕刻該覆蓋層之一部分以暴露該第二絕緣層及該等經填充介層窗,且在該第二絕緣層上留下第三絕緣層的多個部分;及自該等經填充介層窗蝕刻該第三絕緣層以形成到該第一導線之一介層窗開口。
- 如請求項1所述之方法,其中該等第一導線包含鈷或銅中之一或多者。
- 如請求項1所述之方法,其中該等第一導線具有在約2 nm至約15 nm之該範圍內的一寬度。
- 如請求項1所述之方法,其中該第一絕緣層、該第二絕緣層及該第三絕緣層獨立地選自:氧化物、碳摻雜之氧化物、多孔二氧化矽、氮化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH),或其任何組合。
- 如請求項1所述之方法,其中該第一絕緣層及該第二絕緣層包含該相同材料。
- 如請求項1所述之方法,其中該等第一導線在約10 nm至約50 nm之該範圍內凹入。
- 如請求項1所述之方法,其進一步包含以下步驟:將一襯墊沉積於形成有該第一金屬薄膜的該等凹入之第一導線上。
- 如請求項7所述之方法,其中該襯墊基本上由TiN組成。
- 如請求項1所述之方法,其中該第一金屬薄膜包含鎢,且其中該等支柱係藉由使該第一金屬薄膜氧化以形成氧化鎢來形成。
- 如請求項1所述之方法,其中該等支柱係藉由用HF及HNO3 之一溶液或NH4 OH及H2 O2 之一溶液進行濕式蝕刻來移除。
- 如請求項1所述之方法,其進一步包含以下步驟:將一第二傳導性材料沉積至該介層窗開口中。
- 如請求項11所述之方法,其進一步包含以下步驟:將複數個第二導線沉積於該第二絕緣層上且與該介層窗開口中之該第二傳導性材料接觸,該等第二導線在該第二絕緣層上沿著一第二方向延伸。
- 如請求項12所述之方法,其中該第二方向以在約30°至約150°之該範圍內的一角度與該第一方向交叉。
- 一種向一電子裝置提供一自我對準之介層窗的方法,該方法包含以下步驟: 使一基板上之包含二氧化矽的一第一絕緣層上之包含銅或鈷的第一導線凹入,該等第一導線在該第一絕緣層上沿著一第一方向延伸;將包含TiN之一襯墊沉積於該等凹入之第一導線上;在該等凹入之第一導線中的該襯墊上形成包含鎢之一第一金屬薄膜;使該第一金屬薄膜氧化以在該等凹入之第一導線中形成氧化鎢支柱;選擇性地蝕刻該等支柱中之一些支柱且留下至少一支柱;圍繞該等剩餘支柱沉積一第二絕緣層;移除該等剩餘支柱以在該第二絕緣層中形成介層窗;將該等介層窗中之一第三絕緣層沉積至該等凹入之第一導線上以形成經填充介層窗;在該第二絕緣層上形成第三絕緣層之一覆蓋層;自該第二絕緣層選擇性地蝕刻該覆蓋層之一部分以暴露該第二絕緣層及該等經填充介層窗,且在該第二絕緣層上留下第三絕緣層的多個部分;自該等經填充介層窗蝕刻該第三絕緣層以形成到該第一導線之一介層窗開口;將一第二傳導性材料沉積至該介層窗開口中;及將複數個第二導線沉積於該第二絕緣層上且與該介層窗開口中之該第二傳導性材料接觸,該等第二導線在該第二絕緣層上沿著一第二方向延伸,其中該第二方向以在約30°至約150°之該範圍內的一角度與該第一方向交叉。
- 一種製造一電子裝置之系統,其包含: 一處理腔室,其包含一底座以將包含複數個第一導線之一基板固持於一第一絕緣層上,該等第一導線在該第一絕緣層上沿著一第一方向延伸;一電漿源,其耦接至該處理腔室以產生電漿;及一處理器,其耦接至該電漿源,該處理器具有一或多個配置以控制選自以下各者之行動:使該等第一導線凹入;在該等凹入之第一導線上形成一第一金屬薄膜;自該等凹入之第一導線中的該第一金屬薄膜形成支柱;選擇性地移除該等支柱中之一些支柱且留下至少一支柱;圍繞該等剩餘支柱沉積一第二絕緣層;移除該等剩餘支柱以在該第二絕緣層中形成介層窗;經由該等介層窗將一第三絕緣層沉積至該等凹入之第一導線上以形成經填充介層窗;在該第二絕緣層上形成第三絕緣層之一覆蓋層;自該第二絕緣層選擇性地蝕刻該覆蓋層之一部分以暴露該第二絕緣層及該等經填充介層窗,且在該第二絕緣層上留下第三絕緣層的多個部分;及/或自該等經填充介層窗蝕刻該第三絕緣層以形成到該第一導線之一介層窗開口。
- 如請求項15所述之系統,其中該處理器控制使該等第一導線凹入,使得該等第一導線在約10 nm至約50 nm之該範圍內凹入。
- 如請求項15所述之系統,其中該處理器控制將一襯墊沉積於該等凹入之第一導線上。
- 如請求項15所述之系統,其中該處理器控制將一第二傳導性材料沉積至該介層窗開口中。
- 如請求項18所述之系統,其中該處理器控制將複數個第二導線沉積於該第二絕緣層上且與該介層窗開口中之該第二傳導性材料接觸,該等第二導線在該第二絕緣層上沿著一第二方向延伸。
- 如請求項19所述之系統,其中該第二方向以在約30°至約150°之該範圍內的一角度與該第一方向交叉。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762524527P | 2017-06-24 | 2017-06-24 | |
US62/524,527 | 2017-06-24 | ||
US201862657873P | 2018-04-15 | 2018-04-15 | |
US62/657,873 | 2018-04-15 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201906035A true TW201906035A (zh) | 2019-02-01 |
Family
ID=64693436
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107120139A TW201906035A (zh) | 2017-06-24 | 2018-06-12 | 生產完全自我對準的介層窗及觸點之方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10553485B2 (zh) |
TW (1) | TW201906035A (zh) |
WO (1) | WO2018237274A1 (zh) |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201906035A (zh) | 2017-06-24 | 2019-02-01 | 美商微材料有限責任公司 | 生產完全自我對準的介層窗及觸點之方法 |
US10515896B2 (en) * | 2017-08-31 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for semiconductor device and methods of fabrication thereof |
WO2019050735A1 (en) * | 2017-09-06 | 2019-03-14 | Micromaterials Llc | METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES |
US11081403B2 (en) * | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US11004740B2 (en) | 2018-09-27 | 2021-05-11 | Taiwan Semicondctor Manufacturing Co., Ltd. | Structure and method for interconnection with self-alignment |
US10707151B2 (en) * | 2018-11-20 | 2020-07-07 | Nanya Technology Corporation | Through silicon via structure and method for manufacturing the same |
US11437273B2 (en) * | 2019-03-01 | 2022-09-06 | Micromaterials Llc | Self-aligned contact and contact over active gate structures |
US11164938B2 (en) | 2019-03-26 | 2021-11-02 | Micromaterials Llc | DRAM capacitor module |
KR102718981B1 (ko) | 2019-04-17 | 2024-10-18 | 삼성전자주식회사 | 집적회로 소자의 제조 방법 |
US11437274B2 (en) * | 2019-09-25 | 2022-09-06 | Micromaterials Llc | Fully self-aligned via |
US20230066543A1 (en) * | 2021-08-24 | 2023-03-02 | Applied Materials, Inc. | Fully self aligned via integration processes |
Family Cites Families (102)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4671970A (en) | 1986-02-05 | 1987-06-09 | Ncr Corporation | Trench filling and planarization process |
KR0165813B1 (ko) | 1995-04-12 | 1999-02-01 | 문정환 | 접속홀의 플러그 형성 방법 |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
KR100223334B1 (ko) | 1996-06-29 | 1999-10-15 | 김영환 | 반도체소자의 금속배선형성방법 |
US6143653A (en) | 1998-10-04 | 2000-11-07 | Promos Technologies, Inc. | Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss |
KR20000026588A (ko) | 1998-10-21 | 2000-05-15 | 윤종용 | 콘택홀을 갖는 반도체 장치 및 그 제조방법 |
US6130151A (en) | 1999-05-07 | 2000-10-10 | Taiwan Semiconductor Manufacturing Company | Method of manufacturing air gap in multilevel interconnection |
JP2001015479A (ja) | 1999-06-29 | 2001-01-19 | Toshiba Corp | 半導体装置の製造方法 |
JP2003507888A (ja) | 1999-08-18 | 2003-02-25 | ステアーグ アール ティ ピー システムズ インコーポレイテッド | 半導体ウェーハ上に銅の特徴を生じさせる方法 |
US6576113B1 (en) | 1999-10-29 | 2003-06-10 | California Institute Of Technology | Method of electroplating of high aspect ratio metal structures into semiconductors |
US6373087B1 (en) | 2000-08-31 | 2002-04-16 | Agere Systems Guardian Corp. | Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses |
US7192803B1 (en) | 2000-10-13 | 2007-03-20 | Bridge Semiconductor Corporation | Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint |
US6653200B2 (en) | 2001-01-26 | 2003-11-25 | Applied Materials, Inc. | Trench fill process for reducing stress in shallow trench isolation |
JP2002252281A (ja) | 2001-02-27 | 2002-09-06 | Sony Corp | 半導体装置およびその製造方法 |
US6528884B1 (en) | 2001-06-01 | 2003-03-04 | Advanced Micro Devices, Inc. | Conformal atomic liner layer in an integrated circuit interconnect |
US7279119B2 (en) | 2001-06-14 | 2007-10-09 | Ppg Industries Ohio, Inc. | Silica and silica-based slurry |
KR100996816B1 (ko) | 2002-03-28 | 2010-11-25 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 이산화규소 나노라미네이트의 증기증착 |
US8298933B2 (en) | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
US7276787B2 (en) | 2003-12-05 | 2007-10-02 | International Business Machines Corporation | Silicon chip carrier with conductive through-vias and method for fabricating same |
US7211844B2 (en) | 2004-01-29 | 2007-05-01 | International Business Machines Corporation | Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage |
US7645677B2 (en) | 2004-03-16 | 2010-01-12 | Ishikawajima-Harima Heavy Industries Co., Ltd. | Method for manufacturing semiconductor device |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US20060172536A1 (en) | 2005-02-03 | 2006-08-03 | Brown Karl M | Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece |
US8324660B2 (en) | 2005-05-17 | 2012-12-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication |
JP2007005381A (ja) | 2005-06-21 | 2007-01-11 | Matsushita Electric Ind Co Ltd | プラズマエッチング方法、及びプラズマエッチング装置 |
US7393789B2 (en) | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
US7351648B2 (en) | 2006-01-19 | 2008-04-01 | International Business Machines Corporation | Methods for forming uniform lithographic features |
US7368394B2 (en) | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7288463B1 (en) | 2006-04-28 | 2007-10-30 | Novellus Systems, Inc. | Pulsed deposition layer gap fill with expansion material |
US7956465B2 (en) | 2006-05-08 | 2011-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistivity in interconnect structures of integrated circuits |
JP2008108757A (ja) | 2006-10-23 | 2008-05-08 | Matsushita Electric Works Ltd | 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法 |
US7598170B2 (en) | 2007-01-26 | 2009-10-06 | Asm America, Inc. | Plasma-enhanced ALD of tantalum nitride films |
US20080242097A1 (en) | 2007-03-28 | 2008-10-02 | Tim Boescke | Selective deposition method |
US20090017631A1 (en) | 2007-06-01 | 2009-01-15 | Bencher Christopher D | Self-aligned pillar patterning using multiple spacer masks |
WO2008153674A1 (en) | 2007-06-09 | 2008-12-18 | Boris Kobrin | Method and apparatus for anisotropic etching |
US20090072409A1 (en) | 2007-09-14 | 2009-03-19 | International Business Machines Corporation | Interconnect Structures Incorporating Air-Gap Spacers |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US20100330805A1 (en) | 2007-11-02 | 2010-12-30 | Kenny Linh Doan | Methods for forming high aspect ratio features on a substrate |
US7985977B2 (en) | 2007-12-11 | 2011-07-26 | Hvvi Semiconductors, Inc. | Sacrificial pillar dielectric platform |
KR101477661B1 (ko) | 2008-07-17 | 2014-12-31 | 삼성전자주식회사 | 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법 |
US8169031B2 (en) | 2008-08-26 | 2012-05-01 | International Business Machines Corporation | Continuous metal semiconductor alloy via for interconnects |
US8101456B2 (en) | 2008-10-01 | 2012-01-24 | International Business Machines Corporation | Method to reduce a via area in a phase change memory cell |
KR101026486B1 (ko) | 2008-10-22 | 2011-04-01 | 주식회사 하이닉스반도체 | 반도체 소자 및 그의 제조방법 |
JP5133852B2 (ja) | 2008-11-13 | 2013-01-30 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法及び半導体装置 |
KR101534678B1 (ko) | 2009-02-12 | 2015-07-08 | 삼성전자주식회사 | 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법 |
US8435830B2 (en) | 2009-03-18 | 2013-05-07 | Samsung Electronics Co., Ltd. | Methods of fabricating semiconductor devices |
US8575753B2 (en) | 2009-05-27 | 2013-11-05 | Samsung Electronics Co., Ltd. | Semiconductor device having a conductive structure including oxide and non oxide portions |
US9653353B2 (en) | 2009-08-04 | 2017-05-16 | Novellus Systems, Inc. | Tungsten feature fill |
US8531033B2 (en) | 2009-09-07 | 2013-09-10 | Advanced Interconnect Materials, Llc | Contact plug structure, semiconductor device, and method for forming contact plug |
JP2011060803A (ja) | 2009-09-07 | 2011-03-24 | Toshiba Corp | 半導体装置 |
US8274065B2 (en) | 2009-10-19 | 2012-09-25 | Macronix International Co., Ltd. | Memory and method of fabricating the same |
US8778749B2 (en) | 2011-01-12 | 2014-07-15 | Sandisk Technologies Inc. | Air isolation in high density non-volatile memory |
US8900988B2 (en) | 2011-04-15 | 2014-12-02 | International Business Machines Corporation | Method for forming self-aligned airgap interconnect structures |
JP2011233922A (ja) | 2011-07-20 | 2011-11-17 | Ihi Corp | 素子間分離領域の形成方法 |
US8946082B2 (en) | 2011-09-16 | 2015-02-03 | GlobalFoundries, Inc. | Methods for forming semiconductor devices |
KR20130046664A (ko) | 2011-10-28 | 2013-05-08 | 삼성전자주식회사 | 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 |
US8860001B2 (en) | 2012-04-09 | 2014-10-14 | Freescale Semiconductor, Inc. | ReRAM device structure |
US20140029181A1 (en) | 2012-07-27 | 2014-01-30 | Florian Gstrein | Interlayer interconnects and associated techniques and configurations |
US9245987B2 (en) | 2012-11-29 | 2016-01-26 | Micron Technology, Inc. | Semiconductor devices and fabrication methods |
US8901607B2 (en) | 2013-01-14 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabricating the same |
US9312220B2 (en) | 2013-03-12 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a low-K dielectric with pillar-type air-gaps |
US9178011B2 (en) | 2013-03-13 | 2015-11-03 | Intermolecular, Inc. | Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate |
US9012322B2 (en) | 2013-04-05 | 2015-04-21 | Intermolecular, Inc. | Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition |
US9040421B2 (en) | 2013-05-03 | 2015-05-26 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits with improved contact structures |
US9219007B2 (en) | 2013-06-10 | 2015-12-22 | International Business Machines Corporation | Double self aligned via patterning |
US9666451B2 (en) | 2013-09-27 | 2017-05-30 | Intel Corporation | Self-aligned via and plug patterning for back end of line (BEOL) interconnects |
SG11201601323XA (en) | 2013-09-27 | 2016-03-30 | Intel Corp | Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9362413B2 (en) | 2013-11-15 | 2016-06-07 | Cbrite Inc. | MOTFT with un-patterned etch-stop |
US9312168B2 (en) | 2013-12-16 | 2016-04-12 | Applied Materials, Inc. | Air gap structure integration using a processing system |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
JP6297884B2 (ja) | 2014-03-28 | 2018-03-20 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
KR102377372B1 (ko) * | 2014-04-02 | 2022-03-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 인터커넥트들을 형성하기 위한 방법 |
US9368395B1 (en) | 2014-05-06 | 2016-06-14 | Globalfoundries Inc. | Self-aligned via and air gap |
US9299745B2 (en) | 2014-05-08 | 2016-03-29 | GlobalFoundries, Inc. | Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same |
US9281382B2 (en) | 2014-06-04 | 2016-03-08 | Stmicroelectronics, Inc. | Method for making semiconductor device with isolation pillars between adjacent semiconductor fins |
US9627318B2 (en) | 2014-06-16 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company Ltd. | Interconnect structure with footing region |
US9679852B2 (en) | 2014-07-01 | 2017-06-13 | Micron Technology, Inc. | Semiconductor constructions |
US9324650B2 (en) | 2014-08-15 | 2016-04-26 | International Business Machines Corporation | Interconnect structures with fully aligned vias |
US9356047B2 (en) | 2014-08-18 | 2016-05-31 | Globalfoundries Inc. | Integrated circuits with self aligned contact structures for improved windows and fabrication methods |
US9508642B2 (en) | 2014-08-20 | 2016-11-29 | Globalfoundries Inc. | Self-aligned back end of line cut |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9735030B2 (en) | 2014-09-05 | 2017-08-15 | Fujifilm Planar Solutions, LLC | Polishing compositions and methods for polishing cobalt films |
US9515085B2 (en) | 2014-09-26 | 2016-12-06 | Sandisk Technologies Llc | Vertical memory device with bit line air gap |
US20160111342A1 (en) | 2014-10-17 | 2016-04-21 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
EP3029724B1 (en) * | 2014-12-01 | 2017-06-07 | IMEC vzw | Metallization method for semiconductor structures |
US10727122B2 (en) * | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
CN107112212B (zh) | 2014-12-22 | 2021-03-12 | 东京毅力科创株式会社 | 使用接枝聚合物材料图案化基底 |
US20160260779A1 (en) | 2015-03-06 | 2016-09-08 | Kabushiki Kaisha Toshiba | Non-volatile resistive random access memory device |
US9362165B1 (en) | 2015-05-08 | 2016-06-07 | Globalfoundries Inc. | 2D self-aligned via first process flow |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
US9716065B2 (en) | 2015-09-14 | 2017-07-25 | International Business Machines Corporation | Via bottom structure and methods of forming |
US9721888B2 (en) * | 2015-12-08 | 2017-08-01 | International Business Machines Corporation | Trench silicide with self-aligned contact vias |
US10163704B2 (en) | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
CN108780777B (zh) * | 2016-02-02 | 2023-02-17 | 东京毅力科创株式会社 | 利用选择性沉积对金属和通孔进行自对准 |
US11127629B2 (en) | 2016-05-17 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and fabricating method thereof |
KR20190047139A (ko) * | 2016-09-30 | 2019-05-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 자가-정렬 비아들을 형성하는 방법들 |
TWI719262B (zh) | 2016-11-03 | 2021-02-21 | 美商應用材料股份有限公司 | 用於圖案化之薄膜的沉積與處理 |
TW201833991A (zh) | 2016-11-08 | 2018-09-16 | 美商應用材料股份有限公司 | 自對準圖案化之方法 |
US10403542B2 (en) * | 2017-06-10 | 2019-09-03 | Applied Materials, Inc. | Methods of forming self-aligned vias and air gaps |
TW201906035A (zh) | 2017-06-24 | 2019-02-01 | 美商微材料有限責任公司 | 生產完全自我對準的介層窗及觸點之方法 |
-
2018
- 2018-06-12 TW TW107120139A patent/TW201906035A/zh unknown
- 2018-06-22 WO PCT/US2018/039011 patent/WO2018237274A1/en active Application Filing
- 2018-06-22 US US16/015,714 patent/US10553485B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
WO2018237274A1 (en) | 2018-12-27 |
US10553485B2 (en) | 2020-02-04 |
US20180374750A1 (en) | 2018-12-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10403542B2 (en) | Methods of forming self-aligned vias and air gaps | |
US10553485B2 (en) | Methods of producing fully self-aligned vias and contacts | |
US10600688B2 (en) | Methods of producing self-aligned vias | |
US10522404B2 (en) | Fully self-aligned via | |
US11037825B2 (en) | Selective removal process to create high aspect ratio fully self-aligned via | |
US10573555B2 (en) | Methods of producing self-aligned grown via | |
US10892187B2 (en) | Method for creating a fully self-aligned via | |
JP6793711B2 (ja) | 選択的にエッチングされた自己整合ビアプロセス | |
TW201939628A (zh) | 移除金屬氧化物的方法 | |
US10510602B2 (en) | Methods of producing self-aligned vias | |
US10840186B2 (en) | Methods of forming self-aligned vias and air gaps |