[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

KR20240161700A - Photonic communication platform and related architectures, systems and methods - Google Patents

Photonic communication platform and related architectures, systems and methods Download PDF

Info

Publication number
KR20240161700A
KR20240161700A KR1020247036161A KR20247036161A KR20240161700A KR 20240161700 A KR20240161700 A KR 20240161700A KR 1020247036161 A KR1020247036161 A KR 1020247036161A KR 20247036161 A KR20247036161 A KR 20247036161A KR 20240161700 A KR20240161700 A KR 20240161700A
Authority
KR
South Korea
Prior art keywords
photonic
tile
optical
tiles
optical channels
Prior art date
Application number
KR1020247036161A
Other languages
Korean (ko)
Inventor
카를로스 도르타-퀴노네스
미하일로 팀첸코
라이언 브레이드
앤서니 코파
마이클 굴드
하미드 에슬람푸어
브래드포드 터콧
로버트 터너
나다니엘 보우만
비노이 샤
조셉 스타돌니크
칼 크리스티안 북켄마이어
레자 바그다디
샤샨크 굽타
제임스 카
아제이 조시
니콜라스 씨. 해리스
다리우스 부난다르
Original Assignee
라이트매터, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 라이트매터, 인크. filed Critical 라이트매터, 인크.
Publication of KR20240161700A publication Critical patent/KR20240161700A/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/80Optical aspects relating to the use of optical transmission for specific applications, not provided for in groups H04B10/03 - H04B10/70, e.g. optical power feeding or optical transmission through water
    • H04B10/801Optical aspects relating to the use of optical transmission for specific applications, not provided for in groups H04B10/03 - H04B10/70, e.g. optical power feeding or optical transmission through water using optical interconnects, e.g. light coupled isolators, circuit board interconnections
    • H04B10/803Free space interconnects, e.g. between circuit boards or chips
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/28Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals
    • G02B6/293Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means
    • G02B6/29379Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device
    • G02B6/2938Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device for multiplexing or demultiplexing, i.e. combining or separating wavelengths, e.g. 1xN, NxM
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/28Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals
    • G02B6/293Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means
    • G02B6/29379Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device
    • G02B6/2938Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device for multiplexing or demultiplexing, i.e. combining or separating wavelengths, e.g. 1xN, NxM
    • G02B6/29382Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device for multiplexing or demultiplexing, i.e. combining or separating wavelengths, e.g. 1xN, NxM including at least adding or dropping a signal, i.e. passing the majority of signals
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/43Arrangements comprising a plurality of opto-electronic elements and associated optical interconnections

Abstract

저-전력, 고-대역폭 칩간(예를 들어, 보드-레벨 및/또는 랙-레벨)뿐만 아니라 칩내 통신을 가능하게 하는 포토닉 인터포저들이 설명된다. 종래의 컴퓨터들의 성능을 개선하는 기술들, 아키텍처들 및 프로세스들이 본 명세서에 설명된다. 일부 실시예들은 포토닉 타일들을 이용하는 포토닉 인터포저들을 제공하며, 각각의 타일은 특정 컴퓨터 아키텍처의 요구들에 기반하여 프로그래밍될 수 있는 프로그래밍가능한 포토닉 회로들을 포함한다. 일부 타일들은 1D 또는 2D 배열로 함께 스티칭되는 공통 템플릿 타일의 인스턴스화들이다. 본 명세서에 설명된 일부 실시예들은 포토닉 링크들과 함께 타일들의 쌍들을 접속시키도록 설계된 프로그래밍가능한 물리적 네트워크를 제공한다.Photonic interposers that enable low-power, high-bandwidth inter-chip (e.g., board-level and/or rack-level) as well as intra-chip communications are described. Techniques, architectures, and processes that improve the performance of conventional computers are described herein. Some embodiments provide photonic interposers that utilize photonic tiles, each tile including programmable photonic circuits that can be programmed based on the needs of a particular computer architecture. Some of the tiles are instantiations of a common template tile that are stitched together in a 1D or 2D array. Some embodiments described herein provide a programmable physical network designed to connect pairs of tiles with photonic links.

Description

포토닉 통신 플랫폼 및 관련된 아키텍처들, 시스템들 및 방법들{PHOTONIC COMMUNICATION PLATFORM AND RELATED ARCHITECTURES, SYSTEMS AND METHODS}{PHOTONIC COMMUNICATION PLATFORM AND RELATED ARCHITECTURES, SYSTEMS AND METHODS}

관련 출원들에 대한 상호 참조Cross-reference to related applications

본 출원은 "PACKAGE ASSEMBLY FLOW AND MATERIALS"라는 명칭으로 2022년 3월 28일에 출원된 미국 가출원 일련 번호 제63/324,598호(대리인 문서 번호 L0858.70053US00), "PACKAGE ASSEMBLY FLOW AND MATERIALS"라는 명칭으로 2022년 3월 29일에 출원된 미국 가출원 일련 번호 제63/325,113호(대리인 문서 번호 L0858.70053US01), "PACKAGE ASSEMBLY FLOW AND MATERIALS"라는 명칭으로 2022년 4월 19일에 출원된 미국 가출원 일련 번호 제63/332,518호(대리인 문서 번호 L0858.70053US02), "METHOD FOR OPTICAL FIBER ATTACH ON 3D STACKED WAFER"라는 명칭으로 2022년 4월 5일에 출원된 미국 가출원 일련 번호 제63/327,717호(대리인 문서 번호 L0858.70054US00), "WAFER-SCALE HETEROGENEOUS COMPUTING SYSTEMS"라는 명칭으로 2022년 6월 24일에 출원된 미국 가출원 일련 번호 제63/355,275호(대리인 문서 번호 L0858.70057US00), "INCREASING THE YIELD OF FIBER ATTACH BY REDUNDANCY"라는 명칭으로 2022년 8월 12일에 출원된 미국 가출원 일련 번호 제63/397,609호(대리인 문서 번호 L0858.70059US00), 및 "PHOTONIC PROGRAMMABLE INTERCONNECT CONFIGURATIONS"라는 명칭으로 2022년 11월 25일에 출원된 미국 가출원 일련 번호 제63/428,003호(대리인 문서 번호 L0858.70061US00)의 이익을 주장하며, 이들 각각은 이로써 그 전체가 본 명세서에 참조로 포함된다.This application claims the benefit of U.S. Provisional Application Serial No. 63/324,598, filed March 28, 2022, entitled PACKAGE ASSEMBLY FLOW AND MATERIALS (Attorney Docket No. L0858.70053US00), U.S. Provisional Application Serial No. 63/325,113, filed March 29, 2022, entitled PACKAGE ASSEMBLY FLOW AND MATERIALS (Attorney Docket No. L0858.70053US01), U.S. Provisional Application Serial No. 63/332,518, filed April 19, 2022, entitled PACKAGE ASSEMBLY FLOW AND MATERIALS (Attorney Docket No. L0858.70053US02), entitled METHOD FOR OPTICAL FIBER ATTACH ON U.S. Provisional Application Serial No. 63/327,717, filed Apr. 5, 2022, entitled "3D STACKED WAFER" (Attorney Docket No. L0858.70054US00), U.S. Provisional Application Serial No. 63/355,275, filed Jun. 24, 2022, entitled "WAFER-SCALE HETEROGENEOUS COMPUTING SYSTEMS" (Attorney Docket No. L0858.70057US00), U.S. Provisional Application Serial No. 63/397,609, filed Aug. 12, 2022, entitled "INCREASING THE YIELD OF FIBER ATTACH BY REDUNDANCY" (Attorney Docket No. L0858.70059US00), and "PHOTONIC PROGRAMMABLE INTERCONNECT This application claims the benefit of U.S. Provisional Application Serial No. 63/428,003, filed November 25, 2022, entitled "CONFIGURATIONS" (Attorney Docket No. L0858.70061US00), each of which is hereby incorporated herein by reference in its entirety.

컴퓨터 시스템들은 데이터 및 머신 코드를 저장한 랜덤 액세스 메모리(RAM)를 포함한다. RAM들은 통상적으로 휘발성 메모리들이며, 따라서 전력이 제거될 때 저장된 정보가 상실된다. 현대의 구현들에서, 메모리들은 집적 회로들의 형태를 취한다. 각각의 집적 회로는 여러 메모리 셀을 포함한다. 저장된 데이터 및 머신 코드에 대한 액세스를 가능하게 하기 위해, 메모리들은 프로세서들과 전기적으로 통신하도록 배치된다. 통상적으로, 이러한 전기적 통신들은 메모리들 및 프로세서들이 배치되는 기판들 상에 형성된 금속 트레이스들로서 구현된다.Computer systems include random access memory (RAM) that stores data and machine code. RAMs are typically volatile memories, which means that the stored information is lost when power is removed. In modern implementations, the memories take the form of integrated circuits. Each integrated circuit contains a number of memory cells. To enable access to the stored data and machine code, the memories are arranged in electrical communication with the processors. Typically, these electrical communications are implemented as metal traces formed on the substrates on which the memories and processors are arranged.

일부 실시예들은 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일을 포함하는 포토닉 인터포저(photonic interposer)에 관한 것이며, 복수의 포토닉 타일 각각은, 전송기 및 수신기를 포함하는 트랜시버; 트랜시버에 결합되고, 전자 칩이 포토닉 타일에 대응하여 포토닉 인터포저에 부착될 때 트랜시버와 전자 칩 사이의 전기적 통신을 허용하도록 구성된 전기적 접속부들; 트랜시버에 광학적으로 결합된 버스 도파관들의 제1 세트, 버스 도파관들의 제2 세트, 및 복수의 프로그래밍가능한 상호접속부를 포함하는 광학 분배 네트워크 - 각각의 프로그래밍가능한 상호접속부는 버스 도파관들의 제1 세트 중의 버스 도파관을 버스 도파관들의 제2 세트 중의 버스 도파관과 광학 통신하도록 선택적으로 배치되도록 구성되고, 각각의 프로그래밍가능한 상호접속부는 도파관 교차부(waveguide crossing) 및 능동 커플러를 포함함 - 를 포함한다.Some embodiments relate to a photonic interposer comprising a plurality of photonic tiles that are instantiations of a template photonic tile, each of the plurality of photonic tiles comprising: a transceiver comprising a transmitter and a receiver; electrical connections coupled to the transceiver and configured to allow electrical communication between the transceiver and the electronic chip when the electronic chip is attached to the photonic interposer in response to the photonic tile; an optical distribution network comprising a first set of bus waveguides optically coupled to the transceiver, a second set of bus waveguides, and a plurality of programmable interconnects, each programmable interconnect configured to selectively position a bus waveguide of the first set of bus waveguides to optically communicate with a bus waveguide of the second set of bus waveguides, each programmable interconnect comprising a waveguide crossing and an active coupler.

일부 실시예들에서, 트랜시버는, 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합되고, 서로에 대해 상이한 파장들에서 튜닝되는 복수의 변조기; 및 버스 도파관들의 제1 세트 중의 제2 버스 도파관에 결합되고, 서로에 대해 상이한 파장들에서 튜닝되는 복수의 드롭 필터(drop filter)를 포함한다.In some embodiments, the transceiver includes a plurality of modulators coupled to a first bus waveguide of the first set of bus waveguides, the modulators being tuned at different wavelengths relative to one another; and a plurality of drop filters coupled to a second bus waveguide of the first set of bus waveguides, the modulators being tuned at different wavelengths relative to one another.

일부 실시예들에서, 복수의 변조기는 공진 변조기들이고, 복수의 드롭 필터는 공진 드롭 필터들이다.In some embodiments, the plurality of modulators are resonant modulators and the plurality of drop filters are resonant drop filters.

일부 실시예들에서, 전송기는 제1 방향 또는 제2 방향으로 버스 도파관들의 제1 세트 중의 제1 버스 도파관을 따라 데이터를 전송하도록 구성된다.In some embodiments, the transmitter is configured to transmit data along a first bus waveguide of the first set of bus waveguides in the first direction or the second direction.

일부 실시예들에서, 복수의 포토닉 타일 각각은 트랜시버를 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합시키는 2x2 커플러를 더 포함한다.In some embodiments, each of the plurality of photonic tiles further includes a 2x2 coupler coupling the transceiver to a first bus waveguide of the first set of bus waveguides.

일부 실시예들에서, 2x2 커플러는 제1, 제2, 제3 및 제4 단자들을 포함하고, 제1 단자는 전송기의 출력부에 결합되고, 제2 단자는 수신기의 입력부에 결합되고, 제3 및 제4 단자들은 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된다.In some embodiments, the 2x2 coupler includes first, second, third and fourth terminals, the first terminal coupled to an output of the transmitter, the second terminal coupled to an input of the receiver, and the third and fourth terminals coupled to a first bus waveguide of the first set of bus waveguides.

일부 실시예들에서, 복수의 포토닉 타일 각각은 입력부 및 제1 및 제2 출력부들을 갖는 간섭계, 및 공진 필터를 더 포함하고, 전송기는 간섭계의 입력부에 결합되고, 간섭계의 제1 및 제2 출력부들은 공진 필터에 결합되고, 공진 필터는 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된다.In some embodiments, each of the plurality of photonic tiles further comprises an interferometer having an input and first and second outputs, and a resonant filter, wherein the transmitter is coupled to the input of the interferometer, the first and second outputs of the interferometer are coupled to the resonant filter, and the resonant filter is coupled to a first bus waveguide of the first set of bus waveguides.

일부 실시예들에서, 복수의 포토닉 타일 각각은 출력부 및 제1 및 제2 입력부들을 갖는 간섭계, 및 공진 필터를 더 포함하고, 공진 필터는 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합되고, 간섭계의 제1 및 제2 입력부들은 공진 필터에 결합되고, 수신기는 간섭계의 출력부에 결합된다.In some embodiments, each of the plurality of photonic tiles further comprises an interferometer having an output portion and first and second input portions, and a resonant filter, the resonant filter being coupled to a first bus waveguide of the first set of bus waveguides, the first and second input portions of the interferometer being coupled to the resonant filter, and a receiver being coupled to an output portion of the interferometer.

일부 실시예들에서, 도파관 교차부는 제1 도파관 층에 패터닝된 제1 도파관, 제2 도파관 층에 패터닝된 제2 도파관, 및 제3 도파관 층에 패터닝된 제3 도파관 층을 포함하고, 제2 도파관 층은 제1 도파관 층과 제3 도파관 층 사이에 있고, 제1 도파관은 제2 도파관과 소실 결합(evanescently couple)되고, 제2 도파관은 제3 도파관과 소실 결합된다.In some embodiments, the waveguide intersection comprises a first waveguide patterned in a first waveguide layer, a second waveguide patterned in a second waveguide layer, and a third waveguide layer patterned in a third waveguide layer, the second waveguide layer being between the first waveguide layer and the third waveguide layer, the first waveguide being evanescently coupled with the second waveguide, and the second waveguide being evanescently coupled with the third waveguide.

일부 실시예들에서, 제1 도파관 층은 실리콘으로 만들어지고, 제2 및 제3 도파관 층들 둘 다는 실리콘 질화물로 만들어진다.In some embodiments, the first waveguide layer is made of silicon and both the second and third waveguide layers are made of silicon nitride.

일부 실시예들에서, 능동 커플러는 제1 추가적인 능동 커플러에 결합된 제1 단자, 제1 추가적인 능동 커플러에 결합된 제2 단자, 및 도파관 교차부에 결합된 제3 단자를 포함한다.In some embodiments, the active coupler includes a first terminal coupled to the first additional active coupler, a second terminal coupled to the first additional active coupler, and a third terminal coupled to the waveguide crossover.

일부 실시예들에서, 능동 커플러는 제1 및 제2 마하 젠더 간섭계들(Mach Zehnder interferometers)(MZI)을 포함하고, 제1 단자는 제1 MZI의 제1 출력부에 대응하고, 제2 단자는 제1 MZI의 제2 출력부에 대응하고, 제3 단자는 제2 MZI의 출력부에 대응한다.In some embodiments, the active coupler includes first and second Mach Zehnder interferometers (MZI), a first terminal corresponding to a first output of the first MZI, a second terminal corresponding to a second output of the first MZI, and a third terminal corresponding to an output of the second MZI.

일부 실시예들에서, 버스 도파관들의 제2 세트 중의 버스 도파관들은 다수의 포토닉 타일을 가로지른다.In some embodiments, the bus waveguides of the second set of bus waveguides traverse multiple photonic tiles.

일부 실시예들은 포토닉 인터포저에 관한 것이며, 포토닉 인터포저는, 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일 - 복수의 포토닉 타일은 제1, 제2, 제3 및 제4 포토닉 타일들을 포함하고, 복수의 포토닉 타일 각각은, 제1 트랜시버; 및 제1 트랜시버에 결합되고, 전자 칩이 포토닉 타일에 대응하여 포토닉 인터포저에 부착될 때 제1 트랜시버와 전자 칩 사이의 전기적 통신을 허용하도록 구성된 전기적 접속부들을 포함함 -; 제1 및 제2 포토닉 타일들을 각각 가로지르는 제1 및 제2 버스 도파관들; 제3 및 제4 포토닉 타일들을 각각 가로지르는 제3 및 제4 버스 도파관들; 및 제1 및 제2 파이버들(fibers) - 제1 파이버, 제1 버스 도파관 및 제4 버스 도파관은 제1 포토닉 타일의 제1 트랜시버를 제4 포토닉 타일의 제1 트랜시버와 광학 통신하도록 배치하고, 제2 파이버, 제2 버스 도파관 및 제3 버스 도파관은 제2 포토닉 타일의 제1 트랜시버를 제3 포토닉 타일의 제1 트랜시버와 광학 통신하도록 배치함 - 을 포함한다.Some embodiments relate to a photonic interposer, the photonic interposer comprising: a plurality of photonic tiles that are instantiations of a template photonic tile, the plurality of photonic tiles including first, second, third, and fourth photonic tiles, each of the plurality of photonic tiles including: a first transceiver; and electrical connections coupled to the first transceiver and configured to allow electrical communication between the first transceiver and the electronic chip when the electronic chip is attached to the photonic interposer in response to the photonic tile; first and second bus waveguides intersecting the first and second photonic tiles, respectively; third and fourth bus waveguides intersecting the third and fourth photonic tiles, respectively; and first and second fibers - the first fiber, the first bus waveguide and the fourth bus waveguide are arranged to optically communicate a first transceiver of the first photonic tile with a first transceiver of the fourth photonic tile, and the second fiber, the second bus waveguide and the third bus waveguide are arranged to optically communicate a first transceiver of the second photonic tile with a first transceiver of the third photonic tile.

일부 실시예들에서, 복수의 포토닉 타일 각각은 제2 트랜시버를 더 포함하고, 제1 포토닉 타일의 제2 트랜시버는 제2 포토닉 타일의 제2 트랜시버와 광학 통신한다.In some embodiments, each of the plurality of photonic tiles further includes a second transceiver, wherein the second transceiver of the first photonic tile is in optical communication with the second transceiver of the second photonic tile.

일부 실시예들에서, 제3 포토닉 타일의 제2 트랜시버는 제4 포토닉 타일의 제2 트랜시버와 광학 통신한다.In some embodiments, the second transceiver of the third photonic tile is in optical communication with the second transceiver of the fourth photonic tile.

일부 실시예들에서, 포토닉 인터포저는 제3 파이버를 더 포함하고, 제3 파이버, 제1 버스 도파관 및 제4 버스 도파관은 제1 포토닉 타일의 제1 트랜시버를 제4 포토닉 타일의 제1 트랜시버와 추가로 광학 통신하도록 배치한다.In some embodiments, the photonic interposer further comprises a third fiber, wherein the third fiber, the first bus waveguide and the fourth bus waveguide are arranged to further optically communicate the first transceiver of the first photonic tile with the first transceiver of the fourth photonic tile.

일부 실시예들에서, 제1 파이버, 제3 파이버, 제1 버스 도파관, 제4 버스 도파관, 제1 포토닉 타일의 제1 트랜시버 및 제4 포토닉 타일의 제1 트랜시버는 폐루프를 형성한다.In some embodiments, the first fiber, the third fiber, the first bus waveguide, the fourth bus waveguide, the first transceiver of the first photonic tile, and the first transceiver of the fourth photonic tile form a closed loop.

일부 실시예들에서, 포토닉 인터포저는 제4 파이버를 더 포함하고, 제4 파이버, 제2 버스 도파관 및 제3 버스 도파관은 제2 포토닉 타일의 제1 트랜시버를 제3 포토닉 타일의 제1 트랜시버와 추가로 광학 통신하도록 배치한다.In some embodiments, the photonic interposer further comprises a fourth fiber, wherein the fourth fiber, the second bus waveguide and the third bus waveguide are arranged to further optically communicate the first transceiver of the second photonic tile with the first transceiver of the third photonic tile.

일부 실시예들에서, 제2 파이버, 제4 파이버, 제2 버스 도파관, 제3 버스 도파관, 제2 포토닉 타일의 제1 트랜시버 및 제3 포토닉 타일의 제1 트랜시버는 폐루프를 형성한다.In some embodiments, the second fiber, the fourth fiber, the second bus waveguide, the third bus waveguide, the first transceiver of the second photonic tile, and the first transceiver of the third photonic tile form a closed loop.

일부 실시예들은 컴퓨팅 시스템에 관한 것이며, 컴퓨팅 시스템은, 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일을 포함하는 포토닉 인터포저, 포토닉 인터포저 상에 장착된 제1 및 제2 주문형 집적 회로들(ASIC들) - 제1 ASIC는 복수의 포토닉 타일 중의 제1 포토닉 타일과 결합되고, 제2 ASIC는 복수의 포토닉 타일 중의 제2 포토닉 타일과 결합됨 -; 제1 ASIC를 제2 ASIC와 통신하도록 배치하는 데이터 경로를 포함하며, 데이터 경로는, 복수의 와이어를 포함하는, 제1 ASIC가 내장된 제1 다이-대-다이(D2D) 인터페이스; 복수의 와이어에 결합된 제1 복수의 SerDes; 복수의 SerDes와 결합되고, 제1 포토닉 타일에 형성된 복수의 광학 변조기; 복수의 광학 변조기와 결합되고, 제2 포토닉 타일에 형성된 복수의 광학 검출기; 복수의 광학 검출기에 결합된 제2 복수의 SerDes; 및 제2 ASIC가 내장된 제2 D2D 인터페이스를 포함한다.Some embodiments relate to a computing system, comprising: a photonic interposer including a plurality of photonic tiles, which are instantiations of a template photonic tile; first and second application-specific integrated circuits (ASICs) mounted on the photonic interposer, the first ASIC coupled with a first photonic tile of the plurality of photonic tiles and the second ASIC coupled with a second photonic tile of the plurality of photonic tiles; a data path positioning the first ASIC to communicate with the second ASIC, the data path comprising: a first die-to-die (D2D) interface including a plurality of wires, the first ASIC embedded therein; a first plurality of SerDes coupled to the plurality of wires; a plurality of optical modulators coupled to the plurality of SerDes and formed on the first photonic tile; a plurality of optical detectors coupled to the plurality of optical modulators and formed on the second photonic tile; a second plurality of SerDes coupled to the plurality of optical detectors; and a second D2D interface having the second ASIC embedded therein.

일부 실시예들에서, 복수의 광학 검출기는 포토닉 인터포저 상에 형성되는 도파관들을 통해 복수의 광학 변조기와 결합된다.In some embodiments, a plurality of optical detectors are coupled to a plurality of optical modulators via waveguides formed on a photonic interposer.

일부 실시예들에서, 복수의 광학 검출기는 파이버들을 통해 복수의 광학 변조기와 결합된다.In some embodiments, multiple optical detectors are coupled to multiple optical modulators via fibers.

일부 실시예들에서, 제1 및 제2 D2D 인터페이스들은 AIB(Advanced Interface Bus) 인터페이스들을 포함한다.In some embodiments, the first and second D2D interfaces include Advanced Interface Bus (AIB) interfaces.

일부 실시예들에서, 제1 및 제2 D2D 인터페이스들은 UCIe(Universal Chiplet Interconnect Express) 인터페이스들을 포함한다.In some embodiments, the first and second D2D interfaces include Universal Chiplet Interconnect Express (UCIe) interfaces.

일부 실시예들에서, 데이터 경로는 제1 D2D 인터페이스로부터 제2 D2D 인터페이스까지 2.5cm 초과의 길이에 걸쳐 있다.In some embodiments, the data path spans a length greater than 2.5 cm from the first D2D interface to the second D2D interface.

일부 실시예들은 포토닉 패키지를 제조하기 위한 방법에 관한 것이며, 이 방법은, 포토닉 인터포저의 제1 표면 상에 형성된 격자 커플러를 갖는 포토닉 인터포저를 획득하는 단계; 포토닉 인터포저의 제1 표면에 전자 칩을 부착하는 단계; 캡슐화 재료로 전자 칩을 캡슐화하는 단계; 격자 커플러를 커버하도록 포토닉 인터포저의 제1 표면 상에 보호 재료를 배치하는 단계; 보호 재료를 배치한 후에, 제1 표면에 대향하는 포토닉 인터포저의 제2 표면 상에 전자 접속부들을 형성하는 단계; 및 전자 접속부들을 형성한 후에, 포토닉 인터포저의 제1 표면으로부터 보호 재료를 제거하여 격자 커플러를 공기에 노출시키는 단계를 포함한다.Some embodiments relate to a method for manufacturing a photonic package, comprising: obtaining a photonic interposer having a grating coupler formed on a first surface of the photonic interposer; attaching an electronic chip to the first surface of the photonic interposer; encapsulating the electronic chip with an encapsulating material; disposing a protective material on the first surface of the photonic interposer to cover the grating coupler; after disposing the protective material, forming electronic connections on a second surface of the photonic interposer opposite the first surface; and after forming the electronic connections, removing the protective material from the first surface of the photonic interposer to expose the grating coupler to air.

일부 실시예들에서, 이 방법은 포토닉 인터포저의 제1 표면으로부터 보호 재료를 제거한 후에 포토닉 인터포저의 제1 표면을 세정하는 단계를 더 포함한다.In some embodiments, the method further comprises the step of cleaning the first surface of the photonic interposer after removing the protective material from the first surface of the photonic interposer.

일부 실시예들에서, 이 방법은 보호 재료를 제거한 후에 포토닉 인터포저의 제1 표면에 파이버를 부착하여, 파이버가 부착될 때 격자 커플러에 광학적으로 결합되게 하는 단계를 더 포함한다.In some embodiments, the method further comprises the step of attaching a fiber to the first surface of the photonic interposer after removing the protective material such that the fiber is optically coupled to the grating coupler when attached.

일부 실시예들에서, 파이버가 격자 커플러에 광학적으로 결합될 때 파이버는 포토닉 인터포저의 제1 표면에 대해 0이 아닌 각도로 있다.In some embodiments, when the fiber is optically coupled to the grating coupler, the fiber is at a non-zero angle with respect to the first surface of the photonic interposer.

일부 실시예들에서, 포토닉 인터포저의 제1 표면에 전자 칩을 부착하는 단계는 포토닉 인터포저의 제1 표면 상에 보호 재료를 배치하는 단계 후에 수행된다.In some embodiments, the step of attaching an electronic chip to the first surface of the photonic interposer is performed after the step of disposing a protective material on the first surface of the photonic interposer.

일부 실시예들에서, 보호 재료는 포토-이미징가능한 유전체를 포함한다.In some embodiments, the protective material comprises a photo-imageable dielectric.

일부 실시예들에서, 포토닉 인터포저의 제1 표면 상에 보호 재료를 배치하는 단계는 포토닉 인터포저의 제1 표면에 전자 칩을 부착하는 단계 후에 수행된다.In some embodiments, the step of disposing a protective material on the first surface of the photonic interposer is performed after the step of attaching the electronic chip to the first surface of the photonic interposer.

일부 실시예들에서, 포토닉 인터포저의 제1 표면 상에 보호 재료를 배치하는 단계는 캡슐화 재료로 전자 칩을 캡슐화하는 단계 후에 수행된다.In some embodiments, the step of disposing a protective material on the first surface of the photonic interposer is performed after the step of encapsulating the electronic chip with an encapsulating material.

일부 실시예들에서, 보호 재료는 분리가능한 접착제가 있는 유리 덮개를 포함한다.In some embodiments, the protective material comprises a glass cover having a separable adhesive.

일부 실시예들은 포토닉 패키지를 제조하기 위한 방법에 관한 것이며, 이 방법은, 포토닉 인터포저의 제1 표면 상에 형성된 격자 커플러를 갖는 포토닉 인터포저를 획득하는 단계; 포토닉 인터포저의 제1 표면에 전자 칩을 부착하는 단계; 캡슐화 재료로 전자 칩을 캡슐화하는 단계 - 캡슐화 재료는 격자 커플러를 공기에 노출된 채로 남겨둠 -; 격자 커플러를 커버하도록 캐리어 마운트 상에 포토닉 인터포저를 배치하는 단계; 캐리어 마운트 상에 포토닉 인터포저를 배치한 후에, 제1 표면에 대향하는 포토닉 인터포저의 제2 표면 상에 전자 접속부들을 형성하는 단계; 및 전자 접속부들을 형성한 후에, 캐리어 마운트를 제거하는 단계를 포함한다.Some embodiments relate to a method for manufacturing a photonic package, comprising: obtaining a photonic interposer having a grating coupler formed on a first surface of the photonic interposer; attaching an electronic chip to the first surface of the photonic interposer; encapsulating the electronic chip with an encapsulating material, the encapsulating material leaving the grating coupler exposed to air; placing the photonic interposer on a carrier mount so as to cover the grating coupler; forming electronic connections on a second surface of the photonic interposer opposite the first surface after placing the photonic interposer on the carrier mount; and removing the carrier mount after forming the electronic connections.

일부 실시예들에서, 전자 칩을 캡슐화하는 단계는 포토닉 인터포저의 제1 표면에 전자 칩을 부착하는 단계 후에 수행된다.In some embodiments, the step of encapsulating the electronic chip is performed after the step of attaching the electronic chip to the first surface of the photonic interposer.

일부 실시예들에서, 이 방법은 캐리어 마운트를 제거하는 단계 후에 포토닉 인터포저를, 전자 칩 및 격자 커플러를 각각 포함하는 복수의 시스템으로 분리하는 단계를 더 포함한다.In some embodiments, the method further comprises, after the step of removing the carrier mount, separating the photonic interposer into a plurality of systems, each comprising an electronic chip and a grating coupler.

일부 실시예들에서, 이 방법은 보호 재료를 제거한 후에 포토닉 인터포저의 제1 표면에 파이버를 부착하여, 파이버가 부착될 때 격자 커플러에 광학적으로 결합되게 하는 단계를 더 포함한다.In some embodiments, the method further comprises the step of attaching a fiber to the first surface of the photonic interposer after removing the protective material such that the fiber is optically coupled to the grating coupler when attached.

일부 실시예들에서, 파이버가 격자 커플러에 광학적으로 결합될 때 파이버는 포토닉 인터포저의 제1 표면에 대해 0이 아닌 각도로 있다.In some embodiments, when the fiber is optically coupled to the grating coupler, the fiber is at a non-zero angle with respect to the first surface of the photonic interposer.

일부 실시예들은 포토닉 패키지에 관한 것이며, 포토닉 패키지는, 포토닉 인터포저; 포토닉 인터포저 상에 배치된 제1 전자 칩; 제1 표면 및 제1 표면에 대향하는 제2 표면을 갖는 회로 보드 - 포토닉 인터포저는 회로 보드의 제1 표면에 결합됨 -; 회로 보드의 제2 표면에 결합된 전압 조정기 모듈(VRM); 및 VRM의 출력 전압을 제1 전자 칩에 제공하도록 구성된 접속부 - 접속부는 회로 보드 및 포토닉 인터포저를 가로지름 - 를 포함한다.Some embodiments relate to a photonic package, the photonic package including: a photonic interposer; a first electronic chip disposed on the photonic interposer; a circuit board having a first surface and a second surface opposite the first surface, the photonic interposer being coupled to the first surface of the circuit board; a voltage regulator module (VRM) coupled to the second surface of the circuit board; and a connector configured to provide an output voltage of the VRM to the first electronic chip, the connector crossing the circuit board and the photonic interposer.

일부 실시예들에서, 포토닉 패키지는 기판 및 소켓을 더 포함하고, 포토닉 인터포저는 기판 상에 배치되고, 기판은 소켓 상에 배치된다.In some embodiments, the photonic package further includes a substrate and a socket, wherein the photonic interposer is disposed on the substrate and the substrate is disposed on the socket.

일부 실시예들에서, 접속부는 기판 및 소켓을 추가로 가로지른다.In some embodiments, the connection further crosses the substrate and the socket.

일부 실시예들에서, 포토닉 패키지는 전압 조정기 모듈에 입력 전압을 제공하도록 구성된 전력 버스를 더 포함한다.In some embodiments, the photonic package further includes a power bus configured to provide an input voltage to the voltage regulator module.

일부 실시예들에서, 전압 조정기 모듈은 전력 버스로부터 입력 전압을 수신하고 제1 전자 칩으로의 출력 전압을 조정한다.In some embodiments, the voltage regulator module receives an input voltage from the power bus and regulates an output voltage to the first electronic chip.

일부 실시예들에서, 제1 전자 칩은 포토닉 인터포저와 접촉한다.In some embodiments, the first electronic chip is in contact with the photonic interposer.

일부 실시예들에서, 포토닉 패키지는 포토닉 인터포저를 커버하는 덮개 및 덮개를 커버하는 냉각판을 더 포함하고, 덮개는 제1 전자 칩과 열 접촉한다.In some embodiments, the photonic package further includes a cover covering the photonic interposer and a cooling plate covering the cover, the cover being in thermal contact with the first electronic chip.

일부 실시예들은 포토닉 디바이스에 관한 것이며, 포토닉 디바이스는, 포토닉 회로; 복수의 칩-대-파이버 커플러 및 각각의 칩-대-파이버 커플러들에 결합된 복수의 도파관을 갖는 복수의 광학 채널; 복수의 광학 채널과 광학 회로 사이에 결합된 광학 스위치; 및 제어기를 포함하며, 제어기는, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하고; 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 이용하여 복수의 광학 채널의 서브세트를 식별하고; 광학 스위치를 제어하여 복수의 광학 채널의 서브세트를 포토닉 회로에 선택적으로 결합시키도록 구성된다.Some embodiments relate to a photonic device, comprising: a photonic circuit; a plurality of optical channels having a plurality of chip-to-fiber couplers and a plurality of waveguides coupled to each of the chip-to-fiber couplers; an optical switch coupled between the plurality of optical channels and the optical circuit; and a controller, wherein the controller is configured to determine information indicative of a performance associated with each of the plurality of optical channels; identify a subset of the plurality of optical channels using the information indicative of the performance associated with each of the plurality of optical channels; and control the optical switch to selectively couple the subset of the plurality of optical channels to the photonic circuit.

일부 실시예들에서, 복수의 광학 채널은 각각의 도파관들에 결합된 복수의 광검출기를 더 포함하고, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 것은 복수의 광검출기 각각의 출력을 결정하는 것을 포함한다.In some embodiments, the plurality of optical channels further include a plurality of photodetectors coupled to respective waveguides, and determining information indicative of performance associated with each of the plurality of optical channels comprises determining an output of each of the plurality of photodetectors.

일부 실시예들에서, 복수의 광검출기는 탭 커플러들을 통해 각각의 도파관들에 결합된다.In some embodiments, multiple photodetectors are coupled to respective waveguides via tap couplers.

일부 실시예들에서, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 것은 복수의 광학 채널 각각과 연관된 비트 에러 레이트(BER)를 결정하는 것을 포함한다.In some embodiments, determining information indicative of performance associated with each of the plurality of optical channels includes determining a bit error rate (BER) associated with each of the plurality of optical channels.

일부 실시예들에서, 포토닉 회로는 템플릿 타일에 따라 패터닝되는 복수의 타일을 포함하고, 각각의 타일은, 전송기; 수신기; 프로그래밍가능한 광학 접속부들의 네트워크; 및 전자 칩과의 수직 다이-대-다이 접속을 위해 구성된 전기적 접속부들 - 전기적 접속부들은 전송기, 수신기 및 프로그래밍가능한 광학 접속부들의 네트워크에 결합됨 - 을 포함한다.In some embodiments, the photonic circuit comprises a plurality of tiles patterned according to a template tile, each tile comprising: a transmitter; a receiver; a network of programmable optical connections; and electrical connections configured for vertical die-to-die connection with an electronic chip, the electrical connections being coupled to the transmitter, the receiver and the network of programmable optical connections.

일부 실시예들에서, 정보를 이용하여 복수의 광학 채널의 서브세트를 식별하는 것은 광학 채널들 중에서 최상의 성능을 나타내는 복수의 광학 채널의 서브세트를 식별하는 것을 포함한다.In some embodiments, using the information to identify a subset of the plurality of optical channels comprises identifying a subset of the plurality of optical channels that exhibits the best performance among the optical channels.

일부 실시예들에서, 칩-대-파이버 커플러들은 에지 커플러들 또는 격자 커플러들을 포함한다.In some embodiments, the chip-to-fiber couplers include edge couplers or grating couplers.

일부 실시예들에서, 제어기는 광학 스위치에 의해 선택된 복수의 광학 채널의 서브세트를 이용하여 포토닉 디바이스 외부로 데이터를 전송하게 포토닉 회로를 제어하도록 추가로 구성된다.In some embodiments, the controller is further configured to control the photonic circuit to transmit data external to the photonic device using a subset of the plurality of optical channels selected by the optical switch.

일부 실시예들은 광학 스위치, 및 복수의 칩-대-파이버 커플러 및 각각의 칩-대-파이버 커플러들에 결합된 복수의 도파관을 갖는 복수의 광학 채널을 포함하는 포토닉 디바이스를 이용하여 데이터를 전송하기 위한 방법에 관한 것이며, 이 방법은, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계; 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 이용하여 복수의 광학 채널의 서브세트를 식별하는 단계; 광학 스위치를 제어하여 복수의 광학 채널의 서브세트를 선택하는 단계; 및 광학 스위치에 의해 선택된 복수의 광학 채널의 서브세트를 이용하여 포토닉 디바이스 외부로 데이터를 전송하는 단계를 포함한다.Some embodiments relate to a method for transmitting data using a photonic device including an optical switch, a plurality of optical channels having a plurality of chip-to-fiber couplers and a plurality of waveguides coupled to each of the chip-to-fiber couplers, the method comprising: determining information indicative of a performance associated with each of the plurality of optical channels; identifying a subset of the plurality of optical channels using the information indicative of the performance associated with each of the plurality of optical channels; controlling the optical switch to select the subset of the plurality of optical channels; and transmitting data external to the photonic device using the subset of the plurality of optical channels selected by the optical switch.

일부 실시예들에서, 복수의 광학 채널은 각각의 도파관들에 결합된 복수의 광검출기를 더 포함하고, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계는 복수의 광검출기 각각의 출력을 결정하는 단계를 포함한다.In some embodiments, the plurality of optical channels further include a plurality of photodetectors coupled to respective waveguides, and the step of determining information indicative of performance associated with each of the plurality of optical channels includes the step of determining an output of each of the plurality of photodetectors.

일부 실시예들에서, 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계는 복수의 광학 채널 각각과 연관된 비트 에러 레이트(BER)를 결정하는 단계를 포함한다.In some embodiments, the step of determining information indicative of performance associated with each of the plurality of optical channels comprises determining a bit error rate (BER) associated with each of the plurality of optical channels.

일부 실시예들에서, 정보를 이용하여 복수의 광학 채널의 서브세트를 식별하는 단계는 광학 채널들 중에서 최상의 성능을 나타내는 복수의 광학 채널의 서브세트를 식별하는 단계를 포함한다.In some embodiments, the step of using the information to identify a subset of the plurality of optical channels comprises identifying a subset of the plurality of optical channels that exhibits the best performance among the optical channels.

일부 실시예들은 포토닉 인터포저에 관한 것이며, 포토닉 인터포저는, 중복 타일을 포함하는 복수의 포토닉 타일을 포함하며, 각각의 포토닉 타일은, 전송기; 수신기; 프로그래밍가능한 광학 접속부들의 네트워크; 전자 칩과의 수직 다이-대-다이 접속을 위해 구성된 전기적 접속부들 - 전기적 접속부들은 전송기, 수신기 및 프로그래밍가능한 광학 접속부들의 네트워크에 결합됨 -; 모니터링 광검출기; 및 제어기를 포함하며, 제어기는, 각각의 모니터링 광검출기의 출력을 이용하여 복수의 포토닉 타일 각각의 성능을 나타내는 정보를 결정하고; 복수의 타일 중에서, 복수의 포토닉 타일 각각의 성능을 나타내는 정보를 이용하여 결함이 있는 타일을 식별하고; 결함이 있는 타일을 중복 타일과 기능적으로 스왑하도록 구성된다.Some embodiments relate to a photonic interposer, the photonic interposer comprising a plurality of photonic tiles, each photonic tile comprising: a transmitter; a receiver; a network of programmable optical connections; electrical connections configured for vertical die-to-die connection with an electronic chip, the electrical connections being coupled to the transmitter, the receiver and the network of programmable optical connections; a monitoring photodetector; and a controller, wherein the controller is configured to determine information indicative of performance of each of the plurality of photonic tiles using an output of each of the monitoring photodetectors; identify a defective tile among the plurality of tiles using the information indicative of performance of each of the plurality of photonic tiles; and functionally swap the defective tile with a redundant tile.

일부 실시예들에서, 결함이 있는 타일을 중복 타일과 기능적으로 스왑하는 것은 결함이 있는 타일에 지향된 데이터를 중복 타일에 재지향시키는 것을 포함한다.In some embodiments, functionally swapping a defective tile with a duplicate tile includes redirecting data directed to the defective tile to the duplicate tile.

일부 실시예들에서, 데이터를 재지향시키는 것은 프로그래밍가능한 포토닉 접속부들의 네트워크를 프로그래밍하는 것을 포함한다.In some embodiments, redirecting data includes programming a network of programmable photonic connections.

일부 실시예들은 포토닉 인터포저에 관한 것이며, 포토닉 인터포저는, 버스 도파관; 버스 도파관에 결합된 복수의 포토닉 전송기; 버스 도파관에 결합된 복수의 포토닉 수신기; 및 제어기를 포함하며, 제어기는, 제1 주파수에서 제1 포토닉 전송기의 포토닉 컴포넌트를 디더링하는 것; 및 제1 주파수에서 제1 포토닉 수신기의 포토닉 컴포넌트를 디더링하는 것에 의해 복수의 포토닉 전송기 중의 제1 포토닉 전송기를 복수의 포토닉 수신기 중의 제1 포토닉 수신기에 고정시키도록 구성된다.Some embodiments relate to a photonic interposer, comprising: a bus waveguide; a plurality of photonic transmitters coupled to the bus waveguide; a plurality of photonic receivers coupled to the bus waveguide; and a controller, wherein the controller is configured to lock a first photonic transmitter of the plurality of photonic transmitters to a first photonic receiver of the plurality of photonic receivers by: dithering a photonic component of the first photonic transmitter at a first frequency; and dithering a photonic component of the first photonic receiver at the first frequency.

일부 실시예들에서, 복수의 포토닉 전송기 각각은 공진 변조기를 포함하고, 복수의 포토닉 수신기 각각은 버스 도파관에 결합된 공진 드롭 필터를 포함하고, 제1 포토닉 전송기의 포토닉 컴포넌트를 디더링하는 것은 제1 포토닉 전송기의 공진 변조기를 디더링하는 것을 포함하고, 제1 포토닉 수신기의 포토닉 컴포넌트를 디더링하는 것은 제1 포토닉 수신기의 공진 드롭 필터를 디더링하는 것을 포함한다.In some embodiments, each of the plurality of photonic transmitters includes a resonant modulator, each of the plurality of photonic receivers includes a resonant drop filter coupled to the bus waveguide, and wherein dithering the photonic component of the first photonic transmitter includes dithering the resonant modulator of the first photonic transmitter, and wherein dithering the photonic component of the first photonic receiver includes dithering the resonant drop filter of the first photonic receiver.

일부 실시예들에서, 복수의 포토닉 전송기 각각은 버스 도파관에 결합된 공진 가산 필터를 포함하고, 복수의 포토닉 수신기 각각은 버스 도파관에 결합된 공진 드롭 필터를 포함하고, 제1 포토닉 전송기의 포토닉 컴포넌트를 디더링하는 것은 제1 포토닉 전송기의 공진 가산 필터를 디더링하는 것을 포함하고, 제1 포토닉 수신기의 포토닉 컴포넌트를 디더링하는 것은 제1 포토닉 수신기의 공진 드롭 필터를 디더링하는 것을 포함한다.In some embodiments, each of the plurality of photonic transmitters includes a resonant summing filter coupled to the bus waveguide, each of the plurality of photonic receivers includes a resonant drop filter coupled to the bus waveguide, and wherein dithering the photonic component of the first photonic transmitter comprises dithering the resonant summing filter of the first photonic transmitter, and wherein dithering the photonic component of the first photonic receiver comprises dithering the resonant drop filter of the first photonic receiver.

일부 실시예들에서, 제1 주파수는 1KHz와 1000KHz 사이이다.In some embodiments, the first frequency is between 1 KHz and 1000 KHz.

일부 실시예들에서, 포토닉 인터포저는 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일을 더 포함하고, 복수의 포토닉 타일 각각은 복수의 포토닉 전송기 중의 포토닉 전송기 및 복수의 포토닉 수신기 중의 포토닉 수신기를 포함하고, 버스 도파관은 하나보다 많은 포토닉 타일을 가로지른다.In some embodiments, the photonic interposer further comprises a plurality of photonic tiles that are instantiations of the template photonic tile, each of the plurality of photonic tiles comprising a photonic transmitter of the plurality of photonic transmitters and a photonic receiver of the plurality of photonic receivers, and wherein the bus waveguide traverses more than one of the photonic tiles.

일부 실시예들은 포토닉 전송기에 관한 것이며, 포토닉 전송기는, 입력 데이터를 이용하여 레이저로부터 수신된 광을 변조하도록 구성된 공진 변조기; 공진 변조기에 결합된 마하 젠더 간섭계(MZI) - MZI는 제1 출력부 및 제2 출력부를 가짐 -; 버스 도파관에 결합된 공진 가산 필터; 및 MZI의 제1 출력부 또는 제2 출력부를 공진 가산 필터에 선택적으로 결합시킴으로써 제1 방향 또는 제2 방향으로 버스 도파관을 따라 변조된 광을 전송하도록 구성된 제어기를 포함한다.Some embodiments relate to a photonic transmitter, comprising: a resonant modulator configured to modulate light received from a laser using input data; a Mach-Zehnder interferometer (MZI) coupled to the resonant modulator, the MZI having a first output and a second output; a resonant summing filter coupled to a bus waveguide; and a controller configured to transmit the modulated light along the bus waveguide in a first direction or a second direction by selectively coupling the first output or the second output of the MZI to the resonant summing filter.

일부 실시예들에서, 포토닉 전송기는 공진 변조기에 열적으로 결합된 히터 및 MZI의 제1 출력부에 결합된 제1 모니터링 검출기를 더 포함하고, 제어기는, 히터에 제1 램프형 신호를 인가하는 것; 및 제1 모니터링 검출기에 의해 생성되는 출력을 최대화하는 것에 의해 공진 변조기를 레이저에 고정시키도록 추가로 구성된다.In some embodiments, the photonic transmitter further comprises a heater thermally coupled to the resonant modulator and a first monitoring detector coupled to the first output of the MZI, wherein the controller is further configured to lock the resonant modulator to the laser by applying a first ramp signal to the heater; and maximizing an output produced by the first monitoring detector.

일부 실시예들에서, MZI의 제1 출력부 또는 제2 출력부를 공진 가산 필터에 선택적으로 결합시키는 것은, MZI에 제2 램프형 신호를 인가하는 것; 및 제1 모니터링 검출기에 의해 생성되는 출력을 최소화하는 것을 포함한다.In some embodiments, selectively coupling the first output or the second output of the MZI to the resonant summing filter comprises: applying a second ramp signal to the MZI; and minimizing an output produced by the first monitoring detector.

일부 실시예들에서, MZI의 제1 출력부 또는 제2 출력부를 공진 가산 필터에 선택적으로 결합시키는 것은, 공진 가산 필터에 제3 램프형 신호를 인가하는 것; 및 MZI의 제2 출력부에 결합된 제2 모니터링 검출기에 의해 생성되는 출력을 최소화하는 것을 더 포함한다.In some embodiments, selectively coupling the first output or the second output of the MZI to the resonant summing filter further comprises applying a third ramp signal to the resonant summing filter; and minimizing an output produced by a second monitoring detector coupled to the second output of the MZI.

일부 실시예들에서, 공진 가산 필터는 2차 필터를 포함한다.In some embodiments, the resonant addition filter comprises a second-order filter.

일부 실시예들은 포토닉 인터포저에 관한 것이며, 포토닉 인터포저는, 템플릿 포토닉 타일의 인스턴스화들인 제1 및 제2 포토닉 타일들 - 제1 및 제2 포토닉 타일들 각각은 트랜시버 및 수신기를 포함함 -; 제1 포토닉 타일의 전송기를 제2 포토닉 타일의 수신기에 결합시키는 광학 채널; 제1 포토닉 타일의 전송기에 결합되고, Xb/Yb 인코딩 스킴을 수행하도록 구성된 인코더; 제2 포토닉 타일의 수신기에 결합되고, Xb/Yb 디코딩 스킴을 수행하도록 구성된 디코더; 및 디코더의 출력을 이용하여 제2 포토닉 타일의 수신기를 타이밍하도록 구성된 클록 복구 회로를 포함한다.Some embodiments relate to a photonic interposer, comprising: first and second photonic tiles that are instantiations of a template photonic tile, each of the first and second photonic tiles including a transceiver and a receiver; an optical channel coupling a transmitter of the first photonic tile to a receiver of the second photonic tile; an encoder coupled to the transmitter of the first photonic tile and configured to perform an Xb/Yb encoding scheme; a decoder coupled to the receiver of the second photonic tile and configured to perform an Xb/Yb decoding scheme; and a clock recovery circuit configured to time the receiver of the second photonic tile using an output of the decoder.

일부 실시예들에서, 포토닉 인터포저는 인코더에 결합된 제1 국부 발진기 및 디코더에 결합된 제2 국부 발진기를 더 포함한다.In some embodiments, the photonic interposer further includes a first local oscillator coupled to the encoder and a second local oscillator coupled to the decoder.

일부 실시예들에서, 포토닉 인터포저는 제2 포토닉 타일의 수신기에 결합된 등화기를 더 포함하고, 등화기는 제2 포토닉 타일의 수신기의 출력의 선형 조합을 수행하도록 구성된다.In some embodiments, the photonic interposer further comprises an equalizer coupled to the receiver of the second photonic tile, the equalizer configured to perform a linear combination of outputs of the receiver of the second photonic tile.

일부 실시예들에서, 등화기는 추가로, 실행시간 동안 광학 채널의 특성을 결정하도록 구성되고, 등화기에 의해 결정된 광학 채널의 특성에 기반하여 등화기와 연관된 탭들의 수를 조정하도록 구성된다.In some embodiments, the equalizer is further configured to determine a characteristic of the optical channel during runtime, and to adjust a number of taps associated with the equalizer based on the characteristic of the optical channel determined by the equalizer.

일부 실시예들에서, 등화기는 추가로, 실행시간 동안 광학 채널의 특성을 결정하도록 구성되고, 등화기에 의해 결정된 광학 채널의 특성에 기반하여 등화기와 연관된 계수들을 조정하도록 구성된다.In some embodiments, the equalizer is further configured to determine a characteristic of the optical channel during runtime and to adjust coefficients associated with the equalizer based on the characteristic of the optical channel determined by the equalizer.

본 출원의 다양한 양태들 및 실시예들이 이하의 도면들을 참조하여 설명될 것이다. 도면들은 반드시 축척대로 그려진 것은 아니라는 것을 이해해야 한다. 다수의 도면들에 나타나는 항목들은 이들이 나타나는 도면들에서 동일한 참조 번호로 표시된다.
도 1a는 일부 실시예들에 따른, 포토닉 인터포저에 기반한 컴퓨팅 시스템을 도시한다.
도 1ba는 일부 실시예들에 따른, 반도체 웨이퍼를 도시한다.
도 1bb는 일부 실시예들에 따른, 포토마스크들의 세트를 도시한다.
도 1bc는 일부 실시예들에 따른, 광학 도파관들을 형성하기 위한 예시적인 포토마스크를 도시한다.
도 1bd는 일부 실시예들에 따른, 도 1bb의 포토마스크 세트에 따라 패터닝된 도 1ba의 웨이퍼를 도시한다.
도 1be는 일부 실시예들에 따른, 도 1bd의 패터닝된 웨이퍼 상에 형성된 포토닉 회로들을 식별한다.
도 1ca는 일부 실시예들에 따른, 도 1be의 패터닝된 웨이퍼의 예시적인 타일을 도시한다.
도 1cb는 일부 실시예들에 따른, 도 1ca에 도시된 유형의 타일들의 그룹을 도시한다.
도 1da는 일부 실시예들에 따른, 금속 트레이스들의 동일한 패턴을 공유하는 타일들의 그룹을 도시한다.
도 1db는 일부 실시예들에 따른, 금속 트레이스들의 동일한 패턴을 공유하고 수분 장벽을 생성하는 타일들의 그룹을 도시한다.
도 2aa는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 아키텍처를 도시한다.
도 2ab는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 다른 아키텍처를 도시한다.
도 2ac는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 또 다른 아키텍처를 도시한다.
도 2ad는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 파이버를 이용하여 상호접속되는 아키텍처를 도시한다.
도 2ae는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 2개의 파이버를 이용하여 상호접속되는 아키텍처를 도시한다.
도 2af는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 3개의 파이버를 이용하여 상호접속되는 아키텍처를 도시한다.
도 2ag는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 2개의 파이버를 이용하여 상호접속되는 다른 아키텍처를 도시한다.
도 2ah는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 4개의 파이버를 이용하여 상호접속되는 다른 아키텍처를 도시한다.
도 2ai는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 정적 접속부들 및 4개의 파이버를 이용하여 상호접속되는 또 다른 아키텍처를 도시한다.
도 2ba는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들을 이용하여 상호접속되는 아키텍처를 도시한다.
도 2bb는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들을 이용하여 상호접속되는 다른 아키텍처를 도시한다.
도 2bc는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들을 이용하여 상호접속되는 또 다른 아키텍처를 도시한다.
도 2bd는 일부 실시예들에 따른, 도 2bc에 도시된 포토닉 인터포저의 타일을 추가로 상세히 도시한다.
도 2be는 일부 실시예들에 따른, 프로그래밍가능한 포토닉 상호접속부의 예를 도시한다.
도 2bf는 일부 실시예들에 따른, 능동 커플러의 예를 도시한다.
도 2ca는 일부 실시예들에 따른, 양방향 버스들을 갖는 아키텍처를 도시한다.
도 2cb는 일부 실시예들에 따른, 양방향 버스들을 갖는 다른 아키텍처를 도시한다.
도 2da는 일부 실시예들에 따른, 전송기를 양방향 버스에 결합시키기 위한 포토닉 회로를 도시한다.
도 2db는 일부 실시예들에 따른, 수신기를 양방향 버스에 결합시키기 위한 포토닉 회로를 도시한다.
도 2e는 일부 실시예들에 따른, 도파관 교차부의 예를 도시한다.
도 2fa는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들을 이용하여 상호접속되는 또 다른 아키텍처를 도시한다.
도 2fb는 일부 실시예들에 따른, 도 2fa의 예에서 이용되는 커플러의 예를 도시한다.
도 2fc는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들을 이용하여 상호접속되는 아키텍처를 도시한다.
도 2fd는 일부 실시예들에 따른, 포토닉 인터포저의 타일들이 프로그래밍가능한 접속부들 및 파이버들을 이용하여 상호접속되는 다른 아키텍처를 도시한다.
도 2ga는 일부 실시예들에 따른, 파장 기반 타일 식별을 이용하는 아키텍처를 도시한다.
도 2gb는 일부 실시예들에 따른, 파장 기반 타일 식별을 이용하는 다른 아키텍처를 도시한다.
도 2gc는 일부 실시예들에 따른, 파장 기반 타일 식별을 이용하는 또 다른 아키텍처를 도시한다.
도 2gd는 일부 실시예들에 따른, 도 2gc의 예에서 이용되는 프로그래밍가능한 광학 루프백의 예를 도시한다.
도 2ha는 일부 실시예들에 따른, AIB(Advanced Interface Bus) 인터페이스를 갖는 ASIC를 도시한다.
도 2hb는 일부 실시예들에 따른, AIB 인터페이스 및 광학 링크들을 이용하는 ASIC-ASIC 접속부들을 도시한다.
도 2hc는 일부 실시예들에 따른, AIB 인터페이스들을 통해 서로 통신하는 다수의 ASIC들을 호스팅하는 포토닉 인터포저를 도시한다.
도 2ia는 일부 실시예들에 따른, WoR(Bunch of Wires) 인터페이스를 이용하여 상호접속된 한 쌍의 ASIC들을 예시하는 블록도이다.
도 2ib는 일부 실시예들에 따른, WoR(Bunch of Wires) 인터페이스를 이용하여 상호접속된 ASIC들의 다른 쌍을 예시하는 블록도이다.
도 2ja는 일부 실시예들에 따른, 포토닉 인터포저들을 이용하는 컴퓨팅 네트워크 아키텍처를 예시하는 개략도이다.
도 2jb는 일부 실시예들에 따른, 포토닉 인터포저들을 이용하는 다른 컴퓨팅 네트워크 아키텍처를 예시하는 개략도이다.
도 2jc는 일부 실시예들에 따른, 포토닉 인터포저들을 이용하는 또 다른 컴퓨팅 네트워크 아키텍처를 예시하는 개략도이다.
도 2jd는 일부 실시예들에 따른, 포토닉 인터포저들을 이용하는 또 다른 컴퓨팅 네트워크 아키텍처를 예시하는 개략도이다.
도 3a는 일부 실시예들에 따른, 플레시오크로너스 클록 분배 스킴(plesiochronous clock distribution scheme)을 예시하는 블록도이다.
도 3b는 일부 실시예들에 따른, 메조크로너스 클록 분배 스킴(mesochronous clock distribution scheme)을 예시하는 블록도이다.
도 3ca는 일부 실시예들에 따른, 등화를 수행하도록 구성된 포토닉 인터포저의 부분을 예시하는 블록도이다.
도 3cb는 일부 실시예들에 따른, 적응적 등화기의 예를 예시하는 블록도이다.
도 3da 내지 도 3dc는 일부 실시예들에 따른, 전송기를 튜닝하기 위한 시퀀스를 도시한다.
도 3ea 및 도 3eb는 일부 실시예들에 따른, 수신기를 튜닝하기 위한 시퀀스를 도시한다.
도 3fa는 일부 실시예들에 따른, 디더링을 이용하여 수신기를 특정 전송기에 고정시키기 위한 기술을 도시한다.
도 3fb는 일부 실시예들에 따른, 다수의 전송기-수신기 쌍들 사이의 통신을 지원하는 광학 채널을 도시한다.
도 4aa는 일부 실시예들에 따른, 포토닉 회로들 및 다수의 파이버 부착물들을 갖는 포토닉 집적 회로(PIC)를 도시한다.
도 4ab는 일부 실시예들에 따른, 그 중 일부가 중복성을 위해서만 제공되는, k개의 파이버들을 이용하여 서로 접속되는 한 쌍의 PIC들을 예시하는 블록도이다.
도 4ac는 일부 실시예들에 따른, 각각의 사이트 상의 부착물들의 수의 함수로서 16개의 파이버 부착 사이트들을 갖는 시스템의 전체 시스템 수율(% 단위)을 도시한다.
도 4b는 일부 실시예들에 따른, 그 중 하나가 중복성을 위해 제공되는, 다수의 타일을 갖는 포토닉 인터포저들을 도시한다.
도 4c는 일부 실시예들에 따른, 포토닉 인터포저에 내장된 전력 모니터링 그리드를 예시하는 개략도이다.
도 5a는 일부 실시예들에 따른, 격자 커플러에 결합된 파이버를 예시하는 개략도이다.
도 5ba는 일부 실시예들에 따른, 다수의 포토닉 회로들로 패터닝된 웨이퍼를 예시하는 개략도이다.
도 5bb는 일부 실시예들에 따른, 도 5ba의 웨이퍼의 단면도이다.
도 5ca는 일부 실시예들에 따른, 포토닉 인터포저의 측면도이다.
도 5cb는 일부 실시예들에 따른, 패키징된 포토닉 인터포저의 측면도이다.
도 5d는 일부 실시예들에 따른, 패키징된 포토닉 인터포저를 제조하기 위한 프로세스를 예시하는 흐름도이다.
도 5e는 일부 실시예들에 따른, 패키징된 포토닉 인터포저를 제조하기 위한 다른 프로세스를 예시하는 흐름도이다.
도 5f는 일부 실시예들에 따른, 패키징된 포토닉 인터포저를 제조하기 위한 또 다른 프로세스를 예시하는 흐름도이다.
도 5g는 일부 실시예들에 따른, 전압 조정기 모듈(VRM)을 포함하는 패키징된 포토닉 인터포저를 예시하는 개략도이다.
도 5ha는 일부 실시예들에 따른, VRM을 예시하는 블록도이다.
도 5hb는 일부 실시예들에 따른, 전자 칩들로의 전력 전달이 VRM들을 이용하여 수행되는 패키지를 예시하는 개략도이다.
Various aspects and embodiments of the present application will be described with reference to the drawings below. It should be understood that the drawings are not necessarily drawn to scale. Items appearing in multiple drawings are indicated by the same reference numerals in the drawings in which they appear.
FIG. 1a illustrates a computing system based on a photonic interposer, according to some embodiments.
FIG. 1ba illustrates a semiconductor wafer according to some embodiments.
FIG. 1bb illustrates a set of photomasks according to some embodiments.
FIG. 1bc illustrates an exemplary photomask for forming optical waveguides according to some embodiments.
FIG. 1bd illustrates the wafer of FIG. 1ba patterned according to the photomask set of FIG. 1bb, according to some embodiments.
FIG. 1be identifies photonic circuits formed on the patterned wafer of FIG. 1bd according to some embodiments.
FIG. 1ca illustrates an exemplary tile of the patterned wafer of FIG. 1be, according to some embodiments.
FIG. 1cb illustrates a group of tiles of the type illustrated in FIG. 1ca, according to some embodiments.
FIG. 1da illustrates a group of tiles sharing the same pattern of metal traces, according to some embodiments.
FIG. 1db illustrates a group of tiles that share the same pattern of metal traces and create a moisture barrier, according to some embodiments.
FIG. 2aa illustrates an architecture in which tiles of a photonic interposer are interconnected using static connectors, according to some embodiments.
FIG. 2ab illustrates another architecture in which tiles of a photonic interposer are interconnected using static connectors, according to some embodiments.
FIG. 2ac illustrates another architecture in which tiles of a photonic interposer are interconnected using static connectors, according to some embodiments.
FIG. 2ad illustrates an architecture in which tiles of a photonic interposer are interconnected using static connectors and fibers, according to some embodiments.
FIG. 2ae illustrates an architecture in which tiles of a photonic interposer are interconnected using static connectors and two fibers, according to some embodiments.
FIG. 2af illustrates an architecture in which tiles of a photonic interposer are interconnected using static connectors and three fibers, according to some embodiments.
FIG. 2AG illustrates another architecture in which tiles of a photonic interposer are interconnected using static connectors and two fibers, according to some embodiments.
FIG. 2A illustrates another architecture in which the tiles of the photonic interposer are interconnected using static connectors and four fibers, according to some embodiments.
FIG. 2ai illustrates another architecture in which tiles of a photonic interposer are interconnected using static connectors and four fibers, according to some embodiments.
FIG. 2BA illustrates an architecture in which tiles of a photonic interposer are interconnected using programmable connections, according to some embodiments.
FIG. 2bb illustrates another architecture in which tiles of a photonic interposer are interconnected using programmable connections, according to some embodiments.
FIG. 2bc illustrates another architecture in which tiles of a photonic interposer are interconnected using programmable connections, according to some embodiments.
FIG. 2bd illustrates in additional detail a tile of the photonic interposer illustrated in FIG. 2bc, according to some embodiments.
FIG. 2be illustrates an example of a programmable photonic interconnect according to some embodiments.
FIG. 2bf illustrates an example of an active coupler according to some embodiments.
FIG. 2ca illustrates an architecture having bidirectional buses, according to some embodiments.
Figure 2cb illustrates another architecture having bidirectional buses, according to some embodiments.
FIG. 2da illustrates a photonic circuit for coupling a transmitter to a bidirectional bus, according to some embodiments.
FIG. 2db illustrates a photonic circuit for coupling a receiver to a bidirectional bus, according to some embodiments.
FIG. 2e illustrates an example of a waveguide intersection according to some embodiments.
FIG. 2fa illustrates another architecture in which tiles of a photonic interposer are interconnected using programmable connections, according to some embodiments.
FIG. 2fb illustrates an example of a coupler used in the example of FIG. 2fa, according to some embodiments.
FIG. 2fc illustrates an architecture in which tiles of a photonic interposer are interconnected using programmable connections, according to some embodiments.
FIG. 2fd illustrates another architecture in which tiles of a photonic interposer are interconnected using programmable connectors and fibers, according to some embodiments.
FIG. 2ga illustrates an architecture utilizing wavelength-based tile identification according to some embodiments.
FIG. 2gb illustrates another architecture utilizing wavelength-based tile identification, according to some embodiments.
FIG. 2gc illustrates another architecture utilizing wavelength-based tile identification, according to some embodiments.
FIG. 2gd illustrates an example of a programmable optical loopback utilized in the example of FIG. 2gc, according to some embodiments.
FIG. 2ha illustrates an ASIC having an Advanced Interface Bus (AIB) interface according to some embodiments.
FIG. 2hb illustrates ASIC-to-ASIC connections utilizing an AIB interface and optical links according to some embodiments.
FIG. 2hc illustrates a photonic interposer hosting multiple ASICs communicating with each other via AIB interfaces, according to some embodiments.
FIG. 2a is a block diagram illustrating a pair of ASICs interconnected using a Bunch of Wires (WoR) interface, according to some embodiments.
FIG. 2ib is a block diagram illustrating another pair of ASICs interconnected using a Bunch of Wires (WoR) interface, according to some embodiments.
FIG. 2ja is a schematic diagram illustrating a computing network architecture utilizing photonic interposers, according to some embodiments.
FIG. 2jb is a schematic diagram illustrating another computing network architecture utilizing photonic interposers, according to some embodiments.
FIG. 2jc is a schematic diagram illustrating another computing network architecture utilizing photonic interposers, according to some embodiments.
FIG. 2jd is a schematic diagram illustrating another computing network architecture utilizing photonic interposers, according to some embodiments.
FIG. 3A is a block diagram illustrating a plesiochronous clock distribution scheme according to some embodiments.
FIG. 3b is a block diagram illustrating a mesochronous clock distribution scheme according to some embodiments.
FIG. 3ca is a block diagram illustrating a portion of a photonic interposer configured to perform equalization according to some embodiments.
FIG. 3cb is a block diagram illustrating an example of an adaptive equalizer according to some embodiments.
FIGS. 3dA to 3dC illustrate a sequence for tuning a transmitter according to some embodiments.
FIGS. 3ea and 3eb illustrate sequences for tuning a receiver according to some embodiments.
FIG. 3fa illustrates a technique for locking a receiver to a particular transmitter using dithering, according to some embodiments.
FIG. 3fb illustrates an optical channel supporting communication between multiple transmitter-receiver pairs according to some embodiments.
FIG. 4aa illustrates a photonic integrated circuit (PIC) having photonic circuits and multiple fiber attachments according to some embodiments.
FIG. 4ab is a block diagram illustrating a pair of PICs connected to each other using k fibers, some of which are provided solely for redundancy, according to some embodiments.
FIG. 4ac illustrates overall system throughput (in percent) of a system having 16 fiber attachment sites as a function of the number of attachments on each site, according to some embodiments.
FIG. 4b illustrates photonic interposers having multiple tiles, one of which is provided for redundancy, according to some embodiments.
FIG. 4c is a schematic diagram illustrating a power monitoring grid embedded in a photonic interposer according to some embodiments.
FIG. 5a is a schematic diagram illustrating a fiber coupled to a grating coupler according to some embodiments.
FIG. 5BA is a schematic diagram illustrating a wafer patterned with a plurality of photonic circuits according to some embodiments.
FIG. 5bb is a cross-sectional view of the wafer of FIG. 5ba, according to some embodiments.
FIG. 5ca is a side view of a photonic interposer according to some embodiments.
FIG. 5cb is a side view of a packaged photonic interposer according to some embodiments.
FIG. 5d is a flowchart illustrating a process for manufacturing a packaged photonic interposer according to some embodiments.
FIG. 5e is a flowchart illustrating another process for manufacturing a packaged photonic interposer according to some embodiments.
FIG. 5f is a flowchart illustrating another process for manufacturing a packaged photonic interposer according to some embodiments.
FIG. 5g is a schematic diagram illustrating a packaged photonic interposer including a voltage regulator module (VRM), according to some embodiments.
FIG. 5ha is a block diagram illustrating a VRM according to some embodiments.
FIG. 5HB is a schematic diagram illustrating a package in which power delivery to electronic chips is performed using VRMs, according to some embodiments.

I. I. 개요outline

본 발명자들은 현대의 디지털 컴퓨팅의 확장성을 제한하는 몇몇 과제들을 인식하고 이해하였다. 첫째, 현재의 설계들은 전력 제한된다. 현대의 컴퓨팅에서의 추세는 전력 소비의 계속 증가로 이어지며, 이는 그 확장성을 제한한다. 또한, 현대의 칩들의 전력 소모적(power-hungry) 성질은 종종 100℃를 초과하는 고온의 핫 스폿들을 초래한다. 고온은 컴퓨터들의 성능을 실질적으로 제한한다. 둘째, 현대의 컴퓨팅 아키텍처들은 대역폭 제한된다. 이러한 아키텍처들은 현대의 애플리케이션들에 의해 요구되는 수백 기가바이트 또는 테라바이트의 용량을 제공하기 위해 다수의 메모리 칩들에 의존한다. 불행히도, 여러 메모리 칩들 사이에 접속성을 제공하는 것은 어렵다. 상호접속부들을 수용하기 위한 보드 또는 랙 상의 이용가능한 물리적 공간이 제한되고, 따라서 전체 대역폭을 제한한다. 또한, 여러 메모리 칩들(예를 들어, 메모리-메모리 및 프로세서-메모리)에 걸쳐 코히어런스 및 일관성을 유지하는 것은 달성하기 어렵다. 일부 아키텍처들은 칩간 통신을 위해 PCI(Peripheral Component Interconnect), CXL(Compute Express Link), 또는 이더넷에 의존한다. 그러나, 이러한 인터페이스들은 보드-레벨 또는 랙-레벨 통신을 수반하는데, 이는 전력 이용을 증가시키고 대역폭을 감소시킨다. 웨이퍼-스케일 전기적 통신이 또한 모색되었지만, 이러한 접근법은 신뢰성 문제들 및 전력 비효율성을 겪는다.The inventors have recognized and understood several challenges that limit the scalability of modern digital computing. First, current designs are power-limited. The trend in modern computing is toward ever-increasing power consumption, which limits its scalability. In addition, the power-hungry nature of modern chips often results in hot spots with temperatures exceeding 100°C. High temperatures substantially limit the performance of computers. Second, modern computing architectures are bandwidth-limited. These architectures rely on a large number of memory chips to provide the hundreds of gigabytes or terabytes of capacity required by modern applications. Unfortunately, providing connectivity between multiple memory chips is difficult. The physical space available on a board or rack to accommodate the interconnects is limited, thus limiting the overall bandwidth. In addition, maintaining coherence and consistency across multiple memory chips (e.g., memory-to-memory and processor-to-memory) is difficult to achieve. Some architectures rely on Peripheral Component Interconnect (PCI), Compute Express Link (CXL), or Ethernet for inter-chip communication. However, these interfaces involve board-level or rack-level communications, which increase power usage and reduce bandwidth. Wafer-scale electrical communications have also been explored, but these approaches suffer from reliability issues and power inefficiencies.

본 발명자들은 저-전력, 고-대역폭 칩간(예를 들어, 보드-레벨 및/또는 랙-레벨)뿐만 아니라 칩내 통신을 가능하게 하는 포토닉 인터포저들을 개발하였다. 종래의 멀티-칩 컴퓨터들의 성능을 개선하는 기술들, 아키텍처들 및 프로세스들이 본 명세서에 설명된다. 일부 실시예들은 "포토닉 모듈들"(본 명세서에서 "포토닉 타일들" 또는 간단히 "타일들"이라고도 함)을 이용하는 포토닉 인터포저들을 제공한다. 각각의 타일은 특정 컴퓨터 아키텍처의 필요들에 기반하여 프로그래밍될 수 있는 프로그래밍가능한 포토닉 회로들을 포함한다. 일부 포토닉 인터포저들은 3x1 타일들의 블록들, 5x1 타일들의 블록들, 10x1 모듈들의 블록들, 20x1 타일들 등에서와 같이 1차원 스킴들에 따라 배열된다. 일부 인터포저들은 3x3 타일들의 블록들, 5x3 타일들의 블록들, 5x5 타일들의 블록들, 10x10 타일들의 블록들 등에서와 같이 2차원 스킴들에 따라 배열된다. 더 일반적으로, 포토닉 인터포저들은 NxM 타일들(여기서 N≥1 및 M≥1)의 임의의 블록, 및 T-토폴로지들, L-토폴로지들, X-토폴로지들 등과 같은 임의의 토폴로지를 가능하게 한다. 각각의 타일은 컴퓨팅 시스템의 노드의 역할을 할 수 있다. 각각의 노드에는, 하나 이상의 디지털 프로세서 칩, 하나 이상의 아날로그 가속기, 하나 이상의 포토닉 가속기, 하나 이상의 메모리 칩, 하나 이상의 네트워킹 칩, 또는 다른 디바이스들이 있을 수 있다.The inventors have developed photonic interposers that enable low-power, high-bandwidth inter-chip (e.g., board-level and/or rack-level) as well as intra-chip communications. Techniques, architectures, and processes that improve the performance of conventional multi-chip computers are described herein. Some embodiments provide photonic interposers that utilize "photonic modules" (also referred to herein as "photonic tiles" or simply "tiles"). Each tile contains programmable photonic circuits that can be programmed based on the needs of a particular computer architecture. Some photonic interposers are arranged in one-dimensional schemes, such as blocks of 3x1 tiles, blocks of 5x1 tiles, blocks of 10x1 modules, 20x1 tiles, etc. Some interposers are arranged according to two-dimensional schemes, such as blocks of 3x3 tiles, blocks of 5x3 tiles, blocks of 5x5 tiles, blocks of 10x10 tiles, etc. More generally, the photonic interposers enable arbitrary blocks of NxM tiles (where N≥1 and M≥1), and arbitrary topologies, such as T-topologies, L-topologies, X-topologies, etc. Each tile can act as a node of the computing system. Each node may have one or more digital processor chips, one or more analog accelerators, one or more photonic accelerators, one or more memory chips, one or more networking chips, or other devices.

본 명세서에 설명된 포토닉 인터포저들은 제조 비용을 제한하는 방식으로 제작된다. 이러한 플랫폼들은 다수의 타일을 제조하기 위해 공통 포토마스크 세트들(또는 적어도 하나의 공통 포토마스크)의 이용에 의존할 수 있다. 이 접근법은 두 가지 방식으로 비용을 감소시킨다. 첫째, 이는, 그렇지 않았으면 여러 상이한 포토마스크 세트들을 조달할 때 초래되었을 추가의 비용들을 감소시킨다. 둘째, 이는 동일한 포토마스크 세트(또는 적어도 하나의 포토마스크)가 전체 웨이퍼에 걸쳐 이용될 것을 요구하는 표준 반도체 파운드리들을 이용한 타일들의 제조를 가능하게 한다. 적어도 하나의 포토마스크를 공유하는 타일들을 설계하는 것은 표준의 저비용 스텝 앤 리피트(step-and-repeat) 제조 프로세스들을 활용하면서 동일한 반도체 웨이퍼 상의 많은 타일들의 제조를 가능하게 한다. 따라서, 일부 실시예들에서, 타일들은 1D 또는 2D 배열로 함께 스티칭되는 공통 템플릿 타일의 인스턴스화들(사본들)이다. 일부 실시예들은 2개의 템플릿 타일을 수반하며, 인터포저의 각각의 타일은 제1 템플릿 타일의 인스턴스화 또는 제2 템플릿 타일의 인스턴스화로서 형성된다. 상이한 템플릿들의 타일들은 예를 들어 제1 유형의 각각의 타일이 제2 유형의 타일들과 이웃하도록 체커보드형 방식으로 교번될 수 있다. 다른 배열들이 또한 가능하다.The photonic interposers described herein are fabricated in a manner that limits manufacturing cost. These platforms may rely on the use of a common set of photomasks (or at least one common photomask) to fabricate a number of tiles. This approach reduces cost in two ways. First, it reduces the additional costs that would otherwise be incurred when procuring multiple different sets of photomasks. Second, it enables the fabrication of the tiles using standard semiconductor foundries that require the same set of photomasks (or at least one photomask) to be used across the entire wafer. Designing the tiles to share at least one photomask enables the fabrication of many tiles on the same semiconductor wafer while utilizing standard, low-cost step-and-repeat manufacturing processes. Thus, in some embodiments, the tiles are instantiations (copies) of a common template tile that are stitched together in a 1D or 2D array. Some embodiments involve two template tiles, with each tile of the interposer being formed as an instantiation of either a first template tile or an instantiation of a second template tile. The tiles of the different templates may be alternated in a checkerboard fashion, for example, such that each tile of the first type is adjacent to tiles of the second type. Other arrangements are also possible.

일 예에서, 포토닉 인터포저는 타일들의 6 × 8 어레이를 포함하고, 각각의 타일은 스텝 앤 리피트 제조 프로세스에서 레티클 샷의 인스턴스화이다. 각각의 타일은 크기가 24.8mm × 32mm이고, 이종 기술들(예를 들어, 범용 프로세서들, GPU들, DRAM/HBM 스택들, 또는 맞춤형 가속기들)을 지원할 수 있다. 3μm의 도파관 피치로, 포토닉 인터포저는 각각의 타일을 빠져나가는 10,000개 초과의 광학 링크를 잘 지원할 수 있다.In one example, the photonic interposer includes a 6 × 8 array of tiles, each tile being an instantiation of a reticle shot in a step-and-repeat manufacturing process. Each tile measures 24.8 mm × 32 mm and can support heterogeneous technologies (e.g., general-purpose processors, GPUs, DRAM/HBM stacks, or custom accelerators). With a waveguide pitch of 3 μm, the photonic interposer can easily support more than 10,000 optical links exiting each tile.

본 명세서에 설명된 일부 실시예들은 포토닉 링크들과 함께 타일들의 쌍들을 접속시키도록 설계된 프로그래밍가능한 물리적 네트워크를 제공한다. 통신 타일들은 인접할 필요가 없다. 예를 들어, 물리적 네트워크는 상단-좌측 코너에 위치된 타일이 중간 타일들에서의 재전송 없이 하단-우측 코너의 타일과 직접 통신할 수 있도록 프로그래밍될 수 있다. 네트워크 구성 시간은 10μs 미만일 수 있고, 임의의 2개의 타일 사이의 통신(서로 인접하든 아니든)은 5ns 미만의 통과 레이턴시를 가질 수 있다. 본 명세서에 설명된 포토닉 인터포저들은 (예를 들어, 저-라딕스(radix)의 고-직경 메시 토폴로지로부터 고-라딕스의 저-직경 버스 토폴로지로) 다양한 논리적 네트워크 토폴로지들을 형성하기 위한 유연성을 제공한다. 예를 들어, 4x4 포토닉 인터포저는 각각의 타일 쌍 사이에 전용 채널들을 갖는 올-투-올 논리적 네트워크를 매핑할 수 있고, 이 네트워크는 (임의의 2개의 타일 사이에) 채널당 최대 14.4 Tbps의 대역폭을 제공할 수 있으며, 총 이분(bisection) 대역폭은 최대 1851 Tbps이다. 다른 예로서, 포토닉 인터포저는 2-ary, 4-fly 버터플라이 네트워크에 대해 채널당 최대 231 Tbps의 대역폭을 제공할 수 있다.Some embodiments described herein provide a programmable physical network designed to connect pairs of tiles with photonic links. The communicating tiles need not be adjacent. For example, the physical network can be programmed such that a tile located at the top-left corner can communicate directly with a tile at the bottom-right corner without retransmissions in the intermediate tiles. The network configuration time can be less than 10 μs, and communication between any two tiles (whether adjacent or not) can have a pass-through latency of less than 5 ns. The photonic interposers described herein provide the flexibility to form a variety of logical network topologies (e.g., from a low-radix, high-diameter mesh topology to a high-radix, low-diameter bus topology). For example, a 4x4 photonic interposer can map an all-to-all logical network with dedicated channels between each pair of tiles, providing up to 14.4 Tbps of bandwidth per channel (between any two tiles), for a total bisection bandwidth of up to 1851 Tbps. As another example, the photonic interposer can provide up to 231 Tbps of bandwidth per channel for a 2-ary, 4-fly butterfly network.

본 명세서에 설명된 포토닉 인터포저들은 효율적인 이종 아키텍처 솔루션을 가능하게 하여, 상이한 기술 노드들로부터 설계되고 상이한 기능들을 수행하는 칩들이 단일 웨이퍼 상에 함께 하우징될 수 있는 한편, 포토닉 링크들을 통해 칩들 사이에 고-대역폭 및 저-레이턴시를 제공하게 한다. 또한, 본 명세서에 설명된 포토닉 인터포저들은, 포토닉 인터포저가 큰 칩을 다수의 더 작은 칩렛들로 슬라이싱하는 것을 허용함에 따라, 크고 전력 소모적인 칩들과 연관된 열 제약들을 다룰 수 있다. 포토닉 인터포저는 이러한 더 작은 칩렛들을 호스팅하고 이러한 더 작은 칩렛들 사이의 에너지 효율적 통신(온-칩 통신과 유사함)을 제공할 수 있다.The photonic interposers described herein enable efficient heterogeneous architecture solutions, where chips designed from different technology nodes and performing different functions can be housed together on a single wafer, while providing high bandwidth and low latency between the chips via photonic links. Furthermore, the photonic interposers described herein can address thermal constraints associated with large and power-hungry chips, as the photonic interposer allows slicing of a large chip into a number of smaller chiplets. The photonic interposer can host these smaller chiplets and provide energy-efficient communication (similar to on-chip communication) between these smaller chiplets.

멀티-칩 시스템에서, 각각의 칩은 전형적으로 전용 메인 메모리에 접속된다. 통상적으로, 데이터는 RDMA(Remote Direct Memory Access)를 이용하여(예를 들어, LLC(Last Level Cache) 또는 L2를 통해) 다수의 칩들 사이에 공유된다. 본 명세서에 설명된 포토닉 인터포저들은 공유된 글로벌 메인 메모리를 형성하기 위해 모든 칩들의 메인 메모리를 집성할 수 있다. 이 글로벌 공유 메인 메모리는 포토닉 링크들을 통해 모든 칩들에 액세스가능하다. 예를 들어, LLC는 각각의 칩으로부터 슬라이싱될 수 있고, LLC들은 각각의 칩의 L2와 LLC 쌍들 사이의 저-레이턴시 및 고-대역폭 통신을 가능하게 하면서 공통 글로벌 공유 메인 메모리 옆으로 이동될 수 있다. 모든 LLC들을 함께 유지하는 것은 낮은 오버헤드로 LLC들에 걸친 일관성 관리를 가능하게 한다. 일부 실시예들에서, 본 명세서에 설명된 포토닉 인터포저들은, 예를 들어 캐시 일관성 있는 불균일 메모리 액세스 머신들(NUMA) 아키텍처들의 효율적인 설계를 가능하게 함으로써, 칩들에 걸쳐 표준 캐시 일관성 프로토콜들(예를 들어, IV, MESI, 및 MOESI)을 이용하는 오버헤드를 감소시킬 수 있다.In a multi-chip system, each chip typically has access to a dedicated main memory. Typically, data is shared between the multiple chips using Remote Direct Memory Access (RDMA) (e.g., via the Last Level Cache (LLC) or L2). The photonic interposers described herein can aggregate the main memories of all chips to form a shared global main memory. This global shared main memory is accessible to all chips via photonic links. For example, an LLC can be sliced from each chip, and the LLCs can be moved next to the common global shared main memory, enabling low-latency and high-bandwidth communication between the L2 and LLC pairs of each chip. Keeping all LLCs together enables coherency management across the LLCs with low overhead. In some embodiments, the photonic interposers described herein may reduce the overhead of utilizing standard cache coherence protocols (e.g., IV, MESI, and MOESI) across chips, for example, by enabling efficient design of cache-coherent non-uniform memory access machines (NUMA) architectures.

전통적인 아키텍처들에서, 프로세서 칩들은 고속 전기적 링크들을 이용하여 메모리 칩들(예를 들어, DRAM 및 HBM)과 통신한다. 그러나, 전기적 링크들과 연관된 커패시턴스는 이용가능한 대역폭을 제한하고 전력 소비를 초래한다. 최근에, 공동-패키징된 옵틱스(Co-Packaged Optics)(CPO)는 전기적 링크들에 대한 잠재적인 대안으로서 부상하였다. CPO는 광학 파이버 기반 통신 링크들을 이용하여 프로세서와 메모리 사이의 통신을 제공한다. 불행하게도, CPO는 하나의 프로세서 칩과 다수의 메모리 칩들 사이의 통신을 지원하기 위한 파이버 링크들의 이용 및 그 반대의 이용이 과제로 남아 있다는 점에서 확장가능한 솔루션이 아니다. 대조적으로, 본 명세서에 설명된 포토닉 인터포저들은 동일한 기판 상에 프로세서 칩들 및 메모리 칩들을 호스팅할 수 있어, 고-대역폭 밀도 통신을 가능하게 한다. 그 웨이퍼-스케일 성질을 활용하여, 포토닉 인터포저들은 프로세서 컴포넌트들 및 많은 메모리 제어기들을 위한 충분한 면적이 있도록 다수의 타일에 걸쳐 프로세서를 확산시킬 수 있다. 이것은 하나의 프로세서 칩 대 다수의 메모리 칩들 및 하나의 메모리 칩 대 다수의 프로세서 칩들과 같은, 각각의 프로세서 칩 상의 다수의 메모리 제어기들을 요구하는 아키텍처들을 가능하게 한다.In traditional architectures, processor chips communicate with memory chips (e.g., DRAM and HBM) using high-speed electrical links. However, the capacitance associated with electrical links limits the available bandwidth and results in power consumption. Recently, co-packaged optics (CPO) have emerged as a potential alternative to electrical links. CPO provides communication between the processor and memory using optical fiber-based communication links. Unfortunately, CPO is not a scalable solution in that the utilization of fiber links to support communication between a single processor chip and multiple memory chips and vice versa remains a challenge. In contrast, the photonic interposers described herein can host processor chips and memory chips on the same substrate, enabling high-bandwidth, dense communication. Leveraging their wafer-scale nature, photonic interposers can spread a processor across multiple tiles, providing sufficient area for the processor components and multiple memory controllers. This enables architectures that require multiple memory controllers on each processor chip, such as one processor chip to multiple memory chips and one memory chip to multiple processor chips.

본 명세서에 설명된 포토닉 인터포저들은 머신 학습, 프라이버시 보호 및 그래프 애플리케이션들을 포함하는 매우 다양한 애플리케이션들에서 이용될 수 있다. 포토닉 인터포저들은 아날로그 컴퓨팅 칩들(예를 들어, 포토닉, 멤리스터들)과 메모리 칩들 사이의 통신, 디지털 컴퓨팅 칩들(예를 들어, 프로세서들, FPGA들, GPU들)과 메모리 칩들 사이의 통신, 네트워킹 칩들, 디지털 스위치 칩들, 및 디지털 컴퓨팅 칩들과 아날로그 컴퓨팅 칩들 사이의 통신을 지원하는데 이용될 수 있다.The photonic interposers described herein can be used in a wide variety of applications, including machine learning, privacy preserving, and graph applications. The photonic interposers can be used to support communication between analog computing chips (e.g., photonics, memristors) and memory chips, communication between digital computing chips (e.g., processors, FPGAs, GPUs) and memory chips, networking chips, digital switch chips, and communication between digital computing chips and analog computing chips.

현재의 머신 학습 모델들은 대량의 데이터(예컨대, 종종 수백 GB 내지 수십 TB)를 수반한다. 따라서, 모델 및 데이터를 저장하기 위해 대량의 메모리가 요구된다. 현재의 기술들은 단일 칩에 충분한 메모리를 제공하지 않는다. 본 명세서에 설명된 포토닉 인터포저들은 메모리 칩들과 컴퓨팅 칩들 사이에 고-대역폭, 저-레이턴시 통신을 제공하면서 다수의 메모리 칩을 단일 기판에 통합하기 위한 솔루션을 제공한다. 그 결과는 훈련 및 추론 동작 둘 다 동안의 실행 시간의 감소이다.Current machine learning models involve massive amounts of data, often hundreds of GB to tens of TB. Therefore, large amounts of memory are required to store the models and data. Current technologies do not provide sufficient memory on a single chip. The photonic interposers described herein provide a solution for integrating multiple memory chips onto a single substrate while providing high-bandwidth, low-latency communication between the memory chips and the computing chips. The result is a reduction in execution time during both training and inference operations.

시스템들을 설계할 때 데이터 프라이버시가 1차 관심사가 됨에 따라, 다양한 프라이버시 보호 컴퓨팅 접근법들이 제안되었다. 이러한 접근법들 중 하나는 준동형 암호화(homomorphic encryption)(HE)이다. 메모리 대역폭 및 레이턴시는 HE 기반 애플리케이션들에서의 주요 병목현상들이다. 본 명세서에 설명된 포토닉 인터포저들은 고-대역폭 및 저-레이턴시로 메모리에 대한 액세스를 제공함으로써 이 문제를 완화할 수 있다.As data privacy becomes a primary concern when designing systems, various privacy-preserving computing approaches have been proposed. One of these approaches is homomorphic encryption (HE). Memory bandwidth and latency are major bottlenecks in HE-based applications. The photonic interposers described herein can alleviate this problem by providing access to memory with high bandwidth and low latency.

그래프 애플리케이션들은 메모리에 대한 불규칙한 액세스를 수반한다. 또한, 그래프 애플리케이션들은 캐시 라인에 존재하는 모든 데이터를 통상적으로 이용하지 않기 때문에 작은 데이터 세분성을 수반한다. 본 명세서에 설명된 포토닉 인터포저들은 고-대역폭, 저-레이턴시 포토닉 링크들을 통해 메모리에 대한 효율적인 액세스를 가능하게 함으로써 이러한 병목현상을 극복할 수 있다.Graph applications involve irregular accesses to memory. Furthermore, graph applications involve small data granularity since they do not typically utilize all data present in a cache line. The photonic interposers described herein can overcome this bottleneck by enabling efficient access to memory over high-bandwidth, low-latency photonic links.

타일 기반 포토닉 인터포저들을 수반하는 아키텍처들, 시스템들 및 프로세스들이 본 명세서에 설명된다.Architectures, systems, and processes involving tile-based photonic interposers are described herein.

II. II. 타일형 포토닉 인터포저들Tile-type photonic interposers

도 1a는 일 예에 따른, 3x3 토폴로지로 배열된 9개의 타일을 갖는 포토닉 인터포저에 기반한 예시적인 컴퓨팅 시스템을 도시한다. 컴퓨팅 시스템(10)은 9개의 타일(22)로 패터닝된 포토닉 인터포저(20)를 포함한다. 이 포토닉 인터포저는 포토닉 인터포저(20)의 중간에 위치된 하나의 프로세서 다이(30), 및 프로세서 다이를 둘러싸는 8개의 메모리 노드를 지지한다. 메모리 노드들 중의 일부는 단일 메모리 칩(예를 들어, 메모리 다이(32) 참조)을 포함한다. 다른 메모리 노드들은 다수의 수직으로 적층된 메모리 다이들을 포함하는 적층된 메모리를 포함한다(예를 들어, 적층된 메모리(34) 참조). 다이들은 타일들을 정의하는 웨이퍼의 상단 상에 적층된다. 다이는 전자적으로(예를 들어, 실리콘 관통 비아들, 구리 필러들, 마이크로-범프들, 볼-그리드 어레이들 또는 다른 전기적 상호접속부들을 이용하여) 그리고/또는 광학적으로(예를 들어, 격자 커플러들, 프리즘들, 렌즈들 또는 다른 광학 커플러들을 이용하여) 기저 타일과 통신할 수 있다.FIG. 1A illustrates an exemplary computing system based on a photonic interposer having nine tiles arranged in a 3x3 topology, according to one example. The computing system (10) includes a photonic interposer (20) patterned with nine tiles (22). The photonic interposer supports a processor die (30) positioned in the middle of the photonic interposer (20) and eight memory nodes surrounding the processor die. Some of the memory nodes include a single memory chip (e.g., see memory die (32)). Other memory nodes include stacked memory including a plurality of vertically stacked memory dies (e.g., see stacked memory (34)). The dies are stacked on top of a wafer defining tiles. A die may communicate with a base tile electronically (e.g., using through-silicon vias, copper pillars, micro-bumps, ball-grid arrays, or other electrical interconnects) and/or optically (e.g., using grating couplers, prisms, lenses, or other optical couplers).

아래에 더 상세히 설명되는 바와 같이, 타일들은 광학 도파관들 및 광학 분배 네트워크들로 패터닝될 수 있다. 타일의 광학 분배 네트워크는 그 특정 노드의 다이를 컴퓨팅 시스템의 임의의 다른 다이와 광학 통신하도록 선택적으로 배치할 수 있다. 예를 들어, 프로세서 다이(30) 아래에 위치된 타일의 광학 분배 네트워크는 프로세서의 필요에 따라 재구성될 수 있다. 루틴의 시작에서, 프로세서는 제1 메모리 노드에 저장된 데이터에 액세스할 필요가 있을 수 있다. 이 판독 동작은 프로세서를 제1 메모리 노드와 광학 통신하도록 배치하기 위해 각각의 광학 분배 네트워크들을 구성하는 것을 수반한다. 루틴에서 나중에, 프로세서는 데이터를 제2 메모리 노드에 기입할 필요가 있을 수 있다. 이 기입 동작은 프로세서를 제2 메모리 노드와 광학 통신하도록 배치하기 위해 광학 분배 네트워크들을 재구성하는 것을 수반한다.As described in more detail below, the tiles may be patterned with optical waveguides and optical distribution networks. The optical distribution network of a tile may optionally place a die of that particular node into optical communication with any other die of the computing system. For example, the optical distribution network of a tile located beneath a processor die (30) may be reconfigured as needed by the processor. At the start of a routine, the processor may need to access data stored in a first memory node. This read operation involves configuring each of the optical distribution networks to place the processor into optical communication with the first memory node. Later in the routine, the processor may need to write data to a second memory node. This write operation involves reconfiguring the optical distribution networks to place the processor into optical communication with the second memory node.

대규모로 타일을 제조하는 것은 비용이 많이 들 수 있다. 본 명세서에 설명된 포토닉 인터포저들은 제조 비용을 제한하는 방식으로 제작된다. 이러한 플랫폼들은 다수의 타일을 제조하기 위해 공통 포토마스크 세트들(의 서브세트)의 이용에 의존한다. 이 접근법은 두 가지 방식으로 비용을 감소시킨다. 첫째, 이것은, 그렇지 않았으면 여러 상이한 포토마스크 세트들을 조달할 때 초래되었을 추가 비용들을 감소시킨다. 둘째, 이것은, 그 중 일부가 동일한 포토마스크 세트(또는 적어도 하나의 포토마스크)가 전체 웨이퍼에 걸쳐 이용될 것을 요구하는 표준 반도체 파운드리들을 이용한 타일들의 제조를 가능하게 한다. 적어도 하나의 포토마스크를 공유하는 타일들을 설계하는 것은 표준의 저비용 스텝 앤 리피트 제조 프로세스들을 활용하면서 동일한 반도체 웨이퍼 상의 많은 타일들의 제조를 가능하게 한다.Manufacturing tiles in large quantities can be expensive. The photonic interposers described herein are fabricated in a way that limits manufacturing costs. These platforms rely on the use of (a subset of) common photomask sets to manufacture a large number of tiles. This approach reduces costs in two ways. First, it reduces the additional costs that would otherwise be incurred when procuring multiple different photomask sets. Second, it enables the manufacturing of tiles using standard semiconductor foundries, some of which require that the same photomask set (or at least one photomask) be used across the entire wafer. Designing tiles that share at least one photomask enables the manufacturing of many tiles on the same semiconductor wafer while utilizing standard, low-cost step-and-repeat manufacturing processes.

본 명세서에 설명된 타일들은 예를 들어 상보형 금속 산화물 반도체(CMOS) 마이크로 제조 기술들을 포함하는 마이크로 제조 기술들을 이용하여 제조될 수 있다. 따라서, 일부 실시예들은 실리콘 포토닉 기반 광학 인터포저들에 관한 것이다. 일부 특정 마이크로 제조 기술들은 스텝 앤 리피트 접근법들을 수반하고, 이에 의해 스테퍼 머신들이 템플릿 레이아웃(예를 들어, 레티클)의 다수의 사본들로 반도체 웨이퍼를 패터닝하는데 이용된다. 스텝 앤 리피트 접근법으로부터 생기는 각각의 타일은 레티클에 대응할 수 있다. 도1ba 내지 도 1be는 타일들을 제조하기 위한 마이크로 제조 기술들을 도시한다. 도1ca 및 도 1cb는 이러한 마이크로 제조 기술들을 이용하여 패터닝된 타일들의 예들을 도시한다.The tiles described herein can be fabricated using microfabrication techniques, including, for example, complementary metal oxide semiconductor (CMOS) microfabrication techniques. Accordingly, some embodiments relate to silicon photonics-based optical interposers. Some specific microfabrication techniques involve step-and-repeat approaches, whereby stepper machines are used to pattern a semiconductor wafer with multiple copies of a template layout (e.g., a reticle). Each tile resulting from the step-and-repeat approach can correspond to a reticle. Figures 1B-1 through 1B-1 illustrate microfabrication techniques for fabricating the tiles. Figures 1C-1 and 1C-2 illustrate examples of tiles patterned using such microfabrication techniques.

먼저 도 1ba를 참조하면, 이 도면은 반도체 웨이퍼(11)를 도시한다. 웨이퍼(11)는 임의의 재료로 제조될 수 있다. 예를 들어, 웨이퍼(11)는 실리콘으로 제조될 수 있다(또는 다른 방식으로 실리콘을 포함할 수 있다). 일 예에서, 웨이퍼(11)는 실리콘-온-절연체(SOI) 웨이퍼이다. 다른 예에서, 웨이퍼(11)는 벌크 실리콘 웨이퍼이다. 웨이퍼(11)는 임의의 크기를 가질 수 있다. 예를 들어, 웨이퍼(11)의 직경은 다른 가능한 값들 중에서, 150mm, 300nm, 또는 450mm일 수 있다. 그러나, 모든 웨이퍼가 원형 형상을 가질 필요는 없다.Referring first to FIG. 1ba, this drawing illustrates a semiconductor wafer (11). The wafer (11) may be made of any material. For example, the wafer (11) may be made of silicon (or may otherwise include silicon). In one example, the wafer (11) is a silicon-on-insulator (SOI) wafer. In another example, the wafer (11) is a bulk silicon wafer. The wafer (11) may have any size. For example, the diameter of the wafer (11) may be 150 mm, 300 nm, or 450 mm, among other possible values. However, not all wafers need to have a circular shape.

도 1bb는 포토리소그래픽 기술들을 이용하여 웨이퍼(11)를 패터닝하는데 이용될 수 있는 포토마스크들의 세트를 나타낸다. 포토마스크 세트(200)는 3개의 포토마스크(201, 202 및 203)를 포함하지만, 다른 세트들은 더 많거나 더 적은 포토마스크들을 포함할 수 있다. 각각의 포토마스크는 불투명 및 투명 영역들의 특정 패턴을 갖는다. 포토마스크가 광에 노출될 때, 불투명 영역들은 광을 차단하여, 그것이 웨이퍼를 비추는 것을 방지하고, 투명 영역들은 광의 통과를 허용한다. 그 결과, 포토마스크의 패턴이 웨이퍼에 전사된다.FIG. 1bb illustrates a set of photomasks that can be used to pattern a wafer (11) using photolithographic techniques. The photomask set (200) includes three photomasks (201, 202, and 203), although other sets may include more or fewer photomasks. Each photomask has a specific pattern of opaque and transparent areas. When the photomask is exposed to light, the opaque areas block the light, preventing it from illuminating the wafer, and the transparent areas allow the light to pass through. As a result, the pattern of the photomask is transferred to the wafer.

각각의 포토마스크는 타일의 특정 층을 정의할 수 있다. 하나의 포토마스크가 광학 도파관들을 정의하는데 이용될 수 있다. 웨이퍼가 에칭 프로세스를 거칠 때, 노출된 영역들만이(또는 비노출된 영역들만이) 에칭 제거되고, 다른 영역들은 에칭되지 않은 채로 남는다. 이 포토마스크는 웨이퍼가 이 포토마스크를 통해 광에 노출될 때 광학 도파관들의 네트워크를 형성하도록 패터닝될 수 있다. 도 1bc는 웨이퍼(11) 상에 도파관을 형성하는데 이용될 수 있는 포토마스크의 일부를 도시한다. 포토마스크(201)의 라인들은 불투명 영역들을 나타낸다. 포토마스크(201)의 배경은 투명하다. 포토마스크의 이미지가 웨이퍼(11) 상에 투영되도록 포토마스크(201)를 광에 노출시키는 것은 불투명 영역들의 형상들로 도파관들을 패터닝하는 것을 가능하게 한다. 이 특정 예에서, 포토마스크의 라인들의 패턴은 도파관들의 그리드를 낳는다.Each photomask can define a specific layer of the tile. A single photomask can be used to define the optical waveguides. When the wafer undergoes an etching process, only the exposed areas (or only the unexposed areas) are etched away, leaving the other areas unetched. The photomask can be patterned to form a network of optical waveguides when the wafer is exposed to light through the photomask. FIG. 1bc illustrates a portion of a photomask that can be used to form waveguides on the wafer (11). The lines of the photomask (201) represent opaque areas. The background of the photomask (201) is transparent. Exposing the photomask (201) to light such that the image of the photomask is projected onto the wafer (11) allows for the waveguides to be patterned into the shapes of the opaque areas. In this particular example, the pattern of the lines of the photomask produces a grid of waveguides.

일부 타일들은 상이한 레벨들의 광학 도파관들의 이용을 수반한다. 일부 이러한 실시예들에서, 포토마스크 세트(200)는 각각의 도파관 레벨을 위한 전용 포토마스크를 포함할 수 있다. n-도핑된 영역들을 정의하기 위해 다른 포토마스크가 이용될 수 있다. 웨이퍼가 이온 주입 또는 도펀트 확산 프로세스를 거칠 때, 노출된 영역들만이(또는 비노출된 영역들만이) 도핑을 받는 반면, 다른 영역들은 도핑되지 않은 채로 남는다. 다른 포토마스크는 유사한 프로세스를 이용하여 p-도핑된 영역들을 정의하는데 이용될 수 있다. 일부 타일들은 상이한 도핑 농도들의 이용을 수반한다. 일부 이러한 실시예들에서, 포토마스크 세트(200)는 각각의 도핑 농도를 위한 전용 포토마스크를 포함할 수 있다. 다른 실시예들에서, 포토마스크 세트(200)는 게르마늄 및/또는 III족 또는 V족과 같은 주기율표의 다른 재료들과 같은, 실리콘 이외의 반도체 재료들의 퇴적을 정의하는데 이용되는 포토마스크들을 포함할 수 있다. 다른 포토마스크가 금속 접촉부들을 정의하는데 이용될 수 있다. 다른 포토마스크가 금속 트레이스들을 정의하는데 이용될 수 있다. 일부 타일들은 상이한 레벨들의 금속 트레이스들의 이용을 수반한다. 일부 이러한 실시예들에서, 포토마스크 세트(200)는 각각의 금속 트레이스 레벨을 위한 전용 포토마스크를 포함할 수 있다.Some tiles involve the use of different levels of optical waveguides. In some such embodiments, the photomask set (200) may include a dedicated photomask for each waveguide level. Another photomask may be used to define n-doped regions. When the wafer undergoes an ion implantation or dopant diffusion process, only the exposed regions (or only the unexposed regions) are doped, while other regions remain undoped. Another photomask may be used to define p-doped regions using a similar process. Some tiles involve the use of different doping concentrations. In some such embodiments, the photomask set (200) may include a dedicated photomask for each doping concentration. In other embodiments, the photomask set (200) may include photomasks used to define the deposition of semiconductor materials other than silicon, such as germanium and/or other materials of the periodic table, such as Group III or Group V. Different photomasks may be used to define the metal contacts. Different photomasks may be used to define the metal traces. Some tiles involve the use of different levels of metal traces. In some such embodiments, the photomask set (200) may include a dedicated photomask for each metal trace level.

일부 실시예들에서, 웨이퍼(11)는 스텝 앤 리피트 방식으로 패터닝된다. 웨이퍼(11)가 스테퍼 머신에서 처리될 때, 포토마스크의 패턴은 그리드에서 웨이퍼의 표면에 걸쳐 반복적으로 노출된다. 이 프로세스는 스테퍼의 렌즈 아래에서 스텝의 앞뒤로 그리고 좌우로 웨이퍼를 이동시키고, 각각의 스텝에서 포토마스크를 노출시키는 것을 수반한다. 그 결과, 웨이퍼(11)는 포토마스크에 의해 정의된 패턴의 다수의 사본들로 패터닝된다. 이 동작은 세트의 각각의 포토마스크(또는 적어도 일부 포토마스크들)에 대해 반복될 수 있다. 따라서, 일부 실시예들에서, 타일들은 1D 또는 2D 배열로 함께 스티칭되는 공통 템플릿 타일의 사본들이다. 다른 실시예들은 2개의 템플릿 타일을 수반하여, 인터포저의 각각의 타일이 제1 템플릿 타일의 인스턴스화 또는 제2 템플릿 타일의 인스턴스화로서 형성되게 한다. 상이한 템플릿들의 타일들은 예를 들어, 제1 유형의 각각의 타일이 단지 제2 유형의 타일들과 이웃하도록, 체커보드형 방식으로 교번될 수 있다. 다른 배열들이 또한 가능하다.In some embodiments, the wafer (11) is patterned in a step-and-repeat manner. As the wafer (11) is processed in a stepper machine, the pattern of the photomask is repeatedly exposed across the surface of the wafer in a grid. This process involves moving the wafer back and forth and left and right in steps under the lens of the stepper, exposing the photomask at each step. As a result, the wafer (11) is patterned with multiple copies of the pattern defined by the photomask. This operation may be repeated for each photomask (or at least some of the photomasks) in the set. Thus, in some embodiments, the tiles are copies of a common template tile that are stitched together in a 1D or 2D array. Other embodiments involve two template tiles, such that each tile of the interposer is formed as an instantiation of a first template tile or an instantiation of a second template tile. The tiles of the different templates may be alternated in a checkerboard fashion, for example, such that each tile of the first type is adjacent only to tiles of the second type. Other arrangements are also possible.

도 1bd의 예에서, 웨이퍼(11)는 타일들(22)의 그리드로 패터닝되었다. 타일들은 세트(200)의 하나 이상의 포토마스크의 패턴을 공유할 수 있다. 예를 들어, 타일들은 동일한 도파관 포토마스크(들) 및/또는 동일한 m개의 트레이스 포토마스크(들)의 패턴을 공유할 수 있다. 다른 실시예들에서, 타일들은 세트(200)의 모든 포토마스크들의 패턴을 공유한다. 예를 들어, 타일들은 동일한 광학 도파관 패턴, 동일한 n-도핑 패턴, 동일한 p-도핑 패턴, 동일한 접촉 패턴, 동일한 금속 트레이스 패턴 등을 공유할 수 있다.In the example of FIG. 1bd, the wafer (11) is patterned into a grid of tiles (22). The tiles may share a pattern of one or more photomasks of the set (200). For example, the tiles may share a pattern of the same waveguide photomask(s) and/or the same m trace photomask(s). In other embodiments, the tiles share a pattern of all photomasks of the set (200). For example, the tiles may share a same optical waveguide pattern, a same n-doping pattern, a same p-doping pattern, a same contact pattern, a same metal trace pattern, etc.

일부 실시예들에서, 웨이퍼(11)의 전체 표면은 포토마스크 세트(200)를 이용하여 패터닝된다. 그러나, 웨이퍼(11)의 일부 부분들은 제1 포토마스크 세트를 이용하여 패터닝될 수 있고, 웨이퍼(11)의 다른 부분들은 제2 포토마스크 세트를 이용하여 패터닝될 수 있으므로, 모든 실시예들이 이 점에 있어서 제한되는 것은 아니다. 제1 포토마스크 세트는 제1 레티클에 대응할 수 있고, 제2 포토마스크 세트는 제2 레티클에 대응할 수 있다. 제1 및 제2 유형의 레티클은 체커보드형 방식으로 교번될 수 있다.In some embodiments, the entire surface of the wafer (11) is patterned using the photomask set (200). However, not all embodiments are limited in this respect, as some portions of the wafer (11) may be patterned using the first photomask set, and other portions of the wafer (11) may be patterned using the second photomask set. The first photomask set may correspond to a first reticle, and the second photomask set may correspond to a second reticle. The first and second types of reticles may be alternated in a checkerboard fashion.

일단 패터닝되면, 웨이퍼(11)는 다수의 포토닉 회로들을 포함할 수 있다. 일 예에서, 도 1be의 웨이퍼는 웨이퍼(11)로부터 6개의 포토닉 회로를 획득하도록 마킹되었다. 포토닉 회로들은 웨이퍼와 모놀리식으로 집적된다. 이 도면은 하나의 타일(22)만을 갖는 1x1 포토닉 회로, 4개의 타일(22)을 갖는 2x2 포토닉 회로, 6개의 타일(22)을 갖는 2x3 포토닉 회로, 및 9개의 타일(22)을 각각 갖는 3개의 3x3 포토닉 회로를 식별한다. 웨이퍼로부터의 포토닉 회로의 분리는 원하는 포토닉 회로의 둘레를 따라 웨이퍼를 다이싱하는 것을 수반한다. 이와 관련하여, 본 명세서에 설명된 포토닉 회로들은 웨이퍼-레벨 아키텍처로서 보여질 수 있다. 일단 다이싱되면, 각각의 포토닉 회로는 독립형 포토닉 인터포저를 형성한다. 웨이퍼(11)의 3x3 포토닉 회로들 중의 하나가 도 1a의 예시적인 컴퓨팅 시스템의 포토닉 인터포저로서 이용될 수 있다(포토닉 인터포저(20) 참조).Once patterned, the wafer (11) may include a number of photonic circuits. In one example, the wafer of FIG. 1be has been marked to obtain six photonic circuits from the wafer (11). The photonic circuits are monolithically integrated with the wafer. The drawing identifies a 1x1 photonic circuit having only one tile (22), a 2x2 photonic circuit having four tiles (22), a 2x3 photonic circuit having six tiles (22), and three 3x3 photonic circuits each having nine tiles (22). Separation of the photonic circuits from the wafer involves dicing the wafer around the perimeter of the desired photonic circuits. In this regard, the photonic circuits described herein may be viewed as a wafer-level architecture. Once diced, each photonic circuit forms a standalone photonic interposer. One of the 3x3 photonic circuits of the wafer (11) can be used as a photonic interposer of the exemplary computing system of Fig. 1a (see photonic interposer (20)).

도 1ca는 예시적인 타일(22)을 도시한다. 이 예에서, 타일(22)은 직사각형으로 형상화된다(그러나, 정사각형 또는 다른 다각형과 같은 다른 형상들도 가능하다). 이와 같이, 타일(22)은 4개의 경계들(경계들 1, 2, 3 및 4)에 의해 경계가 정해진다. 경계 1은 경계 2에 대향하고 있고, 경계 3은 경계 4에 대향하고 있다. 경계 1은 경계들 3 및 4에 인접해 있고, 경계 2는 또한 경계들 3 및 4에 인접해 있다. 타일(22)은 도파관들(111, 112, 113 및 114)에 결합된 광학 분배 네트워크(104)를 포함한다. 도파관(111)은 광학 분배 네트워크(104)를 경계 1에 광학적으로 결합시킨다. 이와 같이, 광학 분배 네트워크(104)로부터 도파관(111)으로 결합된 광학 신호들은 경계(111)를 가로지름으로써 타일 외부로 전달될 수 있다. 유사하게, 도파관(112)은 광학 분배 네트워크(104)를 경계 2에 광학적으로 결합시키고, 도파관(113)은 광학 분배 네트워크(104)를 경계 3에 광학적으로 결합시키며, 도파관(114)은 광학 분배 네트워크(104)를 경계 4에 광학적으로 결합시킨다. 일부 실시예들에서, 타일의 경계들은 포토리소그래피 샷에 기반하여 정의된다(예컨대, 경계들은 타일을 제조하는데 이용되는 포토마스크(들)의 경계들에 의해 정의된다). 그러나, 다른 실시예들에서, 하나의 포토리소그래피 샷이 하나보다 많은 타일을 정의할 수 있다. 예를 들어, 포토마스크는 템플릿 타일의 다수의 나란한 인스턴스들로 패터닝될 수 있다. 일부 이러한 실시예들에서, 타일의 경계들은 템플릿 타일의 인접한 인스턴스들이 만나는 곳에서 정의된다.FIG. 1ca illustrates an exemplary tile (22). In this example, the tile (22) is shaped as a rectangle (but other shapes, such as a square or other polygon, are also possible). As such, the tile (22) is bounded by four boundaries (boundaries 1, 2, 3, and 4). Boundary 1 is opposite boundary 2, and boundary 3 is opposite boundary 4. Boundary 1 is adjacent to boundaries 3 and 4, and boundary 2 is also adjacent to boundaries 3 and 4. The tile (22) includes an optical distribution network (104) coupled to waveguides (111, 112, 113, and 114). The waveguide (111) optically couples the optical distribution network (104) to boundary 1. In this way, optical signals coupled from the optical distribution network (104) to the waveguide (111) can be propagated out of the tile by crossing the boundary (111). Similarly, the waveguide (112) optically couples the optical distribution network (104) to boundary 2, the waveguide (113) optically couples the optical distribution network (104) to boundary 3, and the waveguide (114) optically couples the optical distribution network (104) to boundary 4. In some embodiments, the boundaries of the tile are defined based on a photolithography shot (e.g., the boundaries are defined by the boundaries of the photomask(s) used to fabricate the tile). However, in other embodiments, a single photolithography shot may define more than one tile. For example, a photomask may be patterned with multiple parallel instances of a template tile. In some such embodiments, the boundaries of the tile are defined where adjacent instances of the template tile meet.

도 1ca의 예가 광학 분배 네트워크를 경계들 각각에 결합시키는 도파관들을 예시하지만, 모든 실시예들이 이러한 방식으로 배열되는 것은 아니다. 다른 실시예들에서, 타일(22)은, 도파관들(111 및 112) 또는 도파관들(111 및 113)과 같이, 이러한 4개의 도파관들 중의 2개를 포함할 수 있다. 또 다른 실시예들에서, 타일(22)은, 도파관들(111, 112 및 113)과 같이, 이러한 4개의 도파관들 중의 3개를 포함할 수 있다. 광학 분배 네트워크(104)는 타일(22)의 내부 및 외부에 광학 신호들을 라우팅하기 위한 포토닉 컴포넌트들(예컨대, 포토닉 스위치들)을 포함한다. 게다가, 광학 분배 네트워크(104)는 (타일 상에 장착된 전자 칩과의 전기-광학 인터페이스를 제공하는) 전송기들 및 (타일 상에 장착된 전자 칩과의 광학-전기 인터페이스를 제공하는) 수신기들을 포함할 수 있다. 광학 분배 네트워크들의 예들은 이하의 섹션에서 상세히 논의된다.Although the example of FIG. 1ca illustrates waveguides coupling the optical distribution network to each of the boundaries, not all embodiments are arranged in this manner. In other embodiments, a tile (22) may include two of these four waveguides, such as waveguides (111 and 112) or waveguides (111 and 113). In still other embodiments, a tile (22) may include three of these four waveguides, such as waveguides (111, 112 and 113). The optical distribution network (104) includes photonic components (e.g., photonic switches) for routing optical signals within and outside of the tile (22). Additionally, the optical distribution network (104) may include transmitters (which provide an electro-optical interface with an electronic chip mounted on the tile) and receivers (which provide an optical-electrical interface with an electronic chip mounted on the tile). Examples of optical distribution networks are discussed in detail in the sections below.

일부 실시예들에서, 타일은 포토닉 도파관들의 다수의 층들을 포함할 수 있다. 도전성 트레이스들의 다수의 층이 전기적 신호들을 라우팅하기 위한 전자 회로의 능력을 증가시키는 방법과 유사하게, 도파관들의 다수의 층은 광학 신호들을 라우팅하기 위한 타일의 능력을 증가시킨다. 일 예에서, 하나의 층이 실리콘 도파관을 포함하고, 하나 이상의 추가 층이 실리콘 질화물 도파관을 포함한다. 각각의 도파관 층의 재료의 선택은 도파관에 의해 라우팅될 광의 파장에 의해 결정될 수 있다. 예를 들어, 실리콘 및 실리콘 질화물 층들은 약 1.3μm 또는 1.5μm의 파장들을 갖는 전기통신 대역들에서 적외선 광을 라우팅하는데 이용될 수 있다. 일부 예들에서, 도파관들의 다수의 층은 또한 UV 파장들까지 아래로 가시광을 라우팅하는데 이용될 수 있는 알루미늄 질화물 도파관들 또는 UV 광을 라우팅하는데 이용되는 알루미늄 산화물 도파관들을 포함할 수 있다. 각각의 층은 도 1ca에 도시된 것과 유사한 구성으로 배열될 수 있으며, 층의 도파관들 사이에서 신호들을 라우팅하는 광학 분배 네트워크를 갖는다.In some embodiments, the tile may include multiple layers of photonic waveguides. Similar to how multiple layers of conductive traces increase the ability of an electronic circuit to route electrical signals, multiple layers of waveguides increase the ability of the tile to route optical signals. In one example, one layer includes a silicon waveguide and one or more additional layers include a silicon nitride waveguide. The choice of material for each waveguide layer may be determined by the wavelength of light to be routed by the waveguide. For example, the silicon and silicon nitride layers may be used to route infrared light in telecommunications bands having wavelengths of about 1.3 μm or 1.5 μm. In some examples, the multiple layers of waveguides may also include aluminum nitride waveguides, which may be used to route visible light down to UV wavelengths, or aluminum oxide waveguides, which may be used to route UV light. Each layer may be arranged in a configuration similar to that illustrated in FIG. 1ca, with an optical distribution network that routes signals between the waveguides of the layer.

타일(22)은 하나 이상의 면외 커플러(out-of-plane coupler)(도 1ca에 도시되지 않음)를 더 포함할 수 있다. 면외 커플러는 xy 평면 외부로, 예를 들어 z축에 평행한 방향으로 또는 z축에 대해 비스듬히 광을 방출하도록 구성될 수 있다. 면외 커플러는 xy 평면 외부로부터 입사하는 광을 캡처하도록 추가로 구성될 수 있다. 일부 실시예들에서, 면외 커플러는 타일(22)과 타일 위 및/또는 타일 아래에 배치된 다이 사이의 광학 통신을 가능하게 한다. 면외 커플러는 예를 들어 광학 격자들, 렌즈들, 및 프리즘들을 포함하는 임의의 적합한 광학 컴포넌트를 이용하여 구현될 수 있다. 일부 실시예들에서, 동일한 면외 커플러가 (광학 분배 네트워크(104)로부터 다이로의 그리고 다이로부터 광학 분배 네트워크(104)로의) 양 방향들에서의 광학 통신을 가능하게 하도록 광학 분배 네트워크가 구성될 수 있다. 일부 실시예들에서, 면외 커플러는 타일(22)과 파이버 사이의 광학 통신을 가능하게 한다.The tile (22) may further include one or more out-of-plane couplers (not shown in FIG. 1ca). The out-of-plane couplers may be configured to emit light outside the xy-plane, for example, in a direction parallel to the z-axis or obliquely relative to the z-axis. The out-of-plane couplers may be further configured to capture light incident from outside the xy-plane. In some embodiments, the out-of-plane couplers enable optical communication between the tile (22) and a die positioned above and/or below the tile. The out-of-plane couplers may be implemented using any suitable optical components, including, for example, optical gratings, lenses, and prisms. In some embodiments, the optical distribution network may be configured such that the same out-of-plane coupler enables optical communication in both directions (from the optical distribution network (104) to the die and from the die to the optical distribution network (104)). In some embodiments, the out-of-plane couplers enable optical communication between the tile (22) and the fibers.

광학 분배 네트워크(104)는, 이하의 섹션들에서 상세히 논의되는 바와 같이, 타일(22)의 임의의 컴포넌트들을 타일(22)의 임의의 다른 컴포넌트들에 선택적으로 결합시킬 수 있다. 예를 들어, 광학 분배 네트워크(104)는 도파관(111)과 도파관(112) 사이, 및/또는 도파관(111)과 도파관(113) 사이, 및/또는 도파관(113)과 도파관(114) 사이 등의 광의 통과를 가능하게 할 수 있다. 이것은 광학 분배 네트워크에 제어가능한 광학 스위치들을 장착시킴으로써 달성될 수 있다.The optical distribution network (104) can selectively couple any components of the tile (22) to any other components of the tile (22), as discussed in detail in the sections below. For example, the optical distribution network (104) can enable the passage of light between waveguides (111) and waveguides (112), and/or between waveguides (111) and waveguides (113), and/or between waveguides (113) and waveguides (114). This can be accomplished by equipping the optical distribution network with controllable optical switches.

타일(22)은 전기적 접속부들(117)을 더 포함할 수 있으며, 전기적 접속부들은 타일 상에 장착되는 전자 칩으로부터 타일에 대한 전기적 액세스를 제공하도록 배열될 수 있다. 예를 들어, 전기적 접속부들(117)은 본드들, 범프들, 비아들 또는 다른 유형의 수직 칩-칩 상호접속부들을 위한 랜딩 표면을 제공하는 접촉 패드들의 형태일 수 있다. 전기적 접속부들(117)은 광학 분배 네트워크의 전송기들, 수신기들, 및 스위치들에 결합될 수 있고, 이에 따라 이러한 포토닉 컴포넌트들에 대한 전자 칩의 전기적 액세스를 제공한다.The tile (22) may further include electrical connectors (117), which may be arranged to provide electrical access to the tile from an electronic chip mounted on the tile. For example, the electrical connectors (117) may be in the form of contact pads that provide landing surfaces for bonds, bumps, vias, or other types of vertical chip-to-chip interconnections. The electrical connectors (117) may be coupled to transmitters, receivers, and switches of the optical distribution network, thereby providing electrical access of the electronic chip to such photonic components.

포토닉 회로는 광학 네트워크를 집합적으로 형성하기 위해 함께 접속된 다수의 타일을 포함할 수 있다. 도 1cb는 6개의 타일들(22)을 포함하는 예시적인 2x3 포토닉 회로를 도시한다. 이 포토닉 회로는 웨이퍼(11)로부터 2x3 타일들의 그룹을 다이싱함으로써 획득된다(도 1be 참조). 타일들(22)은 광학 모듈의 도파관(111)이 그 광학 모듈의 좌측의 광학 모듈의 도파관(112)과 정렬되고, 광학 모듈의 도파관(112)이 그 광학 모듈의 우측의 광학 모듈의 도파관(111)과 정렬되고, 광학 모듈의 도파관(113)이 그 광학 모듈 위의 광학 모듈의 도파관(114)과 정렬되고, 광학 모듈의 도파관(114)이 그 광학 모듈 아래의 광학 모듈의 도파관(113)과 정렬되도록 배열된다. 그 결과, 광학 모듈들은 광학 네트워크를 형성한다. 광학 분배 네트워크들(104)은 네트워크 내부 또는 외부의 어디에서나 광학 신호들을 라우팅할 수 있다. 예를 들어, 프로세서가 포토닉 회로의 북서쪽 코너에 위치된 타일에 장착되고, 메모리가 포토닉 회로의 남동쪽 코너에 위치된 타일에 장착되는 것으로 가정한다. 판독 동작은 프로세서를 메모리와 광학 통신하도록 배치하기 위해 (예컨대, 그 광학 스위치들을 제어하는 것에 의해) 광학 분배 네트워크들을 재구성하는 것을 수반할 수 있다. 예를 들어, 1) 프로세서를 프로세서가 장착되는 타일의 면외 커플러에 결합시키고, 2) 그 타일의 면외 커플러를 동일한 타일의 도파관(112)에 결합시키고, 3) 그 타일의 도파관(112)을 인접 타일(중간 최상단 타일)의 도파관(111)에 결합시키고, 4) 중간 최상단 타일의 도파관(112)을 다음 인접 타일(포토닉 회로의 북동쪽 코너)의 도파관(111)에 결합시키고 5) 북동쪽 코너에 위치된 타일의 도파관(114)을 메모리가 장착되는 타일의 도파관(113)에 결합시키고, 6) 메모리가 장착되는 타일의 도파관(113)을 동일한 타일의 면외 커플러에 결합시키는 광학 통신 경로가 형성될 수 있다.A photonic circuit may include a number of tiles connected together to collectively form an optical network. FIG. 1cb illustrates an exemplary 2x3 photonic circuit including six tiles (22). The photonic circuit is obtained by dicing a group of 2x3 tiles from a wafer (11) (see FIG. 1be). The tiles (22) are arranged such that the waveguide (111) of an optical module is aligned with the waveguide (112) of an optical module to the left of the optical module, the waveguide (112) of the optical module is aligned with the waveguide (111) of an optical module to the right of the optical module, the waveguide (113) of the optical module is aligned with the waveguide (114) of an optical module above the optical module, and the waveguide (114) of the optical module is aligned with the waveguide (113) of an optical module below the optical module. As a result, the optical modules form an optical network. The optical distribution networks (104) can route optical signals anywhere within or outside the network. For example, assume that the processor is mounted in a tile located at the northwest corner of the photonic circuit, and the memory is mounted in a tile located at the southeast corner of the photonic circuit. A read operation may involve reconfiguring the optical distribution networks (e.g., by controlling its optical switches) to place the processor into optical communication with the memory. For example, an optical communication path can be formed by 1) coupling a processor to an out-of-plane coupler of a tile on which the processor is mounted, 2) coupling the out-of-plane coupler of that tile to a waveguide (112) of the same tile, 3) coupling the waveguide (112) of that tile to a waveguide (111) of an adjacent tile (a middle-top tile), 4) coupling the waveguide (112) of the middle-top tile to a waveguide (111) of the next adjacent tile (the northeast corner of the photonic circuit), 5) coupling the waveguide (114) of the tile located at the northeast corner to the waveguide (113) of a tile on which a memory is mounted, and 6) coupling the waveguide (113) of the tile on which the memory is mounted to the out-of-plane coupler of the same tile.

전술한 바와 같이, 인접 타일들의 도파관들은 서로 광학적으로 결합되며, 따라서 하나의 타일로부터 다음 타일로의 광의 통과를 허용한다. 일부 실시예들에서, 도파관들의 단부는 물리적으로 접속될 수 있다(그러나, 이하에서 더 상세히 논의되는 바와 같이, 모든 실시예들이 이러한 특정 배열로 제한되는 것은 아니다). 다른 실시예들에서, 도파관들 사이에 갭이 있을 수 있다. 이 예에서, 각각의 도파관은 경계로부터 거리를 두고 위치되는 단부를 갖는다. 따라서, 경계 영역에 갭이 형성된다. 갭에도 불구하고, 인접 타일의 도파관들은 여전히 광학적으로 서로 결합된다. 이 경우, 실제로, 도파관의 단부에서 방출된 광은 자유 공간 전파에 의해 다른 도파관의 단부에 도달한다.As described above, the waveguides of adjacent tiles are optically coupled to each other, thus allowing passage of light from one tile to the next. In some embodiments, the ends of the waveguides may be physically connected (although, as discussed in more detail below, not all embodiments are limited to this particular arrangement). In other embodiments, there may be a gap between the waveguides. In this example, each waveguide has an end positioned a distance from the boundary. Thus, a gap is formed in the boundary region. Despite the gap, the waveguides of adjacent tiles are still optically coupled to each other. In this case, light emitted from the end of one waveguide actually reaches the end of the other waveguide by free space propagation.

일부 실시예들에서, 타일들(22)은 공통 금속 트레이스 포토마스크에 따라 패터닝될 수 있다. 그 결과, 타일들은 금속 트레이스들의 동일한 패턴을 공유한다. 일부 실시예들에서, 타일들(22)은 다수의 공통 포토마스크들에 따라 패터닝된다. 그 결과, 다수의 레벨의 금속 트레이스들이 상이한 타일들에 걸쳐 동일한 패턴들을 공유한다. 금속 트레이스들 중의 일부는 포토닉 회로에 걸쳐 전력을 전달하는데 이용될 수 있다. 예를 들어, 금속 트레이스들 중의 일부는 아래에 더 상세히 논의되는 바와 같이 전력 그리드를 형성하도록 배열될 수 있다. 도 1da는 각각의 타일(22)이 금속 트레이스들의 동일한 패턴을 공유하는 2x3 포토닉 회로를 도시한다. 예시를 위해, 금속 트레이스들만이 이 도면에 도시되지만, 각각의 타일은 도파관들, 하나 이상의 면외 커플러 및 광학 분배 네트워크를 더 포함한다. 이 예에서, 금속 트레이스들의 2개의 레벨이 있다. 각각의 레벨의 금속 트레이스들은 상이한 타일들에 걸쳐 동일한 포토마스크를 이용하여 제조되었다. 금속 트레이스 레벨 1의 금속 트레이스들은 수평 방향으로 이어져서, 수평 방향으로 서로 인접한 타일들을 전기적으로 결합시킨다. 금속 트레이스 레벨 2의 금속 트레이스들은 수직 방향으로 이어져서, 수직 방향으로 서로 인접한 타일들을 전기적으로 결합시킨다. 물론, 다른 배열들도 가능하다. 예를 들어, 다른 실시예들에서, 동일한 레벨의 금속 트레이스들은 하나의 타일을 그것에 인접한 모든 타일들에 전기적으로 결합시킬 수 있다.In some embodiments, the tiles (22) may be patterned according to a common metal trace photomask. As a result, the tiles share the same pattern of metal traces. In some embodiments, the tiles (22) are patterned according to multiple common photomasks. As a result, multiple levels of metal traces share the same patterns across different tiles. Some of the metal traces may be used to conduct power across the photonic circuit. For example, some of the metal traces may be arranged to form a power grid, as discussed in more detail below. FIG. 1D illustrates a 2x3 photonic circuit where each tile (22) shares the same pattern of metal traces. For purposes of illustration, only the metal traces are depicted in this figure, but each tile further includes waveguides, one or more out-of-plane couplers, and an optical distribution network. In this example, there are two levels of metal traces. The metal traces of each level were fabricated using the same photomask across different tiles. The metal traces of metal trace level 1 run horizontally to electrically couple tiles that are horizontally adjacent to each other. The metal traces of metal trace level 2 run vertically to electrically couple tiles that are vertically adjacent to each other. Of course, other arrangements are possible. For example, in other embodiments, the metal traces of the same level may electrically couple a tile to all of its adjacent tiles.

금속 트레이스들은 타일들의 경계들에 걸쳐 전기(예를 들어, 신호들 및/또는 전력)를 운반하도록 배열된다. 이것은 금속 트레이스들을 타일들의 경계들에 걸쳐 연속하도록 패터닝함으로써 달성될 수 있다. 이 예에서, 레벨 1의 금속 트레이스들은 수직 경계들에 걸쳐 연속적이고, 레벨 2의 금속 트레이스들은 수평 경계들에 걸쳐 연속적이다. 상이한 레벨들의 금속 트레이스들은 비아들을 이용하여 서로 접속될 수 있다. 일부 실시예들에서, 타일들은 비아들의 동일한 패턴들을 공유할 수 있다. 즉, 동일한 비아 포토마스크가 각각의 타일에 대해 이용될 수 있다. 일부 실시예들에서, 타일들은 더 많은(수십 내지 수백 개의) 금속 트레이스들을 가질 수 있다. 이들 금속 트레이스들 중의 일부는 타일들에 걸쳐 연속적이도록 배열될 수 있지만, 일부 실시예들에서, 금속 트레이스들의 대부분은 모듈들에 걸쳐 연속적이도록 패터닝될 필요가 없다. 일 예에서, 도 1db에 도시된 바와 같이, 일부 금속 트레이스들은 타일들의 그룹의 단부들에 도달하지 않도록 패터닝될 수 있다. 이것은 다이싱 레인들과 금속들 사이에 수분 장벽을 생성한다. 또한, 신호들 및/또는 전력을 운반하기 위한 이러한 금속 트레이스들은 기판 및/또는 타일의 상단 상에 배치된 다른 칩들에 접속시키는 실리콘 관통 비아들(through-silicon vias)(TSV들)에 접속될 수 있다. 일부 실시예들에서, 금속 트레이스들은 또한 전자 스위치들, 증폭기들, 또는 TX/RX 컴포넌트들로서 작용할 수 있는 트랜지스터 요소들에 접속될 수 있다.The metal traces are arranged to carry electricity (e.g., signals and/or power) across the boundaries of the tiles. This can be accomplished by patterning the metal traces to be continuous across the boundaries of the tiles. In this example, the metal traces of level 1 are continuous across the vertical boundaries, and the metal traces of level 2 are continuous across the horizontal boundaries. The metal traces of different levels can be connected to each other using vias. In some embodiments, the tiles can share the same pattern of vias, i.e., the same via photomask can be used for each tile. In some embodiments, the tiles can have many more (tens to hundreds) of metal traces. Some of these metal traces can be arranged to be continuous across the tiles, but in some embodiments, most of the metal traces need not be patterned to be continuous across the modules. In one example, as illustrated in FIG. 1db , some of the metal traces can be patterned so as not to reach the ends of a group of tiles. This creates a moisture barrier between the dicing lanes and the metals. Additionally, these metal traces for carrying signals and/or power may be connected to through-silicon vias (TSVs) that connect to other chips positioned on top of the substrate and/or tile. In some embodiments, the metal traces may also be connected to transistor elements that may act as electronic switches, amplifiers, or TX/RX components.

III. III. 광학 분배 네트워크들Optical distribution networks

이 섹션은 고-대역폭, 저-레이턴시 및 높은 자원 활용을 가능하게 하는 방식으로 포토닉 인터포저의 타일들을 서로 상호접속시키기 위한 아키텍처들을 논의한다. 상호접속부들은 정적이거나 프로그래밍가능할 수 있다.This section discusses architectures for interconnecting tiles of a photonic interposer in a manner that enables high bandwidth, low latency, and high resource utilization. The interconnects can be static or programmable.

A. 정적 접속부들A. Static connections

도 2aa는 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 예를 도시한다. 이 예는 1차원으로 배열된 4개의 타일을 갖는 인터포저를 도시한다. 각각의 타일은 전송기(TX) 및 수신기(RX)를 갖는다. 전송기는 광원 및 광학 변조기를 포함할 수 있다(또는 그에 결합될 수 있다). 광학 변조기는 타일이 접속되는 전자 칩에 의해 제공되는 정보로 광을 인코딩하도록 구성될 수 있다. 각각의 수신기는 전송기에 의해 제공되는 신호를 전기로 변환하는 광검출기를 포함할 수 있다. 이러한 배열에서, 도파관은 타일의 TX를 이웃 타일의 RX에 결합시킨다. 이 배열은 각각의 도파관이 TX의 바로 우측의 RX에 도달한다는 점에서 "1 홉 우측"으로 표기된다.FIG. 2aa illustrates an example in which tiles of a photonic interposer are interconnected using static connectors. The example illustrates an interposer having four tiles arranged in one dimension. Each tile has a transmitter (TX) and a receiver (RX). The transmitter may include (or be coupled to) a light source and an optical modulator. The optical modulator may be configured to encode light with information provided by an electronic chip to which the tile is connected. Each receiver may include a photodetector that converts the signal provided by the transmitter into electricity. In this arrangement, waveguides couple the TX of a tile to the RX of a neighboring tile. This arrangement is denoted "one hop right" in that each waveguide reaches the RX immediately to the right of the TX.

도 2ab는 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 다른 예를 도시한다. 이 예에서, 도파관은 타일의 TX를 제2 이웃 타일의 RX에 결합시킨다. 이 배열은 각각의 도파관이 TX의 우측으로 2 스텝인 RX에 도달한다는 점에서 "2 홉 우측"으로 표기된다.Figure 2ab illustrates another example where the tiles of the photonic interposer are interconnected using static connectors. In this example, a waveguide couples the TX of a tile to the RX of a second neighboring tile. This arrangement is denoted "2 hop right" because each waveguide reaches the RX two steps to the right of the TX.

도 2ac는 포토닉 인터포저의 타일들이 정적 접속부들을 이용하여 상호접속되는 또 다른 예를 도시한다. 이 예에서, 도파관은 타일의 TX를 제3 이웃 타일의 RX에 결합시킨다. 이 배열은 각각의 도파관이 TX의 우측으로 3 스텝인 RX에 도달한다는 점에서 "3 홉 우측"으로 표기된다.Figure 2ac illustrates another example where the tiles of a photonic interposer are interconnected using static connectors. In this example, a waveguide couples the TX of a tile to the RX of a third neighboring tile. This arrangement is denoted "3 hop right" because each waveguide reaches the RX three steps to the right of the TX.

도 2ad, 도 2ae 및 도 2af의 배열들은 각각 도 2aa, 도 2ab 및 도 2ac의 배열들과 유사하며, 루프를 폐쇄하기 위해 광학 파이버들을 추가한다. 파이버들의 이용은 정보가 폐루프 방식으로 흐를 수 있다는 점에서 유연성을 증가시킨다. 도 2ad에서, 타일 4의 TX를 타일 1의 RX와 결합시킴으로써 파이버가 포토닉 인터포저 주위를 루핑한다. 도 2ae에서, 제1 파이버는 타일 4의 TX를 타일 2의 RX와 결합시키고, 제2 파이버는 타일 3의 TX를 타일 1의 RX와 결합시킨다. 도 2af에서, 제1 파이버는 타일 4의 TX를 타일 3의 RX와 결합시키고, 제2 파이버는 타일 3의 TX를 타일 2의 RX와 결합시키고, 제3 파이버는 타일 2의 TX를 타일 1의 RX와 결합시킨다.The arrangements of FIGS. 2ad, 2ae, and 2af are similar to the arrangements of FIGS. 2aa, 2ab, and 2ac, respectively, with the addition of optical fibers to close the loop. The use of fibers increases flexibility in that information can flow in a closed loop manner. In FIG. 2ad, a fiber loops around the photonic interposer by coupling the TX of tile 4 with the RX of tile 1. In FIG. 2ae, a first fiber couples the TX of tile 4 with the RX of tile 2, and a second fiber couples the TX of tile 3 with the RX of tile 1. In FIG. 2af, a first fiber couples the TX of tile 4 with the RX of tile 3, a second fiber couples the TX of tile 3 with the RX of tile 2, and a third fiber couples the TX of tile 2 with the RX of tile 1.

도 2ad, 도 2ae 및 도 2af의 배열들은 하나의 단점을 제시하며, 즉 TX들은 한 방향으로만(이들 예들에서는 우측으로) 데이터를 전송할 수 있는 반면, RX들은 반대 방향으로부터만(이들 예들에서는 좌측으로부터) 수신할 수 있다. 따라서, 이러한 네트워크는 2개의 쌍의 TX 및 RX 모듈들 사이에서 양방향 링크를 유지할 수 없다. 양방향 링크를 구현하기 위해, 도파관들이 반대 방향으로 캐스케이딩된 상보형 네트워크가 포함될 수 있다. 예들은 도 2ag 및 도 2ah(각각 1 홉 및 2 홉 스킴을 구현함)에 도시된다. 각각의 배열에서, 링크들은 양 방향으로 제공된다. TX1, TX2, TX3 및 TX4는 한 방향으로 데이터를 전송하고, TX5, TX6, TX7 및 TX8은 반대 방향으로 데이터를 전송한다. 유사하게, RX1, RX2, RX3 및 RX4는 한 방향으로부터 데이터를 수신하고, RX5, RX6, RX7 및 RX8은 반대 방향으로부터 데이터를 수신한다. 타일 1은 TX1, RX1, TX5 및 RX5를 포함한다. 타일 2는 TX2, RX2, TX6 및 RX6을 포함한다. 타일 3은 TX3, RX3, TX7 및 RX7을 포함한다. 타일 4는 TX4, RX4, TX8 및 RX8을 포함한다.The arrangements of FIGS. 2ad, 2ae and 2af present one drawback, namely that the TXs can transmit data only in one direction (in these examples to the right), while the RXs can receive only from the opposite direction (in these examples to the left). Therefore, such a network cannot maintain a bidirectional link between the two pairs of TX and RX modules. To implement a bidirectional link, a complementary network in which the waveguides are cascaded in opposite directions may be included. Examples are shown in FIGS. 2ag and 2ah (implementing a 1-hop and 2-hop scheme, respectively). In each arrangement, links are provided in both directions. TX1, TX2, TX3 and TX4 transmit data in one direction, while TX5, TX6, TX7 and TX8 transmit data in the opposite direction. Similarly, RX1, RX2, RX3 and RX4 receive data from one direction, and RX5, RX6, RX7 and RX8 receive data from the opposite direction. Tile 1 includes TX1, RX1, TX5 and RX5. Tile 2 includes TX2, RX2, TX6 and RX6. Tile 3 includes TX3, RX3, TX7 and RX7. Tile 4 includes TX4, RX4, TX8 and RX8.

그러나, 이러한 배열들은 인접한 TX들 및 RX들의 2개의 쌍들 사이에 양방향 링크를 제공하지 않는다. 실제로, 제1 타일이 제2 타일에 전송하고, 제2 타일이 제1 타일에 다시 전송하는 것이 바람직할 것이다. 2개의 쌍의 TX 및 RX 모듈들 사이의 양방향 링크를 구현하기 위해, TX 모듈들 사이의 "스왑"을 구현하는 것이 제안된다. 이것이 도 2ai에 도시되어 있다. 스왑은 TX 모듈들이 상보형 루프에 접속된 RX에 전송할 수 있게 한다. 이 예는 스왑된 TX 모듈들을 갖는 2-홉 이중 루프 아키텍처를 도시한다. 그 결과, TX1은 RX7에 전송할 수 있고, TX7은 RX1에 다시 전송할 수 있어서, 양방향 링크를 폐쇄한다.However, these arrangements do not provide a bidirectional link between two pairs of adjacent TXs and RXs. In fact, it would be desirable for a first tile to transmit to a second tile, and for the second tile to transmit back to the first tile. To implement a bidirectional link between two pairs of TX and RX modules, it is proposed to implement a "swap" between the TX modules. This is illustrated in Fig. 2ai. The swap allows the TX modules to transmit to the RXs connected in the complementary loop. This example illustrates a two-hop dual-loop architecture with swapped TX modules. As a result, TX1 can transmit to RX7, and TX7 can transmit back to RX1, thus closing the bidirectional link.

B. 프로그래밍가능한 접속부들B. Programmable Interfaces

전술한 정적 접속부들은 네트워크의 필요에 기반하여 재구성을 허용하지 않으며, 네트워크 토폴로지는 고정된다. 그러나, 네트워크가 사용자의 필요에 따라 그 자신을 동적으로 재구성할 수 있게 하는 것은 특정 애플리케이션들에서 유용할 수 있다. 그러므로, 일부 실시예들은 포토닉 인터포저의 타일들 사이의 프로그래밍가능한 접속들에 관한 것이다. 도 2ba의 배열은 양 배열이 3-홉 아키텍처를 구현한다는 점에서 도 2af의 배열과 유사하다. 그러나, 정적 접속부를 갖는 대신에, 도 2ba의 배열은 도파관 버스들(이 예에서는 4개, 타일들의 수와 동일함)을 포함한다. 각각의 버스는 임의의 TX를 임의의 RX에 결합시킬 수 있다. 각각의 전송기 및 각각의 수신기는 스위치들을 통해 버스들에 선택적으로 접속할 수 있다. 접속부 포인트들은 도 2ba에서 "노드들"로서 식별된다. 전송기가 스위치를 활성화할 때, 전송기는 버스 도파관을 이용하여 데이터를 전송할 수 있다. 유사하게, 수신기가 스위치를 활성화할 때, 수신기는 버스 도파관으로부터 데이터를 청취할 수 있다. 루프를 폐쇄하기 위해 3개의 파이버가 이용된다. 이 예에서, 제1 버스는 TX1을 RX4에 접속시키고, (제1 파이버와 함께) 제2 버스는 TX2를 RX1에 접속시키고, (제2 파이버와 함께) 제3 버스는 TX3을 RX2에 접속시키고, (제3 파이버와 함께) 제4 버스는 TX4를 RX3에 접속시킨다. 네트워크는 홉들의 수를 3에서 1 또는 2로 변경하기 위해 스위치들을 이용하여 재구성될 수 있다.The static connections described above do not allow for reconfiguration based on the needs of the network, and the network topology is fixed. However, allowing the network to dynamically reconfigure itself according to the needs of the user may be useful in certain applications. Therefore, some embodiments relate to programmable connections between tiles of a photonic interposer. The arrangement of FIG. 2BA is similar to the arrangement of FIG. 2AF in that both arrangements implement a 3-hop architecture. However, instead of having static connections, the arrangement of FIG. 2BA includes waveguide buses (four in this example, equal to the number of tiles). Each bus can couple any TX to any RX. Each transmitter and each receiver can selectively connect to the buses via switches. The connection points are identified as "nodes" in FIG. 2BA. When a transmitter activates a switch, the transmitter can transmit data using the bus waveguide. Similarly, when a receiver activates a switch, the receiver can listen for data from the bus waveguide. Three fibers are used to close the loop. In this example, the first bus connects TX1 to RX4, the second bus (with the first fiber) connects TX2 to RX1, the third bus (with the second fiber) connects TX3 to RX2, and the fourth bus (with the third fiber) connects TX4 to RX3. The network can be reconfigured using switches to change the number of hops from 3 to 1 or 2.

양방향 링크를 구현하기 위해, 스왑된 TX들을 갖는 이중 루프 아키텍처(도 2ai의 아키텍처와 유사함)가 이용될 수 있다. 이것은 도 2bb에 도시된다. 그러나, 도 2ai의 아키텍처와 달리, 이 아키텍처는 버스들 및 노드들을 포함하여, 네트워크의 동적 재구성을 허용한다.To implement a bidirectional link, a dual-loop architecture with swapped TXs (similar to the architecture of Fig. 2ai) can be utilized. This is illustrated in Fig. 2bb. However, unlike the architecture of Fig. 2ai, this architecture allows for dynamic reconfiguration of the network, including buses and nodes.

도 2bc는 일부 실시예들에 따른, 프로그래밍가능한 접속부들을 갖는 다른 포토닉 인터포저를 도시한다. 이 예는 4개의 타일들을 포함하지만, 1D로 배열되든 2D로 배열되든, 임의의 수의 타일들이 가능하다. 각각의 타일은 트랜시버(100) 및 프로그래밍가능한 포토닉 상호접속부들(120)을 포함한다. 각각의 트랜시버는 트랜시버 셀(110)의 하나 이상의 인스턴스를 포함한다. 도 2bc에 도시된 트랜시버 셀은 포토닉 인터포저와 동일한 패키지 상에 장착될 수 있거나 패키지 외부에 있을 수 있는 레이저(101)를 포함한다. 레이저(101)는 이 예에서 8개의 별개의 파장들에서 광을 방출하지만, 상이한 수의 파장들이 가능하다. 따라서, 도 2bc의 아키텍처는 파장 분할 멀티플렉싱(WDM)을 이용하여 데이터 처리량을 증가시킬 수 있다. TX 버스(102)는 레이저로부터 광을 수신하고 다수의 변조기(104)에 광학적으로 결합된다. 각각의 변조기는 디지털-아날로그 변환기 및 변조기 구동기를 포함할 수 있는 각각의 TX 모듈에 결합된다. PLL은 TX 모듈의 동작을 타이밍한다. TX 모듈은, 차례로, 타일에 대응하여 포토닉 인터포저 상에 장착된 전자 칩에 결합될 수 있다. 이 예에서 링(또는 디스크) 공진기로서 구현되는 각각의 변조기(104)는 레이저(101)의 방출의 상이한 파장(λ0...λ7)에서 튜닝된다. 따라서, 각각의 변조기는 데이터를 상이한 WDM 채널에 부여하도록 구성된다. 수신기 측에서, RX 모듈은 이 예에서 링(또는 디스크) 공진기로서 구현되는 드롭 필터(108)에 결합된다. 각각의 드롭 필터는 레이저(101)의 방출의 상이한 파장(λ0...λ7)에서 튜닝된다. 그 결과, 각각의 드롭 필터는 특정 WDM 채널에서 RX 버스(106)로부터 데이터를 캡처한다. RX 모듈은 또한 전자 칩에 결합될 수 있고, 광검출기, 트랜스-임피던스 증폭기 및 아날로그-디지털 변환기를 포함할 수 있다.FIG. 2bc illustrates another photonic interposer having programmable interconnects, according to some embodiments. This example includes four tiles, but any number of tiles, whether arranged 1D or 2D, are possible. Each tile includes a transceiver (100) and programmable photonic interconnects (120). Each transceiver includes one or more instances of a transceiver cell (110). The transceiver cell illustrated in FIG. 2bc includes a laser (101), which may be mounted on the same package as the photonic interposer or may be external to the package. The laser (101) emits light at eight distinct wavelengths in this example, although a different number of wavelengths are possible. Thus, the architecture of FIG. 2bc can utilize wavelength division multiplexing (WDM) to increase data throughput. A TX bus (102) receives light from the laser and optically couples it to a number of modulators (104). Each modulator is coupled to a respective TX module, which may include a digital-to-analog converter and a modulator driver. A PLL times the operation of the TX modules. The TX modules, in turn, may be coupled to an electronic chip mounted on the photonic interposer corresponding to the tile. Each modulator (104), which is implemented as a ring (or disk) resonator in this example, is tuned to a different wavelength (λ0...λ7) of the emission of the laser (101). Thus, each modulator is configured to feed data to a different WDM channel. On the receiver side, the RX module is coupled to a drop filter (108), which is implemented as a ring (or disk) resonator in this example. Each drop filter is tuned to a different wavelength (λ0...λ7) of the emission of the laser (101). As a result, each drop filter captures data from the RX bus (106) on a particular WDM channel. The RX module may also be coupled to an electronic chip, which may include a photodetector, a trans-impedance amplifier, and an analog-to-digital converter.

프로그래밍가능한 포토닉 상호접속부들은 프로그래밍가능한 방식으로 타일들 사이의(및 그 결과, 포토닉 인터포저 상에 장착된 전자 칩들 사이의) 통신을 허용한다. 프로그래밍가능한 상호접속부들은 도 2bd에 도시된 바와 같이 도파관들에 의해 서로 접속되는 스위칭가능한 교차점들의 그리드를 형성하며, 도파관들은 행 버스들 및 열 버스들을 형성하도록 배열된다. 타일은 타일 상에 장착된 전자 칩으로부터 타일로의 전기적 액세스를 제공하도록 배열될 수 있는 전기적 접속부들(117)을 더 포함할 수 있다. 예를 들어, 전기적 접속부들(117)은 본드들, 범프들, 비아들 또는 다른 유형의 수직 칩-칩 상호접속부들을 위한 랜딩 표면을 제공하는 접촉 패드들의 형태일 수 있다. 전기적 접속부(117)는 트랜시버(100)에 결합될 수 있다.The programmable photonic interconnects allow communication between the tiles (and consequently between the electronic chips mounted on the photonic interposer) in a programmable manner. The programmable interconnects form a grid of switchable intersections interconnected by waveguides, as illustrated in FIG. 2bd , the waveguides being arranged to form row buses and column buses. A tile may further include electrical connectors (117) that may be arranged to provide electrical access from an electronic chip mounted on the tile to the tile. For example, the electrical connectors (117) may be in the form of contact pads that provide landing surfaces for bonds, bumps, vias, or other types of vertical chip-to-chip interconnects. The electrical connectors (117) may be coupled to a transceiver (100).

도 2bc의 예에서, 프로그래밍가능한 상호접속부들은 각각의 타일과 모든 다른 타일 사이의 통신을 허용하도록 프로그래밍되었다. 이 도면에서, 제1 광학 경로는 타일 1과 타일 4 사이에 형성되고, 제2 광학 경로는 타일 1과 타일 3 사이에 형성되고, 제3 광학 경로는 타일 1과 타일 2 사이에 형성된다. 각각의 광학 경로는 다수의 파장을 지원할 수 있다.In the example of FIG. 2bc, the programmable interconnects are programmed to allow communication between each tile and every other tile. In this drawing, a first optical path is formed between tile 1 and tile 4, a second optical path is formed between tile 1 and tile 3, and a third optical path is formed between tile 1 and tile 2. Each optical path can support multiple wavelengths.

도 2be는 일부 실시예들에 따른, 프로그래밍가능한 포토닉 상호접속부(120)의 예시적인 구현을 도시한다. 이러한 프로그래밍가능한 포토닉 상호접속부는 도파관들을 통해 서로 결합된 능동 커플러들(126)을 포함한다. (능동 커플러의 예를 예시하는) 도 2bf에 추가로 예시된 바와 같이, 각각의 능동 커플러는 1-대-다 도파관 결합 구성을 제공할 수 있다. 능동 커플러는 양 방향으로 동작할 수 있다. 광이 단일 도파관으로부터 전파될 때, 능동 커플러는 광의 전파를 위해 다수의 도파관 중의 하나를 선택할 수 있고, 이에 의해 스위칭 동작을 수행한다. 능동 커플러(126)의 가능한 구현은, 도 2bf(MZI들(127 및 128) 참조)에 추가로 도시된 바와 같이, 캐스케이드형 마하 젠더 간섭계(MZI)를 수반한다.FIG. 2be illustrates an exemplary implementation of a programmable photonic interconnect (120), according to some embodiments. The programmable photonic interconnect includes active couplers (126) coupled to each other via waveguides. As further illustrated in FIG. 2bf (which illustrates an example of an active coupler), each active coupler can provide a one-to-many waveguide coupling configuration. The active coupler can operate in both directions. When light is propagated from a single waveguide, the active coupler can select one of the multiple waveguides for propagation of the light, thereby performing a switching operation. A possible implementation of the active coupler (126) involves a cascaded Mach-Zehnder interferometer (MZI), as further illustrated in FIG. 2bf (see also MZIs 127 and 128).

도 2be를 다시 참조하면, 능동 커플러들의 중앙 도파관들은 도파관 교차부(127)를 형성하는 방식으로 각각에 결합된다. 도파관 교차부들(127)은 이들이 삽입 손실 및 누화를 도입한다는 점에서 시스템 관점에서 과제를 제시한다. 이하는 낮은 삽입 손실 및 낮은 누화를 생성하는 본 발명자들에 의해 개발된 도파관 교차부의 예이다.Referring again to FIG. 2be, the central waveguides of the active couplers are coupled to each other in such a way as to form a waveguide crossover (127). The waveguide crossovers (127) present challenges from a system perspective in that they introduce insertion loss and crosstalk. Below is an example of a waveguide crossover developed by the inventors that produces low insertion loss and low crosstalk.

도 2bd에 도시된 도파관 버스들은 일부 실시예들에서 양방향일 수 있다. 일부 이러한 실시예들에서, 전송에 전용인 버스 및 수신에 전용인 버스를 갖는 대신에, 타일은 동일한 버스 도파관을 이용하여 전송 및 수신할 수 있다. 추가적으로, 또는 대안적으로, 전송기는 데이터를 전송할 버스의 방향을 결정할 수 있고, 수신기는 데이터를 수신할 버스의 방향을 결정할 수 있다. 이러한 구현의 예가 일부 실시예들에 따라 도 2ca에 도시된다. TX 측에서, 타일은 광학 트리를 형성하도록 배열된 커플러들(131)을 포함한다. 커플러들은 (도 2bf의 능동 커플러들과 유사하게) 제어가능할 수 있다. 트리의 각각의 출력 브랜치는 커플러들(133, 134 및 135)을 통해 버스에 결합된다. 커플러(133)는 하나의 입력부 및 하나의 출력부를 선택한다. TX에 의해 제공되는 도파관을 입력부로서 선택하는 것은 커플러(133)가 타일을 전송 모드에 배치하는 것을 허용한다. 출력부들 중의 하나를 선택하는 것은 TX가 버스를 따라 우측에서 좌측으로 또는 좌측에서 우측으로 통신할 수 있게 하고, 따라서 2개의 방향에서의 통신을 가능하게 한다. 커플러들(134 및 135)은 버스가 가산/드롭 모드(전송의 경우에는 가산 또는 수신의 경우에는 드롭) 또는 쓰루 모드(thru mode)(타일 1을 우회함)인지를 결정한다.The waveguide buses illustrated in FIG. 2bd may be bidirectional in some embodiments. In some such embodiments, instead of having a dedicated bus for transmitting and a dedicated bus for receiving, the tiles may transmit and receive using the same bus waveguide. Additionally, or alternatively, the transmitter may determine the direction of the bus in which to transmit data, and the receiver may determine the direction of the bus in which to receive data. An example of such an implementation is illustrated in FIG. 2ca according to some embodiments. On the TX side, the tile includes couplers (131) arranged to form an optical tree. The couplers may be controllable (similar to the active couplers of FIG. 2bf). Each output branch of the tree is coupled to the bus via couplers (133, 134, and 135). Coupler (133) selects one input and one output. Selecting the waveguide provided by the TX as the input allows the coupler (133) to place the tile in a transmit mode. Selecting one of the outputs allows the TX to communicate from right to left or left to right along the bus, thus allowing communication in two directions. The couplers (134 and 135) determine whether the bus is in add/drop mode (add for transmit or drop for receive) or thru mode (bypassing tile 1).

RX 측에서, 타일은 광학 트리를 형성하도록 또한 배열된 커플러들(132)을 포함한다. 트리의 각각의 출력 브랜치는 커플러들(133, 134 및 135)을 통해 버스에 결합되며, 이는 전송 동안 우측-좌측 방향 또는 좌측-우측 방향의 선택을 허용한다. 이 예에서, 커플러들(134 및 135)은 1x2 커플러들로서 구현되고, 커플러(133)는 2x2 커플러로서 구현되지만, 다른 구성들이 또한 가능하다. 도 2cb의 아키텍처는 양 아키텍처가 커플러(133)를 이용한다는 점에서 도 2ca의 아키텍처와 유사하다. 그러나, 도 2cb의 아키텍처는 커플러들(133 및 135)을 2x2 커플러(137)로 대체한다.On the RX side, the tile also includes couplers (132) arranged to form an optical tree. Each output branch of the tree is coupled to the bus via couplers (133, 134 and 135), which allow selection of right-to-left or left-to-right direction during transmission. In this example, couplers (134 and 135) are implemented as 1x2 couplers and coupler (133) is implemented as a 2x2 coupler, although other configurations are also possible. The architecture of Fig. 2cb is similar to that of Fig. 2ca in that both architectures utilize coupler (133). However, the architecture of Fig. 2cb replaces couplers (133 and 135) with 2x2 couplers (137).

도 2da 및 도 2db는 버스를 따라 양방향 전파를 허용하는 추가적인 스킴들을 도시한다. TX 측(도 2da)에서, TX는 MZI에 결합되고, MZI는 차례로 공진 가산 필터에 결합된다. MZI의 어느 출력부가 선택되는지에 따라, 공진 필터의 시계 방향 모드 또는 반시계 방향 모드가 여기된다. 그 결과, 버스를 통한 전송이 좌측에서 우측으로 또는 우측에서 좌측으로 일어난다. RX 측(도 2db)에서, RX는 MZI에 결합되고, MZI는 차례로 공진 드롭 필터에 결합된다. MZI의 어느 입력부가 선택되는지에 따라, 공진 필터의 시계 방향 모드 또는 반시계 방향 모드가 선택된다. 그 결과, RX는 좌측-우측 또는 우측-좌측 버스 모드를 선택한다.Figures 2da and 2db illustrate additional schemes allowing bidirectional propagation along the bus. On the TX side (Figure 2da), the TX is coupled to the MZI, which in turn is coupled to a resonant summing filter. Depending on which output of the MZI is selected, either the clockwise mode or the counterclockwise mode of the resonant filter is excited. As a result, transmission over the bus occurs from left to right or from right to left. On the RX side (Figure 2db), the RX is coupled to the MZI, which in turn is coupled to a resonant dropping filter. Depending on which input of the MZI is selected, either the clockwise mode or the counterclockwise mode of the resonant filter is selected. As a result, the RX selects a left-right or a right-left bus mode.

도 2e는 일부 실시예들에서(예를 들어, 도 2be에서) 이용될 수 있는 도파관 교차부의 예를 도시한다. 이 구현은 3개의 도파관 층들(140, 141 및 142)을 수반한다. 도파관 층들은, 예를 들어, 실리콘 또는 실리콘 질화물로 만들어질 수 있다. 일 예에서, 도파관 층(140)은 실리콘으로 만들어지고, 도파관 층들(141 및 142)은 실리콘 질화물로 만들어진다. 도파관 교차부는 모드 A를 층(140)으로부터 층(141)으로, 그 다음 층(142)으로, 그 다음 층(142)으로부터 다시 층(141)으로, 그리고 다시 돌아가서 층(140)으로 결합시키도록 설계된다. 테이퍼들은 수직 방향으로 모드 A를 확장 및 수축하는데 이용될 수 있다. 모드 A를 층(140)의 평면 밖으로 밀어냄으로써, 모드 A와 모드 B 사이의 중첩이 제한되고, 따라서 누화를 감소시킨다. 본 발명자들은 본 명세서에 도시된 바와 같은 3-층 스킴을 갖는 것이 2-층 스킴들에 비해 동일한 낮은 삽입 손실 성능을 제공할 수 있지만 층들(140 및 142) 사이의 무시할만한 누화를 가능하게 할 수 있다는 점에서 유리하다는 것을 알았다.FIG. 2e illustrates an example of a waveguide crossover that may be utilized in some embodiments (e.g., in FIG. 2be). This implementation involves three waveguide layers (140, 141, and 142). The waveguide layers may be made of, for example, silicon or silicon nitride. In one example, the waveguide layer (140) is made of silicon and the waveguide layers (141 and 142) are made of silicon nitride. The waveguide crossover is designed to couple mode A from layer (140) to layer (141), then to layer (142), then from layer (142) back to layer (141), and back to layer (140). The tapers may be utilized to expand and contract mode A in the vertical direction. By pushing mode A out of the plane of layer (140), the overlap between mode A and mode B is limited, thus reducing crosstalk. The inventors have found that having a 3-layer scheme as illustrated herein is advantageous in that it can provide the same low insertion loss performance as compared to 2-layer schemes, but allows for negligible crosstalk between the layers (140 and 142).

따라서, 일부 실시예들은 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일(예를 들어, 도 2bc의 타일들 1-4)을 포함하는 포토닉 인터포저에 관한 것이다. 복수의 포토닉 타일 각각은 전송기 및 수신기를 포함하는 트랜시버(100)를 포함한다. 트랜시버에 결합된 전기적 접속부들(117)은 (예를 들어, 도 1a에 도시된 바와 같이) 전자 칩이 포토닉 타일에 대응하여 포토닉 인터포저에 부착될 때 트랜시버와 전자 칩 사이의 전기적 통신을 허용하도록 구성된다. 광학 분배 네트워크는 트랜시버에 광학적으로 결합된 버스 도파관들의 제1 세트(예를 들어, 도 2bd의 행 버스들), 버스 도파관들의 제2 세트(예를 들어, 도 2bd의 열 버스들), 및 복수의 프로그래밍가능한 상호접속부들(120)을 포함한다. 각각의 프로그래밍가능한 상호접속부는 버스 도파관들의 제1 세트 중의 버스 도파관을 버스 도파관들의 제2 세트 중의 버스 도파관과 광학 통신하게 선택적으로 배치하도록 구성된다. 각각의 프로그래밍가능한 상호접속부는 도파관 교차부(127) 및 능동 커플러(126)를 포함한다.Accordingly, some embodiments are directed to a photonic interposer including a plurality of photonic tiles (e.g., tiles 1-4 of FIG. 2bc) that are instantiations of a template photonic tile. Each of the plurality of photonic tiles includes a transceiver (100) including a transmitter and a receiver. Electrical connections (117) coupled to the transceiver are configured to allow electrical communication between the transceiver and the electronic chip when the electronic chip is attached to the photonic interposer in correspondence with the photonic tile (e.g., as illustrated in FIG. 1a). An optical distribution network includes a first set of bus waveguides (e.g., row busses of FIG. 2bd ), a second set of bus waveguides (e.g., column busses of FIG. 2bd ) optically coupled to the transceiver, and a plurality of programmable interconnects (120). Each programmable interconnect is configured to selectively place a bus waveguide of the first set of bus waveguides into optical communication with a bus waveguide of the second set of bus waveguides. Each programmable interconnect includes a waveguide crossover (127) and an active coupler (126).

일부 실시예들에서, 트랜시버는, 예를 들어, 도 2bc에 도시된 바와 같이, 서로에 대해 상이한 파장들에서 튜닝되는, 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된 복수의 변조기(104)를 포함한다. 추가적으로, 버스 도파관들의 제1 세트 중의 제2 버스 도파관에 결합된 복수의 드롭 필터(108)는 서로에 대해 상이한 파장들에서 튜닝된다. 일부 실시예들에서, 복수의 변조기는 공진 변조기들이고, 복수의 드롭 필터는 공진 드롭 필터들이다.In some embodiments, the transceiver includes a plurality of modulators (104) coupled to a first bus waveguide of the first set of bus waveguides, each of which is tuned at different wavelengths relative to the other, as illustrated in FIG. 2bc, for example. Additionally, a plurality of drop filters (108) coupled to a second bus waveguide of the first set of bus waveguides are tuned at different wavelengths relative to the other. In some embodiments, the plurality of modulators are resonant modulators and the plurality of drop filters are resonant drop filters.

일부 실시예들에서, 전송기는 예를 들어 도 2ca, 도 2cb 및 도 2da에 도시된 바와 같이, 제1 방향 또는 제2 방향으로 버스 도파관들의 제1 세트 중의 제1 버스 도파관을 따라 데이터를 전송하도록 구성된다.In some embodiments, the transmitter is configured to transmit data along a first bus waveguide of the first set of bus waveguides in the first direction or the second direction, as illustrated in FIGS. 2ca, 2cb, and 2dA, for example.

일부 실시예들에서, 복수의 포토닉 타일 각각은 트랜시버를 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합시키는 2x2 커플러(133)를 더 포함한다. 2x2 커플러는 제1, 제2, 제3 및 제4 단자들을 포함할 수 있다. 제1 단자는 전송기의 출력부에 결합된다. 제2 단자는 수신기의 입력부에 결합된다. 제3 및 제4 단자들은 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된다.In some embodiments, each of the plurality of photonic tiles further includes a 2x2 coupler (133) that couples the transceiver to a first bus waveguide of the first set of bus waveguides. The 2x2 coupler can include first, second, third, and fourth terminals. The first terminal is coupled to an output of the transmitter. The second terminal is coupled to an input of the receiver. The third and fourth terminals are coupled to the first bus waveguide of the first set of bus waveguides.

일부 실시예들에서, 복수의 포토닉 타일 각각은 입력부 및 제1 및 제2 출력부들을 갖는 간섭계(예를 들어, 도 2da의 MZI), 및 공진 필터를 더 포함한다. 전송기는 간섭계의 입력부에 결합되고, 간섭계의 제1 및 제2 출력부들은 공진 필터에 결합된다. 공진 필터는 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된다. 추가적으로, 일부 실시예들에서, 복수의 포토닉 타일 각각은 출력부 및 제1 및 제2 입력부들을 갖는 간섭계(예를 들어, 도 2db의 MZI), 및 공진 필터를 더 포함한다. 공진 필터는 버스 도파관들의 제1 세트 중의 제1 버스 도파관에 결합된다. 간섭계의 제1 및 제2 입력부들은 공진 필터에 결합된다. 수신기는 간섭계의 출력부에 결합된다.In some embodiments, each of the plurality of photonic tiles further comprises an interferometer (e.g., an MZI of FIG. 2dA) having an input and first and second outputs, and a resonant filter. A transmitter is coupled to an input of the interferometer, and the first and second outputs of the interferometer are coupled to the resonant filter. The resonant filter is coupled to a first bus waveguide of the first set of bus waveguides. Additionally, in some embodiments, each of the plurality of photonic tiles further comprises an interferometer (e.g., an MZI of FIG. 2dB) having an output and first and second inputs, and a resonant filter. The resonant filter is coupled to a first bus waveguide of the first set of bus waveguides. The first and second inputs of the interferometer are coupled to the resonant filter. A receiver is coupled to an output of the interferometer.

일부 실시예들에서, 도파관 교차부는 제1 도파관 층(140)에 패터닝된 제1 도파관, 제2 도파관 층(141)에 패터닝된 제2 도파관, 및 제3 도파관 층(142)에 패터닝된 제3 도파관 층을 포함한다. 제2 도파관 층은 제1 및 제3 도파관 층들 사이에 있고, 제1 도파관은 제2 도파관과 소실 결합되고, 제2 도파관은 제3 도파관과 소실 결합된다. 일부 실시예들에서, 제1 도파관 층은 실리콘으로 만들어지고, 제2 및 제3 도파관 층들 둘 다는 실리콘 질화물로 만들어진다.In some embodiments, the waveguide intersection includes a first waveguide patterned in a first waveguide layer (140), a second waveguide patterned in a second waveguide layer (141), and a third waveguide layer patterned in a third waveguide layer (142). The second waveguide layer is between the first and third waveguide layers, and the first waveguide is dissipatively coupled to the second waveguide and the second waveguide is dissipatively coupled to the third waveguide. In some embodiments, the first waveguide layer is made of silicon and both the second and third waveguide layers are made of silicon nitride.

일부 실시예들에서, 능동 커플러는, 예를 들어 도 2be에 도시된 바와 같이, 제1 추가적인 능동 커플러에 결합된 제1 단자, 제1 추가적인 능동 커플러에 결합된 제2 단자, 및 도파관 교차부에 결합된 제3 단자를 포함한다.In some embodiments, the active coupler includes a first terminal coupled to the first additional active coupler, a second terminal coupled to the first additional active coupler, and a third terminal coupled to the waveguide crossover, as illustrated in FIG. 2be, for example.

일부 실시예들에서, 능동 커플러는 예를 들어 도 2bf에 도시된 바와 같이, 제1 및 제2 마하 젠더 간섭계(MZI)를 포함한다. 제1 단자는 제1 MZI(128)의 제1 출력부에 대응하고, 제2 단자는 제1 MZI의 제2 출력부에 대응하며, 제3 단자는 제2 MZI(127)의 출력부에 대응한다.In some embodiments, the active coupler includes first and second Mach-Zehnder interferometers (MZIs), as illustrated in FIG. 2bf, for example. A first terminal corresponds to a first output of the first MZI (128), a second terminal corresponds to a second output of the first MZI, and a third terminal corresponds to an output of the second MZI (127).

일부 실시예들에서, 버스 도파관들의 제2 세트 중의 버스 도파관들은 다수의 포토닉 타일(예를 들어, 도 2bc의 타일들 1-4)을 가로지른다.In some embodiments, the bus waveguides of the second set of bus waveguides traverse multiple photonic tiles (e.g., tiles 1-4 of FIG. 2bc).

도 2fa는 다른 상호접속부 아키텍처를 도시한다. 도 2bc의 아키텍처에 대한 이 아키텍처의 이점은 도파관 교차부들이 생략된다는 것이다. 단점은 트랜시버들의 불충분한 이용이다. 이 아키텍처에서, 각각의 타일은 다수의 트랜시버(100)를 포함한다. 각각의 트랜시버는 버스들(151, 152, 153, 154 및 155) 중의 하나에 결합된다. 이러한 버스들은 타일들 사이의 경계들을 가로지르고 서로 교차하지 않는다. 이 도면에서, 버스(151)는 타일 1과 타일 2 사이, 및 타일 3과 타일 4 사이의 통신을 허용한다. 버스(152)는 타일 1과 타일 3 사이의 통신을 허용한다. 버스(153)는 타일 2와 타일 4 사이의 통신을 허용한다. 버스(154)는 타일 1과 타일 4 사이의 통신을 허용한다. 버스(155)는 타일 2와 타일 3 사이의 통신을 허용한다. 트랜시버-버스 접속부들은 네트워크의 필요들에 따라 프로그래밍될 수 있다. 커플러들(156)은 트랜시버를 버스에 선택적으로 결합시키거나 트랜시버를 버스로부터 분리하는데 이용된다. 도 2fb에 도시된 커플러(156)의 예시적인 구현은 폐루프 구성으로 배열된 MZI들을 수반한다. MZI들은, 전송 중이든 수신 중이든 간에, 두 방향의 통신을 허용한다.FIG. 2fa illustrates another interconnect architecture. The advantage of this architecture over the architecture of FIG. 2bc is that waveguide crossings are omitted. The disadvantage is underutilization of the transceivers. In this architecture, each tile includes a number of transceivers (100). Each transceiver is coupled to one of the buses (151, 152, 153, 154, and 155). These buses cross the boundaries between the tiles and do not intersect each other. In this figure, bus (151) allows communication between tile 1 and tile 2, and between tile 3 and tile 4. Bus (152) allows communication between tile 1 and tile 3. Bus (153) allows communication between tile 2 and tile 4. Bus (154) allows communication between tile 1 and tile 4. Bus (155) allows communication between tile 2 and tile 3. The transceiver-to-bus interfaces can be programmed according to the needs of the network. Couplers (156) are used to selectively couple a transceiver to the bus or decouple a transceiver from the bus. An exemplary implementation of a coupler (156) illustrated in FIG. 2fb involves MZIs arranged in a closed loop configuration. The MZIs allow for two-way communication, either during transmission or reception.

도 2fa의 아키텍처에서의 이용은 추가적인 버스들을 포함함으로써 증가될 수 있다. 타일 1 내지 타일 4에 걸치는 트랜시버들의 각각의 행에 대해, 트랜시버들이 스위칭가능하게 결합되는 2개의 버스(예로서, 151A 및 151B)가 존재한다. 하나의 버스는 좌측에서 우측으로의 통신을 지원할 수 있고, 다른 버스는 우측에서 좌측으로의 통신을 지원할 수 있으며, 이에 의해 루프를 폐쇄한다. 그러나, 일부 실시예들에서 양 버스들은 동일한 방향으로의 통신을 지원할 수 있다. 이 도면에서, 버스(151A)는 타일 1을 타일 4와 통신하게 배치하고, 버스(151B)는 타일 1을 타일 2와 통신하게 배치하고, 타일 2를 타일 3과 통신하게 배치하고, 타일 3을 타일 4와 통신하게 배치한다. 예를 들어, 커플러들(156)은 도 2fb에 도시된 바와 같이 구현될 수 있다. 이 구성의 하나의 단점은 행의 대향 단부들에 있는 타일들(예를 들어, 타일 1 및 타일 4) 사이의 링크가 다른 링크들보다 길어서, 더 큰 광학 손실을 야기한다는 것이다.The utility of the architecture of FIG. 2fa can be increased by including additional buses. For each row of transceivers spanning tiles 1 through 4, there are two buses (e.g., 151A and 151B) to which the transceivers are switchably coupled. One bus can support left-to-right communication and the other bus can support right-to-left communication, thereby closing the loop. However, in some embodiments, both buses can support communication in the same direction. In this figure, bus (151A) places tile 1 in communication with tile 4, bus (151B) places tile 1 in communication with tile 2, places tile 2 in communication with tile 3, and places tile 3 in communication with tile 4. For example, couplers (156) can be implemented as shown in FIG. 2fb. One drawback of this configuration is that the links between tiles at opposite ends of a row (e.g., tile 1 and tile 4) are longer than the other links, resulting in greater optical losses.

도 2fd의 아키텍처는 원격 타일들을 접속시키기 위해 광학 파이버들을 이용함으로써 이 문제를 해결한다. 이 아키텍처에서, 타일들은 1x2 타일들의 2개의 블록으로 배열되었다. 제1 블록은 타일들 1 및 2를 포함하고, 제2 블록은 타일들 3 및 4를 포함한다. 각각의 타일은 다수의 트랜시버(100)를 포함한다. 타일들 1 및 2는 버스들(161A 및 161B)을 이용하여 서로 통신한다. 2개의 버스를 갖는 것은 양 방향 통신을 허용한다. 예를 들어, 타일 1의 TX는 버스(161A)를 이용하여 타일 2의 RX에 데이터를 전송할 수 있고, 타일 2의 TX는 버스(161B)를 이용하여 타일 1의 RX에 데이터를 전송할 수 있다. 유사하게, 타일들 3 및 4는 버스들(164A 및 164B)을 이용하여 서로 통신한다. 일 예에서, 타일 3의 TX는 버스(164A)를 이용하여 타일 4의 RX에 데이터를 전송할 수 있고, 타일 4의 TX는 버스(164B)를 이용하여 타일 3의 RX에 데이터를 전송할 수 있다. 이와 같이, 버스들(161A, 161B, 164A 및 164B)은 블록내 버스들로서 보여질 수 있다. 대신에, 버스들(162A, 162B, 163A 및 164B)은 블록간 버스들로서 보여질 수 있다. 버스(162A)는 파이버들(166A 및 168A)을 통해 버스(163B)에 접속된다. 유사하게, 버스(162B)는 파이버들(166B 및 168B)을 통해 버스(163A)에 접속된다.The architecture of FIG. 2fd addresses this problem by using optical fibers to connect the remote tiles. In this architecture, the tiles are arranged in two blocks of 1x2 tiles. A first block includes tiles 1 and 2, and a second block includes tiles 3 and 4. Each tile includes a number of transceivers (100). Tiles 1 and 2 communicate with each other using buses (161A and 161B). Having two buses allows for bidirectional communication. For example, the TX of tile 1 can transmit data to the RX of tile 2 using bus (161A), and the TX of tile 2 can transmit data to the RX of tile 1 using bus (161B). Similarly, tiles 3 and 4 communicate with each other using buses (164A and 164B). In one example, the TX of tile 3 may transmit data to the RX of tile 4 using bus (164A), and the TX of tile 4 may transmit data to the RX of tile 3 using bus (164B). As such, buses (161A, 161B, 164A, and 164B) may be viewed as intra-block buses. Alternatively, buses (162A, 162B, 163A, and 164B) may be viewed as inter-block buses. Bus (162A) is connected to bus (163B) via fibers (166A and 168A). Similarly, bus (162B) is connected to bus (163A) via fibers (166B and 168B).

도 2fc의 아키텍처들과 비교하여, 도 2fd의 아키텍처는 타일 1과 타일 4를 접속시키는 온-칩 경로를 단축시킨다. 타일 1과 타일 4를 접속시키는 온-칩 경로의 일부는 파이버들로 대체된다. 파이버에 의해 도입되는 손실이 집적 도파관에 의해 도입되는 손실보다 낮아질 수 있기 때문에, 전체 손실이 감소된다.Compared to the architectures of FIG. 2fc, the architecture of FIG. 2fd shortens the on-chip path connecting tile 1 and tile 4. Part of the on-chip path connecting tile 1 and tile 4 is replaced with fibers. Since the loss introduced by the fiber can be lower than the loss introduced by the integrated waveguide, the overall loss is reduced.

따라서, 일부 실시예들은 템플릿 포토닉 타일의 인스턴스화들인 복수의 포토닉 타일(예를 들어, 도 2fd의 타일들 1-4)을 포함하는 포토닉 인터포저에 관한 것이다. 복수의 포토닉 타일 각각은 제1 트랜시버(100)를 포함한다. 제1 트랜시버에 결합된 전기적 접속부들(도 2fd에 도시되지 않음)은, 예를 들어 도 1a에 도시된 바와 같이, 전자 칩이 포토닉 타일에 대응하여 포토닉 인터포저에 부착될 때 제1 트랜시버와 전자 칩 사이의 전기적 통신을 허용하도록 구성된다. 제1 및 제2 버스 도파관들(162A 및 162B)은 각각 제1 및 제2 포토닉 타일들을 가로지른다. 그리고, 제3 및 제4 버스 도파관들(163A 및 163B)은 각각 제3 및 제4 포토닉 타일들을 가로지른다. 제1 파이버(166A), 제1 버스 도파관(162A) 및 제4 버스 도파관(163B)은 제1 포토닉 타일의 제1 트랜시버를 제4 포토닉 타일의 제1 트랜시버와 광학 통신하도록 배치한다. 제2 파이버(166B), 제2 버스 도파관(162B) 및 제3 버스 도파관(163A)은 제2 포토닉 타일의 제1 트랜시버를 제3 포토닉 타일의 제1 트랜시버와 광학 통신하도록 배치한다.Accordingly, some embodiments are directed to a photonic interposer including a plurality of photonic tiles (e.g., tiles 1-4 of FIG. 2fd ) that are instantiations of a template photonic tile. Each of the plurality of photonic tiles includes a first transceiver (100). Electrical connections (not shown in FIG. 2fd ) coupled to the first transceiver are configured to allow electrical communication between the first transceiver and the electronic chip when the electronic chip is attached to the photonic interposer in correspondence with the photonic tile, as illustrated in FIG. 1a . The first and second bus waveguides (162A and 162B) traverse the first and second photonic tiles, respectively. And the third and fourth bus waveguides (163A and 163B) traverse the third and fourth photonic tiles, respectively. The first fiber (166A), the first bus waveguide (162A), and the fourth bus waveguide (163B) are arranged to optically communicate the first transceiver of the first photonic tile with the first transceiver of the fourth photonic tile. The second fiber (166B), the second bus waveguide (162B), and the third bus waveguide (163A) are arranged to optically communicate the first transceiver of the second photonic tile with the first transceiver of the third photonic tile.

일부 실시예들에서, 복수의 포토닉 타일 각각은 제2 트랜시버를 더 포함한다. 제1 포토닉 타일의 제2 트랜시버는 (예를 들어, 버스(161A 및/또는 161B)를 통해) 제2 포토닉 타일의 제2 트랜시버와 광학 통신한다. 유사하게, 제3 포토닉 타일의 제2 트랜시버는 (예를 들어, 버스(164A 및/또는 164B)를 통해) 제4 포토닉 타일의 제2 트랜시버와 광학 통신할 수 있다.In some embodiments, each of the plurality of photonic tiles further includes a second transceiver. The second transceiver of the first photonic tile can be in optical communication with the second transceiver of the second photonic tile (e.g., via buses 161A and/or 161B). Similarly, the second transceiver of the third photonic tile can be in optical communication with the second transceiver of the fourth photonic tile (e.g., via buses 164A and/or 164B).

일부 실시예들에서, 인터포저는 제3 파이버(168A)를 더 포함한다. 제3 파이버(168A), 제1 버스 도파관(162A) 및 제4 버스 도파관(163B)은 제1 포토닉 타일의 제1 트랜시버를 제4 포토닉 타일의 제1 트랜시버와 추가로 광학 통신하도록 배치한다. 제1 파이버, 제3 파이버, 제1 버스 도파관, 제4 버스 도파관, 제1 포토닉 타일의 제1 트랜시버 및 제4 포토닉 타일의 제1 트랜시버는 폐루프를 형성할 수 있다. 인터포저는 제4 파이버(168B)를 더 포함할 수 있다. 제4 파이버(168B), 제2 버스 도파관(162B) 및 제3 버스 도파관(163A)은 제2 포토닉 타일의 제1 트랜시버를 제3 포토닉 타일의 제1 트랜시버와 추가로 광학 통신하도록 배치한다. 제2 파이버, 제4 파이버, 제2 버스 도파관, 제3 버스 도파관, 제2 포토닉 타일의 제1 트랜시버 및 제3 포토닉 타일의 제1 트랜시버는 또한 폐루프를 형성할 수 있다.In some embodiments, the interposer further includes a third fiber (168A). The third fiber (168A), the first bus waveguide (162A), and the fourth bus waveguide (163B) are arranged to further optically communicate a first transceiver of the first photonic tile with a first transceiver of the fourth photonic tile. The first fiber, the third fiber, the first bus waveguide, the fourth bus waveguide, the first transceiver of the first photonic tile, and the first transceiver of the fourth photonic tile can form a closed loop. The interposer can further include a fourth fiber (168B). The fourth fiber (168B), the second bus waveguide (162B), and the third bus waveguide (163A) are arranged to further optically communicate a first transceiver of the second photonic tile with a first transceiver of the third photonic tile. The second fiber, the fourth fiber, the second bus waveguide, the third bus waveguide, the first transceiver of the second photonic tile and the first transceiver of the third photonic tile can also form a closed loop.

C. 파장 기반 타일 식별C. Wavelength-based tile identification

도 2aa 내지 도 2fd와 관련하여 논의된 아키텍처들은 각각의 타일-타일 광학 링크의 총 대역폭을 증가시키기 위해 WDM을 활용한다. 다른 실시예들에서, 파장들은 각각의 타일을 고유하게 식별하는데 이용될 수 있다. 4-타일 아키텍처에서, 예를 들어, 파장 λ0은 타일 1을 고유하게 식별할 수 있고, 파장 λ1은 타일 2를 고유하게 식별할 수 있고, 파장 λ2는 타일 3을 고유하게 식별할 수 있고, 파장 λ3은 타일 4를 고유하게 식별할 수 있다. 따라서, 수신기는 단순히 데이터를 지원하는 파장을 결정함으로써 버스로부터 수집된 데이터의 출처를 식별할 수 있다. 일 예가 도 2ga에 도시되어 있다. 이 아키텍처는 4개의 타일을 포함한다. 트랜시버들(100)의 제1 세트는 버스(171)에 의해 접속되고, 트랜시버들(100)의 제2 세트는 버스(172)에 의해 접속되고, 트랜시버들(100)의 제3 세트는 버스(173)에 의해 접속된다. 각각의 트랜시버는 한 쌍의 수(x 및 y)로 라벨링된다. 제1 수(x)는 트랜시버의 전송기의 전송 파장을 식별한다. 제2 수(2)는 트랜시버의 수신기가 판독하도록 구성되는 파장을 식별한다. 특정 타일의 트랜시버들의 모든 x 번호들은 동일하다는 점에 유의해야 한다. 이것은 시스템이 파장에 의해 전송기들을 고유하게 식별할 수 있게 한다.The architectures discussed in connection with FIGS. 2aa through 2fd utilize WDM to increase the aggregate bandwidth of each tile-to-tile optical link. In other embodiments, wavelengths may be used to uniquely identify each tile. In a 4-tile architecture, for example, wavelength λ0 may uniquely identify tile 1, wavelength λ1 may uniquely identify tile 2, wavelength λ2 may uniquely identify tile 3, and wavelength λ3 may uniquely identify tile 4. Thus, a receiver can identify the source of data collected from the bus simply by determining the wavelength that supports the data. An example is illustrated in FIG. 2ga. This architecture includes four tiles. A first set of transceivers (100) are connected by a bus (171), a second set of transceivers (100) are connected by a bus (172), and a third set of transceivers (100) are connected by a bus (173). Each transceiver is labeled with a pair of numbers (x and y). The first number (x) identifies the transmitting wavelength of the transmitter of the transceiver. The second number (2) identifies the wavelength that the receiver of the transceiver is configured to read. It should be noted that all x numbers of the transceivers in a particular tile are the same. This allows the system to uniquely identify the transmitters by wavelength.

도 2gb의 예에서, 행의 각각의 타일은 고유의 전송 파장을 갖는다. 그러나, 파장들은 상이한 행들에 걸쳐 재이용된다. 제1 행은 행들 1-4를 포함하고, 제2 행은 타일들 5-8을 포함한다. 이 예에서, 파장 λ0은 타일 1 및 타일 5를 고유하게 식별할 수 있고, 파장 λ1은 타일 2 및 타일 6을 고유하게 식별할 수 있고, 파장 λ2는 타일 3 및 타일 7을 고유하게 식별할 수 있고, 파장 λ3은 타일 4 및 타일 8을 고유하게 식별할 수 있다. 버스 세트(181)(3개의 버스를 포함함)는 제1 행의 타일들(타일들 1-4) 사이의 통신을 허용한다. 버스 세트(183)(3개의 버스를 포함함)는 제2 행의 타일들(타일들 5-8) 사이의 통신을 허용한다. 버스 세트(182)(8개의 버스를 포함함)는 제1 행의 각각의 타일과 제2 행의 각각의 타일 사이의 통신을 허용한다. 각각의 타일은 본 명세서에서 공진 변조기(TX) 및 공진 드롭 필터(RX)의 형태로 표현되는 전송기들 및 수신기들을 포함한다. 괄호 안의 파장들은 (TX에 대한) 전송 파장 및 (RX에 대한) 공진 드롭 필터의 드롭 파장을 나타낸다.In the example of Figure 2gb, each tile in a row has its own transmit wavelength. However, the wavelengths are reused across different rows. A first row includes rows 1-4, a second row includes tiles 5-8, and so on. In this example, wavelength λ0 may uniquely identify tile 1 and tile 5, wavelength λ1 may uniquely identify tile 2 and tile 6, wavelength λ2 may uniquely identify tile 3 and tile 7, and wavelength λ3 may uniquely identify tile 4 and tile 8. A bus set (181) (comprising three buses) allows communication between the tiles in the first row (tiles 1-4). A bus set (183) (comprising three buses) allows communication between the tiles in the second row (tiles 5-8). A set of buses (182) (comprising eight buses) allows communication between each tile in the first row and each tile in the second row. Each tile includes transmitters and receivers, represented herein in the form of a resonant modulator (TX) and a resonant drop filter (RX). The wavelengths in parentheses represent the transmit wavelength (for the TX) and the drop wavelength of the resonant drop filter (for the RX).

도 2gc의 아키텍처는 도 2ga의 아키텍처와 유사하지만, 이것은 단방향 트래픽 레인들을 허용하는 프로그래밍가능한 광학 루프백들(190)을 더 포함한다. 도 2gd에 도시된 예시적인 프로그래밍가능한 광학 루프백은 MZI들을 이용하여 구현된다.The architecture of FIG. 2gc is similar to that of FIG. 2ga, but it further includes programmable optical loopbacks (190) that allow unidirectional traffic lanes. The exemplary programmable optical loopback illustrated in FIG. 2gd is implemented using MZIs.

IV. IV. 다이-대-다이(D2D) 인터페이스들Die-to-die (D2D) interfaces

본 명세서에 설명된 포토닉 인터포저들은 그렇지 않으면 종래의 인터페이스들을 이용한 비실용적인(예를 들어, 너무 비싸거나 에너지 비효율적인) 방식들로 주문형 집적 회로들(ASIC)을 상호접속시키는데 이용될 수 있다. 최근에, 상이한 소스들의 칩렛들이 서로 통신하는 것을 허용하는 새로운 다이-대-다이(D2D) 인터페이스 표준들이 출현하고 있다. D2D 인터페이스들은, 종래의 칩-대-칩 인터페이스들이 달성할 수 있는 것을 넘어서, 전력 효율 및 매우 높은 대역폭 효율을 달성하기 위해 공통 패키지 내부에서 2개의 다이들을 접속시키는데 매우 짧은 채널들을 이용한다. D2D 인터페이스는 물리적 층(PHY), 링크 층, 및 트랜잭션 층으로 분할되는 것으로 볼 수 있다. PHY 층은 병렬-대-직렬 및 직렬-대-병렬 데이터 변환을 위해 고속 SerDes 아키텍처들을 이용하여 구현될 수 있다. SerDes의 주요 역할은 I/O 상호접속부들의 수를 최소화하는 것이다.The photonic interposers described herein can be used to interconnect application-specific integrated circuits (ASICs) in ways that would otherwise be impractical (e.g., too expensive or energy inefficient) using conventional interfaces. Recently, new die-to-die (D2D) interface standards have emerged that allow chiplets from different sources to communicate with each other. D2D interfaces utilize very short channels to connect two dies within a common package to achieve power efficiency and very high bandwidth efficiency beyond what conventional chip-to-chip interfaces can achieve. A D2D interface can be viewed as being divided into a physical layer (PHY), a link layer, and a transaction layer. The PHY layer can be implemented using high-speed SerDes architectures for parallel-to-serial and serial-to-parallel data conversion. The primary role of the SerDes is to minimize the number of I/O interconnects.

현재, 전자 인터포저들 및 실리콘 브리지들이 주류 제품들로 가고 있기 때문에, 업계에서는 진보된 패키징에 큰 중점을 두고 있다. D2D 인터페이스들의 예들은, 특히, AIB(Advanced Interface Bus), UCIe(Universal Chiplet Interconnect Express) 및 LIPINCON(Low-voltage-In-Package-INterCONnect)을 포함한다. BoW(Bunch of Wires)는 차세대 칩들에서 더 중요해질 것으로 예상되는 상호접속부들의 일부를 표준화하도록 설계된 비교적 새로운 D2D 인터페이스이다. 이러한 인터페이스들은 비교적 가까이 근접하게, 예를 들어, 수 밀리미터 떨어져 위치된 전자 ASIC들 사이의 고대역폭 통신을 위해 설계된다.Now, as electronic interposers and silicon bridges are moving into mainstream products, the industry is placing a lot of emphasis on advanced packaging. Examples of D2D interfaces include, among others, the Advanced Interface Bus (AIB), the Universal Chiplet Interconnect Express (UCIe), and the Low-voltage-In-Package-INterCONnect (LIPINCON). Bunch of Wires (BoW) is a relatively new D2D interface designed to standardize some of the interconnects that are expected to become more important in next-generation chips. These interfaces are designed for high-bandwidth communications between electronic ASICs that are located in relatively close proximity, for example, a few millimeters apart.

본 발명자들은 D2D 인터페이스들에 의해 설정된 비교적 가까운 근접성이 이러한 인터페이스들을 이용하여 달성가능한 컴퓨팅 아키텍처들의 유형들에 실제 제한을 제기한다는 것을 인식하고 이해하였다. 이들 인터페이스에 의해 설정된 최대 다이-대-다이 거리(습한 경우 수 밀리미터)는 전기적 상호접속부의 제약을 감안하여 높은 대역폭과 신뢰성을 보장한다. 본 명세서에 설명된 포토닉 인터포저들은 종래의 전자 인터포저들로 가능한 것보다 더 큰 ASIC-대-ASIC 거리들로 종래의 D2D 인터페이스들의 적용가능성을 확장할 수 있다. 일 예에서, 포토닉 인터포저들의 이용은 예를 들어, 1cm 초과, 1.5cm 초과, 2.5cm 초과, 3cm 초과, 5cm 초과, 10cm 초과만큼 분리된 한 쌍의 ASIC 사이의 AIB 기반 통신을 가능하게 할 수 있다. 유사하게, 포토닉 인터포저들의 이용은 예를 들어, 1cm 초과, 1.5cm 초과, 2.5cm 초과, 3cm 초과, 5cm 초과, 10cm 초과만큼 분리된 한 쌍의 ASIC 사이의 UCIe 기반 통신을 가능하게 할 수 있다. 포토닉 인터포저와 접속된 SerDes 인터페이스는, 공간 채널(도파관 또는 파이버), 파장 채널 또는 편광 채널이든 간에, 단일 포토닉 채널을 이용하여 와이어들을 단일 포토닉 링크로 멀티플렉싱할 수 있다. 일부 실시예들에서, 포토닉 채널은 NRZ(non-return to zero)를 이용하여 56 Gbps를 지원할 수 있으며, PAM4 변조를 이용하여 112 Gbps 위로 지원할 수 있다.The inventors have recognized and appreciated that the relatively close proximity established by D2D interfaces poses practical limitations on the types of computing architectures achievable using such interfaces. The maximum die-to-die distance established by these interfaces (a few millimeters in wet case) ensures high bandwidth and reliability given the constraints of the electrical interconnect. The photonic interposers described herein can extend the applicability of conventional D2D interfaces to ASIC-to-ASIC distances greater than possible with conventional electronic interposers. In one example, the use of photonic interposers can enable AIB-based communications between a pair of ASICs separated by, for example, greater than 1 cm, greater than 1.5 cm, greater than 2.5 cm, greater than 3 cm, greater than 5 cm, or greater than 10 cm. Similarly, the use of photonic interposers can enable UCIe-based communications between a pair of ASICs separated by, for example, more than 1 cm, more than 1.5 cm, more than 2.5 cm, more than 3 cm, more than 5 cm, or more than 10 cm. A SerDes interface connected to a photonic interposer can multiplex wires into a single photonic link using a single photonic channel, whether a spatial channel (waveguide or fiber), a wavelength channel, or a polarization channel. In some embodiments, the photonic channel can support 56 Gbps using non-return to zero (NRZ) modulation, and up to 112 Gbps using PAM4 modulation.

도 2ha는 AIB 인터페이스를 구비한 ASIC를 도시한다. 더 구체적으로, ASIC는 "북서쪽" AIB 유닛, "남서쪽" AIB 유닛, "남동쪽" AIB 유닛, 및 "북동쪽" AIB 유닛을 포함한다. 각각의 AIB는 24개의 채널들을 포함한다(그러나 다른 수의 채널들이 가능하다). 도 2hb는 AIB 인터페이스가 본 명세서에 설명된 유형들의 포토닉 인터포저를 이용하여 2개의 ASIC들(ASIC 0 및 ASIC 1) 사이의 접속을 가능하게 할 수 있는 방법을 도시한다. ASIC 0의 전송기 포트는, 각각이 1.5 Gbps 내지 2.5 Gbps(예를 들어, 2 Gbps)를 지원하는 128개의 와이어를 지원한다. 8:1 SerDes에 의하면, 8개의 와이어들로부터의 신호들이 멀티플렉싱되어 12 Gbps 내지 20 Gbps(예를 들어, 16 Gbps)를 생성할 수 있다. SerDes는 일부 실시예들에서 (예를 들어, 포토닉 인터포저를 호스팅하는 SOI 웨이퍼 내의 트랜지스터들을 이용하여) 포토닉 인터포저 상에 직접 형성될 수 있다. 포토닉 인터포저 내에 형성된 변조기들은 SerDes로부터 획득된 데이터를 인터포저 또는 파이버들 상에 형성된 도파관들을 이용하여 전송될 광학 신호들로 변환한다. 수신기 측에서, 광검출기들은 신호들을 수신하고, SerDes는 디멀티플렉싱을 수행하고, 와이어들은 AIB 수신 포트를 통해 ASIC 1에 데이터를 제공한다.FIG. 2ha illustrates an ASIC having an AIB interface. More specifically, the ASIC includes a "northwest" AIB unit, a "southwest" AIB unit, a "southeast" AIB unit, and a "northeast" AIB unit. Each AIB includes 24 channels (though other numbers of channels are possible). FIG. 2hb illustrates how an AIB interface may enable connectivity between two ASICs (ASIC 0 and ASIC 1) using a photonic interposer of the types described herein. The transmitter port of ASIC 0 supports 128 wires, each supporting 1.5 Gbps to 2.5 Gbps (e.g., 2 Gbps). With an 8:1 SerDes, signals from the 8 wires may be multiplexed to generate 12 Gbps to 20 Gbps (e.g., 16 Gbps). The SerDes may be formed directly on the photonic interposer in some embodiments (e.g., using transistors within an SOI wafer hosting the photonic interposer). Modulators formed within the photonic interposer convert data acquired from the SerDes into optical signals to be transmitted using waveguides formed on the interposer or fibers. At the receiver side, photodetectors receive the signals, the SerDes demultiplexes, and wires provide data to ASIC 1 via the AIB receive port.

도 2hc는 AIB 인터페이스들을 갖는 16개의 ASIC들을 호스팅하는 포토닉 인터포저(20)를 도시한다. 각각의 ASIC는 예를 들어 도 1a에 도시된 것과 유사한 배열로 포토닉 인터포저의 각각의 타일 상에 장착될 수 있다. 외부 레이저 모듈은 격자 커플러들을 이용하여 광을 인터포저에 결합시키지만, 에지 결합도 가능하다. 인터포저 및/또는 파이버들에 형성된 도파관들은 AIB 인터페이스들을 통해 ASIC들 사이의 통신을 지원한다. 본 명세서에 설명된 정적 또는 프로그래밍가능한 포토닉 상호접속부들 중의 임의의 것이 ASIC들 사이의 통신을 지원하는데 이용될 수 있다. 도 2ha 내지 도 2hc와 관련하여 논의된 AIB 인터페이스들이 예를 들어 UCIe를 포함하는 다른 D2D 인터페이스들로 대체될 수 있다는 점에 유의해야 한다.FIG. 2hc illustrates a photonic interposer (20) hosting 16 ASICs having AIB interfaces. Each ASIC may be mounted on a respective tile of the photonic interposer, for example, in an arrangement similar to that illustrated in FIG. 1a. An external laser module couples light into the interposer using grating couplers, although edge coupling is also possible. Waveguides formed in the interposer and/or fibers support communication between the ASICs via the AIB interfaces. Any of the static or programmable photonic interconnects described herein may be utilized to support communication between the ASICs. It should be noted that the AIB interfaces discussed with respect to FIGS. 2hc through 2hc may be replaced with other D2D interfaces, including, for example, UCIe.

도 2ia는 인터포저의 각각의 제각기의 타일들(타일 0 및 타일 1)과 통신하는 한 쌍의 ASIC들(ASIC 0 및 ASIC 1)을 도시한다. 이 예에서, BoW 인터페이스들이 이용된다. 통신은 포토닉 인터포저에 형성된 도파관들을 통해 일어나지만, 다른 실시예들에서는 파이버들이 이용될 수 있다. 일부 실시예들에서, 타일 경계들을 가로지르는 도파관들의 수를 감소시키기 위해, 신호들은 WDM 및/또는 편광 다이버시티를 이용하여 단일 도파관 또는 파이버에서 멀티플렉싱될 수 있다. 도 2ib의 예에서, 하나의 편광은 하나의 전송 방향에서 이용되고, 다른 편광은 역방향에 대해 이용된다.FIG. 2a illustrates a pair of ASICs (ASIC 0 and ASIC 1) communicating with respective tiles (tile 0 and tile 1) of the interposer. In this example, BoW interfaces are utilized. Communication occurs over waveguides formed in the photonic interposer, although in other embodiments fibers may be utilized. In some embodiments, to reduce the number of waveguides crossing tile boundaries, signals may be multiplexed onto a single waveguide or fiber using WDM and/or polarization diversity. In the example of FIG. 2b, one polarization is utilized in one transmit direction and the other polarization is utilized for the reverse direction.

본 명세서에 설명된 포토닉 인터포저들은 도 2ja, 도 2jb, 도 2jc 및 도 2jd에 예시된 것들을 포함하는 여러 유형들의 컴퓨터 아키텍처들을 가능하게 한다. 도 2ja의 예에서, 포토닉 인터포저(20)는 16개의 ASIC를 호스팅한다. 이들 중에서, 하나의 (ASIC 0)만이 광학 파이버들을 이용하여 인터포저(20) 외부의 컴포넌트들과 인터페이싱한다. ASIC들은 본 명세서에서 설명되는 상호접속부 중의 임의의 하나를 이용하여 서로 통신한다. 도 2jb의 예에서, 파이버들은 루프를 폐쇄하는데 이용된다. 그 결과, 링 네트워크 아키텍처들이 형성될 수 있다. 이 아키텍처는 다수의 ASIC들을 이용하는 파이프라이닝된 동작들을 수반하는 애플리케이션들에 특히 적합하다. 도 2jc의 예에서, 각각의 ASIC는 그 이웃들과 통신하고, 열 또는 행의 대향 단부들에 위치된 ASIC들 사이의 통신을 허용하기 위한 추가 링크들이 형성된다. 이것은 2D 하이퍼토로이드 아키텍처들을 허용한다. 마지막으로, 도 2jd의 예에서, 모든 ASIC는 모든 다른 ASIC와 통신하여 올-투-올(all-to-all) 아키텍처를 형성한다. 이 아키텍처는 멀티-테넌시(multi-tenancy)가 요구되는 더 작은 층 크기들, 병렬 배치 처리, 순차적 그래프 처리, 및 HPC/AI 클러스터들에 특히 적합하다.The photonic interposers described herein enable several types of computer architectures, including those illustrated in FIGS. 2ja, 2jb, 2jc, and 2jd. In the example of FIG. 2ja, the photonic interposer (20) hosts sixteen ASICs. Of these, only one (ASIC 0) interfaces with components external to the interposer (20) using optical fibers. The ASICs communicate with each other using any one of the interconnects described herein. In the example of FIG. 2jb, the fibers are used to close the loop. As a result, ring network architectures can be formed. This architecture is particularly well suited for applications involving pipelined operations utilizing multiple ASICs. In the example of FIG. 2jc, each ASIC communicates with its neighbors, and additional links are formed to allow communication between ASICs located at opposite ends of a column or row. This allows for 2D hypertoroid architectures. Finally, in the example of Fig. 2jd, every ASIC communicates with every other ASIC, forming an all-to-all architecture. This architecture is particularly suitable for smaller layer sizes, parallel batch processing, sequential graph processing, and HPC/AI clusters where multi-tenancy is required.

본 발명자들은 (포토닉 타일들의 관점에서) 더 많은 수의 홉들이 더 긴 포토닉 경로들 및/또는 더 많은 포토닉 스위치들/교차부들을 요구할 것이라는 점을 이해하였다. 이것은 더 많은 광학 손실들 및 누화를 초래할 수 있다. 올-투-올 토폴로지인 도 2ja의 토폴로지는 베이스라인 토폴로지를 나타낸다. 도 2jb 내지 도 2jd에서의 토폴로지들은 도 2ja의 토폴로지와 관련하여 특정 광학 링크가 취하는 홉들의 수를 감소시키는 것에 의해 달성된다. 이와 같이, 도 2jb 내지 도 2jd의 토폴로지들에서의 링크들의 광학 손실들은 도 2ja에 도시된 링크들의 것들보다 낮다. 효율성의 이유로, 각각의 광학 링크에 이용되는 중복 광의 양을 줄이기 위해 레이저 전력/전류를 낮출 수 있다.The inventors have realized that a greater number of hops (in terms of photonic tiles) will require longer photonic paths and/or more photonic switches/crossovers. This can result in more optical losses and crosstalk. The topology of Fig. 2ja, which is an all-to-all topology, represents a baseline topology. The topologies in Figs. 2jb-2jd are achieved by reducing the number of hops a particular optical link takes with respect to the topology of Fig. 2ja. Thus, the optical losses of the links in the topologies of Figs. 2jb-2jd are lower than those of the links illustrated in Fig. 2ja. For efficiency reasons, the laser power/current can be lowered to reduce the amount of redundant light utilized for each optical link.

특정 TX/RX 링크의 홉들의 수가 베이스라인 토폴로지에서보다 재구성된 토폴로지에서 더 높은 경우 추가적인 토폴로지들이 또한 가능하다. 이 경우에, 그 특정 광학 링크는 동일한 성능(예컨대, 보드(baud) 및 BER)을 달성하기 위해 (보다 높은 손실 또는 누화를 보상하도록) 보다 높은 레이저 전력을 필요로 할 수 있다. 더 높은 레이저 전력은 예를 들어, 그 홉들의 수가 감소된 그 광학 링크들로부터, 그 링크에 추가 전력을 라우팅함으로써 전체 시스템의 레이저 전력을 증가시키지 않고 달성될 수 있다. 그렇지 않으면, 추가적인 레이저 모듈들 또는 레이저 모듈의 출력을 증가시키는 것이 요구될 수 있다. 다른 솔루션은 더 느리거나 더 작은 비트들을 갖거나(예를 들어, PAM-4로부터 NRZ로, 또는 QAM-16으로부터 QAM-4로 진행하거나) 또는 더 높은 비트/심볼 에러 레이트들을 수용하는 상이한 통신 프로토콜을 이용하는 것이며, 이는 에러 정정 코드들의 이용으로 개선될 수 있다.Additional topologies are also possible if the number of hops in a particular TX/RX link is higher in the reconfigured topology than in the baseline topology. In this case, that particular optical link may require higher laser power (to compensate for higher loss or crosstalk) to achieve the same performance (e.g., baud and BER). The higher laser power may be achieved without increasing the laser power of the overall system, for example, by routing additional power to the link from those optical links with a reduced number of hops. Alternatively, additional laser modules or increasing the power of the laser modules may be required. Another solution is to use a different communication protocol that is slower or has fewer bits (e.g., going from PAM-4 to NRZ, or from QAM-16 to QAM-4) or that accommodates higher bit/symbol error rates, which can be improved by the use of error correction codes.

VI. VI. 클록 분배Clock distribution

본 발명자들은 단일 글로벌 클록을 이용하여 전체 포토닉 인터포저, 및 그것에 접속된 전자 칩들을 동기화하는 것이 비실용적이라는 것을 인식하고 이해하였다. 이것은 부분적으로 글로벌 클록 분배 스킴들이 복잡하고, 동작을 위해 상당한 전력을 필요로 하기 때문이다.The inventors have recognized and appreciated that it is impractical to synchronize an entire photonic interposer and the electronic chips connected to it using a single global clock. This is in part because global clock distribution schemes are complex and require significant power to operate.

일부 실시예들에서, 클록 및 데이터 복구(CDR)는 각각의 타일 내에서 로컬 클록을 생성함으로써 수행될 수 있다. CDR 복구는 각각의 TX/RX 쌍에 대해 구현될 수 있으며, 광학 통신 채널은 타일과 다른 타일 사이의 경계를 가로지른다. CDR은 일부 실시예들에서 플레시오크로너스 스킴들을 이용하여 수행될 수 있다. 대안적으로, CDR은 일부 실시예들에서 메조크로너스 스킴들을 이용하여 수행될 수 있다. 양 스킴들이 아래에서 논의된다.In some embodiments, clock and data recovery (CDR) can be performed by generating a local clock within each tile. CDR recovery can be implemented for each TX/RX pair, with the optical communication channel crossing boundaries between tiles. CDR can be performed using plesiochronous schemes in some embodiments. Alternatively, CDR can be performed using mesochronous schemes in some embodiments. Both schemes are discussed below.

A. 플레시오크로너스 스킴들A. Plesiochronus schemes

플레시오크로너스 스킴들에서, 클록은 데이터가 전송되는 동일한 광학 채널 내에서 전송될 수 있다. 따라서, 동일한 TX 회로 및 동일한 RX 회로가 데이터 및 클록을 전송/수신하는데 이용된다. 이는 CDR 오버헤드를 고려하기 위해, 그렇지 않았더라면 데이터를 단독으로 전송하는 데 필요한 경우보다 약간 더 높은 대역폭에서 동작시킴으로써 수행될 수 있다. 8b/10b 프로토콜, 64b/66b 프로토콜, 128b/130b 프로토콜, 또는 256b/257b 프로토콜을 포함하는 몇몇 프로토콜들이 이용될 수 있다. 일반적으로, Xb/Yb 프로토콜은 클록 복구 및 데이터 정렬을 위한 충분한 상태 변화를 제공하기 위해 DC 균형을 달성하도록 X 비트의 데이터를 Y 비트의 스트링으로 변환한다. DC-균형 데이터 스트링의 예는 적어도 20 비트의 스트링 내의 1들과 0들의 카운트들 사이의 차이가 2를 초과하지 않을 수 있고/있거나 (행 내의) 연속적인 1들 또는 0들의 수가 5 이하일 수 있는 것이다. DC-균형은 일부 실시예들에서 선형 피드백 시프트 레지스터를 이용하여 구현될 수 있다. 이러한 스킴들에 따라 구현되는 클록 복구는 데이터의 전이들(예를 들어, 상승 에지들 및/또는 하강 에지들)에 의존한다.In plesiocronus schemes, the clock can be transmitted within the same optical channel that the data is transmitted. Thus, the same TX circuitry and the same RX circuitry are used to transmit/receive both data and clock. This can be done by operating at a slightly higher bandwidth than would otherwise be required to transmit data alone, to account for CDR overhead. Several protocols may be used, including the 8b/10b protocol, the 64b/66b protocol, the 128b/130b protocol, or the 256b/257b protocol. Typically, the Xb/Yb protocol converts X bits of data into a string of Y bits to achieve DC balance to provide sufficient state transitions for clock recovery and data alignment. Examples of DC-balanced data strings are those where the difference between the counts of 1s and 0s within a string of at least 20 bits may not exceed 2, and/or the number of consecutive 1s or 0s (within a row) may be 5 or less. DC-balancing can be implemented using linear feedback shift registers in some embodiments. Clock recovery implemented according to these schemes relies on transitions of data (e.g., rising edges and/or falling edges).

본 명세서에 설명된 플레시오크로너스 스킴들은 별개의 국부 발진기들(LO)에 의존하며, 하나의 LO는 채널의 전송 측 상에, 하나의 LO는 채널의 수신 측 상에 의존한다. 별개의 LO들을 갖는 것은 클록 드리프트를 초래할 수 있다. 일부 실시예들에서, 클록 드리프트는 탄력적 선입선출(FIFO) 스킴들을 이용하여 보상될 수 있으며, FIFO 깊이는 PPM(parts per million) 단위의 패킷의 길이에 의해 확립된다.The plesiochronous schemes described herein rely on separate local oscillators (LOs), one LO on the transmit side of the channel and one LO on the receive side of the channel. Having separate LOs can introduce clock drift. In some embodiments, clock drift can be compensated for using resilient first-in, first-out (FIFO) schemes, where the FIFO depth is established by the length of the packet in parts per million (PPM).

도 3a는 일부 실시예들에 따른, 플레시오크로너스 클록 분배 스킴을 예시하는 블록도이다. 이 예에서, 데이터 경로는 타일 1에 위치된 포토닉 전송기(TX)(301)에 의한 타일 2에 위치된 포토닉 수신기(RX)(203)로의 통신을 수반한다. 타일들 사이의 라우팅은 위에서 논의된 아키텍처들 중의 임의의 것을 이용하여 수행될 수 있다. 광학 통신 채널(303)은 (포토닉 인터포저의 버스 도파관으로서 또는 파이버로서 구현되든지 간에) Xb/Yb 프로토콜을 이용하여 데이터를 지원한다. 시스템은 RX 측 상의 국부 발진기(LO)(310) 및 RX 측 상의 LO(316)를 포함한다. 각각의 LO는 전용 크리스털을 포함할 수 있거나, 대안적으로 LO들은 공통 크리스털에 의해 공급될 수 있다. 선택적으로, PLL은 LO(310)의 주파수에 미리 정의된 인자를 곱하여 더 높은 클록 주파수를 달성하는데 이용될 수 있다. 시스템은 전송 측 상의 Xb/Yb 인코더(312) 및 수신 측 상의 대응하는 Xb/Yb 디코더(314)를 더 포함한다.FIG. 3A is a block diagram illustrating a plesiochronous clock distribution scheme according to some embodiments. In this example, the data path involves communication from a photonic transmitter (TX) (301) located in tile 1 to a photonic receiver (RX) (203) located in tile 2. Routing between the tiles may be performed using any of the architectures discussed above. The optical communication channel (303) supports data using the Xb/Yb protocol (whether implemented as a bus waveguide of the photonic interposer or as a fiber). The system includes a local oscillator (LO) (310) on the RX side and an LO (316) on the RX side. Each LO may include a dedicated crystal, or alternatively, the LOs may be supplied by a common crystal. Optionally, a PLL may be utilized to achieve a higher clock frequency by multiplying the frequency of the LO (310) by a predefined factor. The system further includes an Xb/Yb encoder (312) on the transmitting side and a corresponding Xb/Yb decoder (314) on the receiving side.

B. 메조크로너스 스킴들B. Mesochronous schemes

메조크로너스 스킴들에서, 클록은 데이터에 대한 별개의 광학 채널을 이용하여 전송된다. 별개의 광학 채널을 갖는 것은 별개의 전파 매체(예를 들어, 별개의 도파관 또는 파이버), 또는 동일한 전파 매체이지만 별개의 파장 또는 편광을 수반할 수 있다.In mesochronous schemes, the clock is transmitted using a separate optical channel for the data. Having a separate optical channel can involve a separate propagation medium (e.g., a separate waveguide or fiber), or the same propagation medium but with a separate wavelength or polarization.

도 3b는 일부 실시예들에 따른, 메조크로너스 클록 분배 스킴을 예시하는 블록도이다. 이전 예에서와 같이, 데이터 경로는 타일 1에 위치된 포토닉 전송기(TX)(301)에 의한 타일 2에 위치된 포토닉 수신기(RX)(203)로의 통신을 수반한다. 그러나, 클록은 채널(352)을 이용하여 전송되고, 데이터는 채널들(350)을 이용하여 전송된다. 채널들은 물리적 전파 매체, 또는 공통 매체에서의 별개의 파장들 또는 별개의 편광들을 나타낼 수 있다. 이 스킴에서, 전송기는 LO(310)를 포함하지만, 수신기는 별개의 LO를 갖지 않는다. 대신에, PLL(354)은 클록 채널(352)을 통해 전송된 신호에 기반하여 클록을 복구한다. 선택적으로, PLL(311)은 LO(310)의 주파수에 미리 정의된 인자를 곱하여, 더 높은 클록 주파수를 달성하는데 이용될 수 있다.FIG. 3B is a block diagram illustrating a mesochronous clock distribution scheme according to some embodiments. As in the previous example, the data path involves communication from a photonic transmitter (TX) (301) located in tile 1 to a photonic receiver (RX) (203) located in tile 2. However, the clock is transmitted using a channel (352), and the data is transmitted using channels (350). The channels may represent separate wavelengths or separate polarizations in a physical propagation medium, or a common medium. In this scheme, the transmitter includes an LO (310), but the receiver does not have a separate LO. Instead, a PLL (354) recovers the clock based on a signal transmitted over the clock channel (352). Optionally, a PLL (311) may be used to achieve a higher clock frequency by multiplying the frequency of the LO (310) by a predefined factor.

VII. VII. 등화Light up

본 발명자들은 아날로그 및/또는 디지털 등화를 수반하는 포토닉 인터포저들의 데이터 처리량을 개선하기 위한 기술들을 개발하였다. 등화는 심볼간 간섭(ISI)을 감소시킴으로써, 그리고 그 결과, 비트 에러 레이트(BER)를 감소시킴으로써 데이터 처리량을 개선한다. 등화는 채널의 전송기 측에서, 채널의 수신기 측에서(또는 둘 다에서) 수행될 수 있다. 등화는 고주파수 콘텐츠를 증폭하고 더 낮은 BER 동작을 허용할 수 있다. 프리-엠퍼시스 피드포워드 등화(pre-emphasis feed-forward equalization)(FFE), 연속 시간 선형 등화(continuous time linear equalization)(CTLE) 및 이산 피드백 등화(discrete feedback equalization)(DFE)를 포함하지만 이것으로 제한되지 않는 여러 유형의 등화 기술이 이용될 수 있다. 본 명세서에 설명된 등화 기술들을 활용하는 포토닉 인터포저들은 10GHz, 15GHz 또는 심지어 25GHz를 초과하는 클록 주파수들을 지원하기에 충분히 빠를 수 있으며, 이는 종래의 프로세서들에 비해 실질적인 개선을 나타낸다.The inventors have developed techniques for improving data throughput of photonic interposers involving analog and/or digital equalization. Equalization improves data throughput by reducing inter-symbol interference (ISI), and consequently, by reducing bit error rate (BER). Equalization may be performed at the transmitter side of the channel, at the receiver side of the channel, or both. Equalization may amplify high frequency content and allow for lower BER operation. Several types of equalization techniques may be utilized, including but not limited to pre-emphasis feed-forward equalization (FFE), continuous time linear equalization (CTLE), and discrete feedback equalization (DFE). Photonic interposers utilizing the equalization techniques described herein can be fast enough to support clock frequencies exceeding 10 GHz, 15 GHz, or even 25 GHz, which represents a substantial improvement over conventional processors.

도 3ca는 등화를 수행하도록 구성된 포토닉 인터포저의 일부를 예시하는 블록도이다. 전송 측에서, FFE 유닛(360)은 프리-엠퍼시스 및/또는 디-엠퍼시스를 수행한다. 수신 측에서, 유닛(362)은 DFE 및/또는 CTLE를 수행한다. 일부 실시예들에서, 시스템은 타일 1과 타일 2 사이의 통신이 공통 포토닉 인터포저 내에서 발생하는지 또는 2개의 별개의 포토닉 인터포저에 걸쳐 있는지에 따라 등화를 수행할지 여부(FFE, DFE 또는 CTLE인지)를 결정할 수 있다. 대안적으로, 시스템은 타일 1과 타일 2 사이의 통신이 버스 도파관 또는 파이버를 이용하여 발생하는지에 따라 등화를 수행할지 여부를 결정할 수 있다.FIG. 3ca is a block diagram illustrating a portion of a photonic interposer configured to perform equalization. On the transmit side, the FFE unit (360) performs pre-emphasis and/or de-emphasis. On the receive side, the unit (362) performs DFE and/or CTLE. In some embodiments, the system can determine whether to perform equalization (whether FFE, DFE, or CTLE) depending on whether the communication between tile 1 and tile 2 occurs within a common photonic interposer or across two separate photonic interposers. Alternatively, the system can determine whether to perform equalization depending on whether the communication between tile 1 and tile 2 occurs using a bus waveguide or a fiber.

등화를 적용할지를 결정하는 것에 더하여, 일부 실시예들에서 등화기들의 특성들은 채널의 성질에 따라 적응적으로 변경될 수 있다. 예를 들어, 시스템은 채널의 S11 및/또는 S21 파라미터를 결정할 수 있고, 그 정보에 기반하여, DFE/CTLE 유닛(362)의 탭 수를 조정할 수 있다. 도 3cb는 적응적 등화기의 예를 나타내는 블록도이다. 채널의 단부에 배치된 ADC(370)는 상태 샘플들 y[n], y[n-1], y[n-2] 등을 생성함으로써 채널의 출력을 디지털화한다. DFE/CTLE 유닛(362)은, 상태 샘플들 y[n], y[n-1], y[n-2] 등의 선형 조합을 계산함으로써 출력 w[n]을 생성한다. 선형 조합은 다음과 같이 표현될 수 있다,In addition to determining whether to apply equalization, in some embodiments the characteristics of the equalizers can be adaptively changed depending on the properties of the channel. For example, the system can determine the S 11 and/or S 21 parameters of the channel and, based on that information, adjust the number of taps of the DFE/CTLE unit (362). FIG. 3cb is a block diagram illustrating an example of an adaptive equalizer. An ADC (370) disposed at the end of the channel digitizes the output of the channel by generating state samples y[n], y[n-1], y[n-2], etc. The DFE/CTLE unit (362) generates the output w[n] by computing a linear combination of the state samples y[n], y[n-1], y[n-2], etc. The linear combination can be expressed as follows:

여기서, ci는 채널 응답을 나타내는 계수(실수이든 또는 복소수이든)이다. 여기서, M은 등화를 구현하기 위해 얼마나 많은 이전 상태 샘플들 y[n]이 이용되는지를 결정한다. M은 등화기의 탭 수를 나타낸다. 여기서, M은 유한 수이고, 디지털 등화기(400)는 유한 임펄스 응답(FIR) 필터를 구현한다. 그러나, 다른 실시예들에서, 디지털 등화기(400)는 무한 임펄스 응답(IIR) 필터를 구현할 수 있다. 각각의 상태 샘플 y[n-i]는 아날로그 신호의 진폭의 과거(여기서 i > 0) 또는 현재(여기서 i = 0) 디지털화에 대응하고, w[n]은 디지털 입력들의 현재 세트에 대한 계산된 정상 상태 출력 값에 대응한다. 도 3cb의 예에서, DFE/CTLE 유닛(362)은 복수의 레지스터(372), 복수의 디지털 승산기(374) 및 디지털 가산기(376)를 포함한다. 각각의 레지스터(372)는 상이한 시간에 상태 샘플 (y)를 기록한다. 예를 들어, 하나의 레지스터는 y[n-1]을 기록할 수 있고, 다른 레지스터는 y[n-2]를 기록할 수 있는 식이다. 레지스터들은 등화기가 이력 상태 샘플들을 기억할 수 있게 한다. 디지털 승산기들(374)은 상태 샘플을 대응하는 계수와 곱한다. 디지털 승산기들 중의 하나는, 예를 들어, 계수 c1에 상태 샘플 y[n-1]을 곱할 수 있다. 디지털 가산기(376)는 디지털 승산들의 결과들을 서로 가산한다. 그 결과, 출력 w[n]은 이력 상태 샘플들의 선형 조합을 나타낸다.Here, c i is a coefficient (either real or complex) representing the channel response. Here, M determines how many previous state samples y[n] are used to implement the equalization. M represents the number of taps of the equalizer. Here, M is a finite number, and the digital equalizer (400) implements a finite impulse response (FIR) filter. However, in other embodiments, the digital equalizer (400) may implement an infinite impulse response (IIR) filter. Each state sample y[ni] corresponds to a past (where i > 0) or present (where i = 0) digitization of the amplitude of the analog signal, and w[n] corresponds to a computed steady-state output value for the present set of digital inputs. In the example of FIG. 3cb, the DFE/CTLE unit (362) includes a plurality of registers (372), a plurality of digital multipliers (374), and a digital adder (376). Each register (372) records a state sample (y) at a different time. For example, one register may record y[n-1], another register may record y[n-2], and so on. The registers allow the equalizer to store the history state samples. The digital multipliers (374) multiply the state sample by a corresponding coefficient. One of the digital multipliers may, for example, multiply the state sample y[n-1] by the coefficient c 1 . The digital adder (376) adds together the results of the digital multiplications. As a result, the output w[n] represents a linear combination of the history state samples.

수 M(탭 수를 나타냄)은 실행시간 동안 동적으로 조정될 수 있다. 이것은 채널의 특성들을 분석하기 위해 알려진 신호를 전송하는 대신에, 시스템이 탭 수를 조정하기 위해 페이로드 자체(실제 정보를 운반하는 TX로부터 RX로 전송되는 데이터)에 의존한다는 것을 의미한다. 탭 수를 조정하는 것은 등화에 수반되는 레지스터들 및 디지털 승산기의 수를 변경하는 것을 수반한다. 또한, 계수 ci의 값은 채널의 특성에 기반하여 결정될 수 있다.The number M (representing the number of taps) can be dynamically adjusted during runtime. This means that instead of transmitting a known signal to analyze the characteristics of the channel, the system relies on the payload itself (the data transmitted from TX to RX that carries the actual information) to adjust the number of taps. Adjusting the number of taps involves changing the number of registers and digital multipliers involved in equalization. Additionally, the values of the coefficients c i can be determined based on the characteristics of the channel.

VIII. VIII. 채널 튜닝Channel Tuning

일부 실시예들은 링 또는 디스크 변조기들 및 링 또는 디스크 필터들과 같은 공진 디바이스들에 의존하는 광학 상호접속부들에 관한 것이다. 실리콘 산화물에 대한 실리콘의 높은 인덱스 콘트라스트는 매우 높은 모드 국한을 초래하여, 광학 손실들을 낮게 유지하면서 매우 작은 풋프린트들을 갖는 공진 디바이스들의 이용을 가능하게 한다. 일 예에서, 링 변조기는 105를 초과하는 품질 인자(Q)로 5μm 미만의 직경을 가질 수 있다. 공진 디바이스들이 광학 손실을 희생하지 않고 작게 만들어질 수 있기 때문에, 이들 디바이스들은 디바이스 밀도가 (본 명세서에 설명된 포토닉 인터포저들에서와 같이) 가장 중요할 때 다른 유형들의 변조기들 및 필터들에 비해 바람직하다.Some embodiments relate to optical interconnects that rely on resonant devices such as ring or disk modulators and ring or disk filters. The high index contrast of silicon relative to silicon oxide results in very high mode confinement, enabling the use of resonant devices having very small footprints while maintaining low optical losses. In one example, a ring modulator can have a diameter of less than 5 μm with a quality factor (Q) exceeding 10 5 . Because resonant devices can be made small without sacrificing optical losses, these devices are advantageous over other types of modulators and filters when device density is paramount (such as in the photonic interposers described herein).

그러나, 공진 디바이스들의 이용은 과제를 제기한다. 공진 기반 동작을 위한 전제 조건은 레이저의 출력 파장과 공진기의 공진 파장 사이의 관계가 시간에 따라 일정하게 유지된다는 것이다. 불행하게도, 레이저의 출력 파장 및 공진기의 공진 파장 양자는 열 드리프트를 겪으며, 이 현상에 의해 로컬 온도의 예측 불가능한 변화로 인해 파장이 변할 수 있다. 또한, 공진기의 공진 파장은, 특히 공진기가 광을 가두고 광속 밀도를 증가시킬 때, 실리콘에서의 2-광자 흡수와 같은 비선형 효과들을 겪을 수도 있다. 레이저의 출력 파장 및 공진기의 공진 파장이 서로에 대해 드리프트할 때, 포토닉 인터포저의 동작은 상당히 저하될 수 있다.However, the use of resonant devices poses challenges. A prerequisite for resonance-based operation is that the relationship between the output wavelength of the laser and the resonant wavelength of the resonator remains constant over time. Unfortunately, both the output wavelength of the laser and the resonant wavelength of the resonator are subject to thermal drift, which can cause the wavelength to change due to unpredictable changes in the local temperature. Furthermore, the resonant wavelength of the resonator can also experience nonlinear effects, such as two-photon absorption in silicon, especially when the resonator confines light and increases the flux density. When the output wavelength of the laser and the resonant wavelength of the resonator drift with respect to each other, the operation of the photonic interposer can be significantly degraded.

본 발명자들은 열 드리프트의 존재에도 불구하고 공진 디바이스들을 고정하는 기술들을 개발하였다. 도 3da 내지 도 3dc는 전송기의 파장을 고정시키기 위한 시퀀스를 도시한다. 도 3da에 도시된 바와 같이, 이 예의 전송기는 한 방향으로 또는 반대 방향으로 버스 도파관(410)을 따라 데이터를 전송할 수 있다. 전송기는 공진 변조기(400), 변조기 구동기(402), 히터(404), MZI(406), 모니터링 검출기들(411, 412, 413 및 414), 및 버스 도파관(410)에 결합된 공진 가산 필터(408)를 포함한다. 변조기 구동기(402)는 데이터로 변조기(400)를 구동한다. 그 결과, 레이저에 의해 제공된 광은 데이터로 변조된다. MZI의 어느 출력이 선택되는지에 따라, 변조된 광은 한 방향으로(예를 들어, 우측에서 좌측으로) 또는 반대 방향으로(예를 들어, 좌측에서 우측으로) 버스 도파관에 결합된다. 가산 필터는 버스 도파관에 가산될 데이터가 원하는 파장에 있는 것을 보장하며, 따라서 버스 도파관(410)이 WDM을 지원할 수 있게 한다. 이 예에서, 가산 필터는 관심 있는 통과대역에 걸쳐 주파수 응답을 평탄화하도록 설계된 2차 필터이다.The present inventors have developed techniques for locking resonant devices despite the presence of thermal drift. FIGS. 3Da through 3Dc illustrate a sequence for locking the wavelength of a transmitter. As illustrated in FIG. 3Da, the transmitter of this example is capable of transmitting data along a bus waveguide (410) in one or the other direction. The transmitter includes a resonant modulator (400), a modulator driver (402), a heater (404), an MZI (406), monitoring detectors (411, 412, 413, and 414), and a resonant summing filter (408) coupled to the bus waveguide (410). The modulator driver (402) drives the modulator (400) with data. As a result, light provided by the laser is modulated with data. Depending on which output of the MZI is selected, the modulated light is coupled into the bus waveguide in one direction (e.g., from right to left) or the opposite direction (e.g., from left to right). The summation filter ensures that the data to be added to the bus waveguide is at the desired wavelength, thus enabling the bus waveguide (410) to support WDM. In this example, the summation filter is a second-order filter designed to flatten the frequency response across the passband of interest.

제1 튜닝 단계가 도 3da에 도시되어 있다. 여기서, 선형 램프 형태의 신호는 히터(404)를 제어하며, 따라서 변조기(400)의 공진 주파수의 시프트를 야기한다. 변조기가 램핑됨에 따라, 제어기(도 3da에 도시되지 않음)는 검출기들(414 및 412)의 출력(예를 들어, 출력들의 합)을 모니터링한다. 검출기들의 출력이 최대화되는 포인트를 결정함으로써, 램프의 어떤 값이 변조기가 레이저에 고정되게 하는지가 결정될 수 있다. 이러한 방식으로 변조기를 스위핑하는 것은 변조기의 공진 파장이 레이저의 파장에 대해 튜닝(또는 약간만 튜닝 이탈)되는 것을 보장한다. 다음의 단계에서, 히터는 검출기(414 및 412)의 출력을 최대화하는 값에서 구동된다.The first tuning step is illustrated in FIG. 3dA. Here, a linear ramp signal controls the heater (404), thereby causing a shift in the resonant frequency of the modulator (400). As the modulator is ramped, a controller (not shown in FIG. 3dA) monitors the outputs (e.g., the sum of the outputs) of the detectors (414 and 412). By determining the point at which the outputs of the detectors are maximized, it can be determined what value of the ramp causes the modulator to lock to the laser. Sweeping the modulator in this manner ensures that the resonant wavelength of the modulator is tuned (or slightly detuned) to the wavelength of the laser. In the next step, the heater is driven at a value that maximizes the outputs of the detectors (414 and 412).

도 3db에 도시된 단계는 MZI(406)를 튜닝하는 것을 수반한다. 이 단계는 변조기의 출력 광학 전력의 100%(또는 거의 100%)가 버스 도파관의 한 방향으로 또는 다른 방향으로 전송되는 것을 보장한다. 이것은 버스 도파관의 잘못된 방향으로 데이터를 전송하는 것을 피하기 위한 것이다. 이 단계에서, 선형 램프 형태의 신호는 MZI(406)를 제어함으로써, MZI의 출력으로부터 나오는 전력 백분율의 시프트를 야기한다. MZI가 램핑됨에 따라, 제어기는 원하는 전송 방향에 따라 검출기(414) 또는 검출기(412)의 출력을 모니터링한다. 예를 들어, 원하는 방향이 우측에서 좌측으로인 경우, 제어기는 검출기(414)의 출력을 모니터링한다. 검출기(414)의 출력이 최소화되는 포인트를 결정함으로써, 모든 전력이 원하는 방향으로 전송되는 것으로 추론될 수 있다. 이와 달리, 원하는 방향이 좌측에서 우측으로인 경우, 제어기는 검출기(412)의 출력을 모니터링한다.The step illustrated in FIG. 3db involves tuning the MZI (406). This step ensures that 100% (or nearly 100%) of the modulator's output optical power is transmitted in one direction or the other of the bus waveguide. This is to avoid transmitting data in the wrong direction of the bus waveguide. In this step, a linear ramp signal controls the MZI (406), thereby causing a shift in the percentage of power coming from the output of the MZI. As the MZI is ramped, the controller monitors the output of either the detector (414) or the detector (412), depending on the desired transmission direction. For example, if the desired direction is from right to left, the controller monitors the output of the detector (414). By determining the point where the output of the detector (414) is minimized, it can be inferred that all power is transmitted in the desired direction. Alternatively, if the desired direction is from left to right, the controller monitors the output of the detector (412).

도 3dc에 도시된 단계는 가산 필터(408)를 튜닝하는 것을 수반한다. 변조기(400)에 대하여, 히터(도 3dc에 도시되지 않음)는 신호가 인가될 때 파장 변화를 야기하기 위해 가산 필터 근처에 배치될 수 있다. 필터를 튜닝하는 것은 원하는 파장이 버스 도파관 상에서 전송되는 것을 보장한다. 이 단계에서, 선형 램프 형태의 신호는 가산 필터(408) 근처의 히터를 제어함으로써, 필터의 공진 주파수에서의 시프트를 야기한다. 필터가 램핑됨에 따라, 제어기는 원하는 전송 방향에 따라 검출기(413) 또는 검출기(411)의 출력을 모니터링한다. 예를 들어, 원하는 방향이 우측에서 좌측으로인 경우, 제어기는 검출기(411)의 출력을 모니터링한다. 검출기(411)의 출력이 최소화되는 포인트를 결정함으로써, 모든 전력이 원하는 파장에서 버스 도파관에서 전송되는 것으로 추론될 수 있다. 이와 달리, 원하는 방향이 좌측에서 우측으로인 경우, 제어기는 검출기(413)의 출력을 모니터링한다. 도 3dc의 단계 후에, MZI(406)가 더 이상 적절히 튜닝되지 않은 것으로 결정되는 경우, 제어기는 도 3dc의 단계 및/또는 도 3db의 단계를 반복할 수 있다.The step illustrated in FIG. 3dc involves tuning the summation filter (408). For the modulator (400), a heater (not shown in FIG. 3dc) may be placed near the summation filter to cause a wavelength shift when a signal is applied. Tuning the filter ensures that the desired wavelength is transmitted on the bus waveguide. In this step, a linear ramp-shaped signal controls the heater near the summation filter (408), thereby causing a shift in the resonant frequency of the filter. As the filter is ramped, the controller monitors the output of either the detector (413) or the detector (411), depending on the desired transmission direction. For example, if the desired direction is from right to left, the controller monitors the output of the detector (411). By determining the point where the output of the detector (411) is minimized, it can be inferred that all power is transmitted on the bus waveguide at the desired wavelength. Alternatively, if the desired direction is from left to right, the controller monitors the output of the detector (413). If after step 3dc of FIG. 3, it is determined that the MZI (406) is no longer properly tuned, the controller may repeat step 3dc of FIG. 3 and/or step 3db of FIG.

도 3ea 및 3eb는 수신기를 튜닝하기 위한 시퀀스를 도시한다. 도 3ea에 도시된 바와 같이, 이 예의 수신기는 한 방향으로부터 또는 반대 방향으로부터 버스 도파관(410)을 따라 전송된 데이터를 수신할 수 있다. 공진 드롭 필터(420)는 수신기에 어느 파장을 전달할지를 선택하여 버스 도파관이 WDM을 지원하게 한다는 점에서 공진 가산 필터(408)의 대응부이다. 이 예에서, 드롭 필터는 관심 있는 통과대역에 걸쳐 주파수 응답을 평탄화하도록 설계된 2차 필터이다. 모니터링 검출기들(424 및 425)은 드롭 필터의 상태를 모니터링한다. MZI(426)는 데이터를 수신할 방향, 좌측으로부터인지 또는 우측으로부터인지를 결정한다. 모니터링 검출기들(434 및 435)은 MZI의 상태를 모니터링한다. 수신기(440)는 광검출기 및 전자 수신기 회로(예를 들어, 트랜스-임피던스 증폭기 및 ADC)를 포함한다.FIGS. 3ea and 3eb illustrate a sequence for tuning the receiver. As illustrated in FIG. 3ea, the receiver of this example can receive data transmitted along the bus waveguide (410) from either one direction or the opposite direction. The resonant drop filter (420) is a counterpart to the resonant sum filter (408) in that it allows the bus waveguide to support WDM by selecting which wavelength to transmit to the receiver. In this example, the drop filter is a second order filter designed to flatten the frequency response across the passband of interest. Monitoring detectors (424 and 425) monitor the state of the drop filter. The MZI (426) determines the direction in which to receive data, whether from the left or the right. Monitoring detectors (434 and 435) monitor the state of the MZI. The receiver (440) includes a photodetector and electronic receiver circuitry (e.g., a trans-impedance amplifier and an ADC).

도 3ea에 도시된 단계는 드롭 필터(420)의 튜닝을 수반한다. 변조기(400)에 대하여, 히터(도 3ea에 도시되지 않음)는 신호가 인가될 때 파장 변화를 야기하기 위해 드롭 필터 근처에 배치될 수 있다. 필터를 튜닝하는 것은 원하는 파장이 버스 도파관으로부터 수신되는 것을 보장한다. 이 단계에서, 선형 램프 형태의 신호는 히터 근접 드롭 필터(420)를 제어함으로써, 필터의 공진 주파수의 시프트를 야기한다. 필터가 램핑됨에 따라, 제어기는 원하는 전송 방향에 따라 검출기(413) 또는 검출기(411)의 출력을 모니터링한다. 예를 들어, 원하는 방향이 좌측에서 우측으로인 경우, 제어기는 검출기(425)의 출력 및/또는 검출기(424)의 출력을 모니터링한다. 검출기(425)의 출력이 최대화되고/되거나 검출기(424)의 출력이 최소화되는 포인트를 결정함으로써, 버스 도파관으로부터 수신된 모든 전력이 원하는 파장에 있다고 추론될 수 있다. 이와 달리, 원하는 방향이 우측에서 좌측으로인 경우, 제어기는 최대화될 검출기(424)의 출력 및/또는 최소화될 검출기(425)의 출력을 모니터링한다.The step illustrated in FIG. 3ea involves tuning the drop filter (420). For the modulator (400), a heater (not shown in FIG. 3ea) may be placed near the drop filter to cause a wavelength shift when a signal is applied. Tuning the filter ensures that the desired wavelength is received from the bus waveguide. In this step, a linear ramp-shaped signal controls the heater-proximate drop filter (420), thereby causing a shift in the resonant frequency of the filter. As the filter is ramped, the controller monitors the output of the detector (413) or the detector (411) depending on the desired transmission direction. For example, if the desired direction is from left to right, the controller monitors the output of the detector (425) and/or the output of the detector (424). By determining the point where the output of the detector (425) is maximized and/or the output of the detector (424) is minimized, it can be inferred that all of the power received from the bus waveguide is at the desired wavelength. In contrast, if the desired direction is from right to left, the controller monitors the output of the detector (424) to be maximized and/or the output of the detector (425) to be minimized.

도 3eb에 도시된 단계는 MZI(426)의 튜닝을 수반한다. 이 단계는 수신기에 제공되는 광학 전력의 100%(또는 거의 100%)가 한 방향 또는 다른 방향으로부터 수신되는 것을 보장한다. 이것은 버스 도파관의 잘못된 방향으로부터 데이터를 수신하는 것을 피하기 위한 것이다. 이 단계에서, 선형 램프 형태의 신호는 MZI(426)를 제어하고, 이로써 궁극적으로 RX(440)에 전송되는 MZI의 입력들로부터 수용되는 전력의 백분율들의 시프트를 야기한다. MZI가 램핑됨에 따라, 제어기는 검출기(434) 또는 검출기(435)의 출력을 모니터링한다. 검출기(435)의 출력이 최대화되고/되거나 검출기(434)의 출력이 최소화되는 포인트를 결정함으로써, 모든 전력이 원하는 방향으로부터 수신되는 것으로 추론될 수 있다.The step illustrated in FIG. 3eb involves tuning the MZI (426). This step ensures that 100% (or nearly 100%) of the optical power provided to the receiver is received from one direction or the other. This is to avoid receiving data from the wrong direction of the bus waveguide. In this step, a linear ramp signal controls the MZI (426), thereby causing a shift in the percentages of power received from the inputs of the MZI that are ultimately transmitted to the RX (440). As the MZI is ramped, the controller monitors the output of the detector (434) or detector (435). By determining the point where the output of the detector (435) is maximized and/or the output of the detector (434) is minimized, it can be inferred that all power is received from the desired direction.

전술한 파장 분할 멀티플렉싱 전송의 공진 성질을 활용하여, 본 발명자들은 수신기가 특정 전송기를 고유하게 식별하는 것을 가능하게 하기 위한 디더링을 수반하는 기술들을 추가로 개발하였다. 디더링은 비교적 느린 주파수(예를 들어, 1KHz와 1000KHz 사이)로 공진 컴포넌트를 변조하여 그 컴포넌트를 통해 전파된 신호들을 고유하게 식별하는 것을 수반한다. 일부 실시예들에서, 느린 주파수는 열-광학 히터와 같은 변조 요소에 의해 지원되어야 한다. 본질적으로, 컴포넌트는 특정 디더링 주파수의 형태의 시그니처로 신호를 마킹한다. 각각의 컴포넌트는 약간 상이한 주파수로 디더링될 수 있다. 컴포넌트 식별은 여러 컴포넌트를 직렬로 갖는 아키텍처들에서 특히 중요해진다. 일부 실시예들에서, 검출기들은 어느 전송기가 소정의 데이터를 전송했는지를 식별하기 위해 디더링 주파수들에 의존할 수 있다. 일 예에서, 디더링된 신호는 수신기의 드롭 필터를 특정한 변조기에 고정하는데 이용된다. 디더링 신호가 특정 파장의 전송과 연관된 비공진 요소들(예를 들어, 컴포넌트(406)에서와 같은 MZI들)에 인가될 수 있다는 점에 유의하는 것이 중요하다.Taking advantage of the resonant nature of the wavelength division multiplexing transmission described above, the inventors have further developed techniques involving dithering to enable a receiver to uniquely identify a particular transmitter. Dithering involves modulating a resonant component at a relatively slow frequency (e.g., between 1 KHz and 1000 KHz) to uniquely identify signals propagated through that component. In some embodiments, the slow frequency should be supported by a modulating element, such as a thermo-optic heater. Essentially, the component marks the signal with a signature in the form of a particular dithering frequency. Each component may be dithered at a slightly different frequency. Component identification becomes particularly important in architectures having multiple components in series. In some embodiments, detectors may rely on the dithering frequencies to identify which transmitter is transmitting a given piece of data. In one example, the dithered signal is used to lock a drop filter of the receiver to a particular modulator. It is important to note that the dithering signal may be applied to non-resonant elements associated with transmission of a particular wavelength (e.g., MZIs such as in component (406)).

도 3fa는 일부 실시예들에 따른, 디더링을 이용하여 수신기를 특정 전송기에 고정시키기 위한 기술을 나타낸다. 이 예에서, 다수의 전송기들 및 다수의 수신기들은 버스 도파관(410)의 길이를 따라 직렬로 결합된다. 전송기들은 가산 필터들(408)을 통해 버스 도파관에 결합되고, 수신기들은 드롭 필터들(420)을 통해 버스 도파관에 결합된다. 전송기들의 아키텍처는 도 3da에 도시된 것과 유사하고, 수신기들의 아키텍처는 도 3ea에 도시된 것과 유사하다. 이 경우에, RX 디바이스 내의 검출기들(424 및 425)에 의해 최대화/최소화될 신호들이 디더링되었다. 아날로그 회로들은 예를 들어 호모다인 또는 헤테로다인 회로들을 이용하여 특정 디더링 주파수에서 신호들을 캡처하도록 구축될 수 있으며, 여기서 국부 발진기는 RX 디바이스에 의해 로컬로 생성될 수 있다. 시리즈 내의 다른 TX-RX 전송 쌍들은 상이한 디더링 주파수를 이용할 것이다. 상이한 디더링 주파수들이 서로의 유리 분수들이 아니도록, 예를 들어 f1/f2가 유리 분수들 a/b가 아니도록 주의해야 하며, 여기서 a 및 b는 정수들이다. 따라서, 특정 TX-RX 전송 쌍과 연관된 특정 디더링 주파수에서의 신호들을 이용함으로써, TX-RX 전송 쌍 내의 그리고 그들 사이의 컴포넌트들은 올바른 쌍에 고정될 수 있다(그리고 다른 TX-RX 쌍으로부터의 신호들에 의해 혼동되지 않을 수 있다). 일부 실시예들에서, 수신기의 드롭 필터는 일부 주파수에서 변조기를 디더링한 다음 그 특정 주파수에서 신호들을 최대화/최소화하기 위해 RX 디바이스 내에 (메인 및 탭) 검출기들을 가짐으로써 특정 전송기의 변조기에 고정될 수 있다. 더구나, 일부 실시예들에서, 동일 TX-RX 전송 링크 내의 다수의 컴포넌트는 동일한 디더링 주파수를 이용하여 디더링될 수 있으며, 따라서 TX-RX 전송 링크 내의 모든 검출기들은 고정될 단일 디더링 주파수만을 생성하는 것이 필요하다. 다른 실시예들에서, 동일한 TX-RX 전송 링크 내의 상이한 컴포넌트들은 TX-RX 링크 내의 검출기들이 링크를 따라 상이한 컴포넌트들의 에러 신호들을 구별할 수 있도록 상이한 주파수들에서 디더링될 수 있다. 디더링 주파수들은 PLL을 구축하는데 통상적으로 이용되는 (MHz 체제들에서의) 크리스털 발진기들의 주파수보다 느릴 수 있다.FIG. 3fa illustrates a technique for locking a receiver to a particular transmitter using dithering, according to some embodiments. In this example, multiple transmitters and multiple receivers are coupled in series along the length of a bus waveguide (410). The transmitters are coupled to the bus waveguide via summation filters (408), and the receivers are coupled to the bus waveguide via drop filters (420). The architecture of the transmitters is similar to that illustrated in FIG. 3da, and the architecture of the receivers is similar to that illustrated in FIG. 3ea. In this case, the signals to be maximized/minimized by detectors (424 and 425) within the RX device are dithered. Analog circuits may be constructed to capture the signals at a particular dithering frequency, for example, using homodyne or heterodyne circuits, where a local oscillator may be generated locally by the RX device. Different TX-RX transmit pairs within the series will utilize different dithering frequencies. Care must be taken to ensure that the different dithering frequencies are not rational fractions of each other, for example f1/f2 are not rational fractions a/b, where a and b are integers. Thus, by utilizing signals at a particular dithering frequency associated with a particular TX-RX transmit pair, components within and between the TX-RX transmit pair can be locked to the correct pair (and not confused by signals from other TX-RX pairs). In some embodiments, the drop filter of the receiver can be locked to the modulator of a particular transmitter by dithering the modulator at some frequency and then having detectors (main and tap) within the RX device to maximize/minimize signals at that particular frequency. Furthermore, in some embodiments, multiple components within the same TX-RX transmit link can be dithered using the same dithering frequency, so that all detectors within the TX-RX transmit link need only generate a single dithering frequency to be locked to. In other embodiments, different components within a same TX-RX transmission link may be dithered at different frequencies so that detectors within the TX-RX link can distinguish error signals of different components along the link. The dithering frequencies may be slower than the frequency of the crystal oscillators (in MHz regimes) typically used to construct the PLL.

일부 실시예들에서, 특정 디더링 주파수를 식별하도록 프로그래밍된 FIR 디지털 대역통과 필터들(도 3fa에 도시되지 않음)이 검출기에 결합될 수 있다. 이러한 실시예들에서, 전송기와 수신기 사이의 고정은 특정 주파수에서 디더링되는 신호들만을 수용하도록 FIR 디지털 대역통과 필터를 프로그래밍하는 것을 수반할 수 있다.In some embodiments, FIR digital bandpass filters (not shown in FIG. 3fa) programmed to identify specific dithering frequencies may be coupled to the detector. In such embodiments, locking between the transmitter and receiver may involve programming the FIR digital bandpass filters to only accept signals that are dithered at specific frequencies.

도 3fb는 다수의 전송기-수신기 쌍들 사이의 통신을 지원하는 광학 채널을 도시한다. 전송기(TX1) 및 수신기(RX1)는 쌍을 형성하고, TX1에 의해 전송된 데이터는 RX1로 지향된다. 전송기(TX2) 및 수신기(RX2)는 다른 쌍을 형성하고, TX2에 의해 전송된 데이터는 RX2로 지향된다. 전송기(TX3) 및 수신기(RX3)는 다른 쌍을 형성하고, TX3에 의해 전송된 데이터는 RX3으로 지향된다. 전송기(TX4) 및 수신기(RX4)는 다른 쌍을 형성하고, TX4에 의해 전송된 데이터는 RX4로 지향된다. 각각의 TX-RX 쌍은 고유 디더링 주파수(TX1-RX1에 대한 f1, TX2-RX2에 대한 f2, TX3-RX3에 대한 f3 및 TX4-RX4에 대한 f4)를 이용한다. 각각의 쌍은 광학 채널들에서의 고유 파장, 즉 λ1, λ2, λ3 및 λ4를 이용할 수 있다.FIG. 3fb illustrates an optical channel supporting communication between multiple transmitter-receiver pairs. A transmitter (TX1) and a receiver (RX1) form a pair, and data transmitted by TX1 is directed to RX1. A transmitter (TX2) and a receiver (RX2) form another pair, and data transmitted by TX2 is directed to RX2. A transmitter (TX3) and a receiver (RX3) form another pair, and data transmitted by TX3 is directed to RX3. A transmitter (TX4) and a receiver (RX4) form another pair, and data transmitted by TX4 is directed to RX4. Each TX-RX pair uses a unique dithering frequency (f1 for TX1-RX1, f2 for TX2-RX2, f3 for TX3-RX3, and f4 for TX4-RX4). Each pair can utilize unique wavelengths in the optical channels, namely λ1, λ2, λ3 and λ4.

IX. IX. 중복성Redundancy

본 발명자들은 마이크로스케일 제조 프로세스들과 연관된 유한 수율들이 포토닉 인터포저들의 확장성에 부정적인 영향을 미칠 수 있다는 것을 인식하고 이해하였다. 제조 프로세스와 연관된 수율은 결함이 없는 컴포넌트를 제조된 컴포넌트의 총 수로 나눈 비율을 나타낸다. 제조 프로세스의 수율은 일반적으로 100% 미만이고, 예를 들어 장비 성능, 시스템 복잡성 및 운영자 능력을 포함하는 다양한 인자들에 기인한다.The inventors have recognized and understood that finite yields associated with microscale fabrication processes can negatively impact the scalability of photonic interposers. The yield associated with a fabrication process is the ratio of defect-free components divided by the total number of manufactured components. The yield of a fabrication process is typically less than 100% and is due to a variety of factors including, for example, equipment performance, system complexity, and operator skills.

일부 유형들의 결함들은 포토닉 회로의 기능성을 손상시킬 수 있다. 광이 이러한 결함들 중의 하나를 만날 때, 광학 전력의 부분적 또는 완전한 손실이 발생할 수 있다. 다른 유형들의 결함들은 타일의 일부인 전자 회로 및/또는 배선(예를 들어, 변조기 구동기 또는 트랜스-임피던스 증폭기)의 기능성을 손상시킬 수 있다. 전기적 신호가 이러한 결함들 중의 하나를 만날 때, 신호 감쇠 또는 완전한 손실이 발생할 수 있다.Certain types of defects can impair the functionality of a photonic circuit. When light encounters one of these defects, partial or complete loss of optical power can occur. Other types of defects can impair the functionality of electronic circuitry and/or wiring that is part of the tile (e.g., a modulator driver or a trans-impedance amplifier). When an electrical signal encounters one of these defects, signal attenuation or complete loss can occur.

A. 파이버 부착 중복성A. Fiber Attachment Redundancy

파이버 부착에서의 제한된 수율의 영향은 특히 심각할 수 있다. 파이버 부착들은 포토닉 집적 회로들(예인 포토닉 인터포저들)이 광학 파이버들을 이용하여 외부 세계와 통신하는 것을 가능하게 한다. 파이버 부착은 수동 또는 능동 프로세스들을 이용하여 수행될 수 있다. 수동 프로세스들에서, 광이 결합되고 있는지 여부 및 광이 어느 정도까지 결합되고 있는지에 대한 임의의 피드백 없이 파이버가 칩에 부착된다. 능동 프로세스들에서, 칩은 피드백을 제공하며, 이는 파이버가 칩에 고정되기 전에 광학 정렬을 개선하는데 이용될 수 있다. 능동 프로세스들은 수동 프로세스들보다 더 높은 결합 효율들을 제공하지만, 이들은 더 비싸다. 불행하게도, 양 유형의 프로세스는 유한 수율을 갖는다. 또한, 칩 운영자들은 패키지가 완전히(또는 거의 완전히) 조립될 때까지 파이버 부착물이 산출되는지 여부를 결정할 수 없다. 이것은 파이버 부착이 포토닉 집적 회로의 패키징에서의 마지막 프로세스 단계들 중에 있기 때문이다.The limited yield implications of fiber attachment can be particularly severe. Fiber attachments enable photonic integrated circuits (e.g., photonic interposers) to communicate with the outside world using optical fibers. Fiber attachment can be performed using passive or active processes. In passive processes, the fiber is attached to the chip without any feedback as to whether or not the light is being coupled and to what extent. In active processes, the chip provides feedback, which can be used to improve optical alignment before the fiber is secured to the chip. Active processes provide higher coupling efficiencies than passive processes, but they are more expensive. Unfortunately, both types of processes have finite yields. Additionally, chip operators cannot determine whether a fiber attachment has been produced until the package is fully (or nearly fully) assembled. This is because fiber attachment is one of the last process steps in packaging a photonic integrated circuit.

에지 결합을 통하든, 수직 결합을 통하든, 또는 v-홈들을 이용하든 간에, 산업 상황들에서의 수율은 다수의(16개의 또는 32개의) 파이버들을 한 번에 부착할 때 여전히 ~95%이다. 본 명세서에 설명된 유형들의 파이버 부착들은 단일 파이버(예를 들어, 단일-모드, 편광 유지, 또는 멀티-코어 파이버) 또는 파이버들의 어레이(예를 들어, v-홈 파이버 어레이 또는 파이버들의 리본)를 부착하는 것을 지칭한다. 광학적으로 상호접속된 서버들에서와 같이, 많은 파이버들을 요구하는 애플리케이션들은 더 높은 파이버 부착 수율들을 요구한다. 특정 시스템이 산출할 N개의 부착 사이트를 필요로 하는 경우, 시스템의 수율 레이트는 이고, 여기서 p는 부착 사이트가 산출할 확률이다. p ~ 90%일 때에도, N = 16에 대해 수율 레이트는 ~20%로 급격히 떨어진다. 시스템의 수율 레이트를 증가시키기 위해 더 많은 내고장성 부착 전략이 요구된다. 현재의 초점은, 부착 프로세스 동안에 더 양호한 인덱스 매칭 에폭시/접착제 또는 더 양호한 능동 정렬 등의, 새로운 팩키징 프로세스를 도입함으로써 파이버 부착 자체의 수율을 증가시키는데 있다. 그러나, 이러한 프로세스들은 종종 불충분하다.Whether via edge bonding, vertical bonding, or using v-grooves, the yield in industrial situations is still ~95% when attaching multiple (16 or 32) fibers at once. The types of fiber attachments described herein refer to attaching a single fiber (e.g., a single-mode, polarization maintaining, or multi-core fiber) or an array of fibers (e.g., a v-groove fiber array or a ribbon of fibers). Applications that require many fibers, such as optically interconnected servers, require higher fiber attachment yields. If a particular system requires N attachment sites to be produced, the throughput rate of the system is , where p is the probability that an attachment site will yield. Even when p ~ 90%, the yield rate drops sharply to ~20% for N = 16. More fault-tolerant attachment strategies are required to increase the yield rate of the system. The current focus is on increasing the yield of the fiber attachment itself by introducing new packaging processes, such as better index-matching epoxies/adhesives or better active alignment during the attachment process. However, these processes are often inadequate.

본 발명자들은 파이버 중복성을 수반하는 파이버 부착들의 수율을 증가시키는 방식을 개발하였다. 이것은 포토닉 집적 회로를 동작시키는데 필요한 것보다 더 많은 파이버 부착물들을 가짐으로써 달성될 수 있다. 제어기는, 모든 파이버 부착물들 중에서, 어느 파이버 부착물 또는 파이버 부착물들의 어느 서브세트가 보다 나은 성능을 제공하는지를 식별한다. 이들 파이버들은 칩의 동작 동안 이용되며, 다른 파이버들은 미이용으로 유지된다. 이러한 프로세스는 실시간으로 수행될 수 있고, 따라서 제어기가 동작 동안 파이버 부착물들의 품질을 계속 모니터링하는 것을 허용한다.The inventors have developed a method for increasing the throughput of fiber attachments involving fiber redundancy. This can be achieved by having more fiber attachments than are necessary to operate the photonic integrated circuit. A controller identifies which of all fiber attachments, or which subset of fiber attachments, provides better performance. These fibers are utilized during operation of the chip, while the other fibers remain unused. This process can be performed in real time, thus allowing the controller to continuously monitor the quality of the fiber attachments during operation.

도 4aa는 포토닉 회로들(902) 및 다수의 파이버 부착물들을 갖는 포토닉 집적 회로(PIC)(900)를 도시한다. PIC(900)는 예를 들어, 본 명세서에 설명된 포토닉 인터포저들 중의 임의의 것을 나타낼 수 있다. 이러한 실시예들에서, 포토닉 회로들(902)은 전술한 바와 같이 타일들, 트랜시버들 및 포토닉 상호접속부들을 포함할 수 있다. 그러나, 파이버 중복성은 임의의 유형의 PIC와 관련하여 이용될 수 있다. 각각의 부착 사이트 상에서, 파이버(908)는 광학 칩-대-파이버 커플러(906)(예를 들어, 에지 커플러, v-홈, 격자)를 통해 PIC의 도파관(907)에 결합된다. 도시된 바와 같이, 단일 파이버 부착물만을 갖는 대신에, 추가적인 k-1개의 파이버 부착물들(총 k개의 파이버 부착물들)이 제공된다. PIC 상의 광학 스위치(904)는 k개의 파이버 부착물들 중의 어느 것이 PIC의 동작에 이용될지를 선택한다. 제어기(903)는 각각의 파이버 부착물의 성능을 모니터링하고, 광학 스위치(904)의 동작을 제어한다.FIG. 4aa illustrates a photonic integrated circuit (PIC) (900) having photonic circuits (902) and a plurality of fiber attachments. The PIC (900) may represent, for example, any of the photonic interposers described herein. In such embodiments, the photonic circuits (902) may include tiles, transceivers, and photonic interconnects as described above. However, fiber redundancy may be utilized with any type of PIC. At each attachment site, a fiber (908) is coupled to a waveguide (907) of the PIC via an optical chip-to-fiber coupler (906) (e.g., an edge coupler, v-groove, grating). As illustrated, instead of having only a single fiber attachment, an additional k-1 fiber attachments are provided (for a total of k fiber attachments). An optical switch (904) on the PIC selects which of the k fiber attachments will be used for operation of the PIC. A controller (903) monitors the performance of each fiber attachment and controls the operation of the optical switch (904).

파이버 부착물의 성능을 모니터링하기 위해 상이한 스킴들이 이용될 수 있다. 일 예에서, 탭 커플러들을 이용하여 도파관들(907)에 결합된 광검출기들(909)은 도파관들에 존재하는 광학 전력을 모니터링한다(도 4aa에는 하나의 광검출기(909)만이 도시된다). 광검출기들(909)은 다양한 도파관들(907)에 존재하는 광학 전력을 나타내는 정보를 제어기(909)에 제공한다. 이 정보에 기반하여, 제어기(909)는 k개의 파이버 부착물들 중의 어느 서브세트(하나 이상의 파이버 부착물일 수 있음)가 최상의 성능을 제공하는지를 결정할 수 있다. 제어기(909)는 이어서 최상의 성능의 파이버 부착물 서브세트에 대응하는 도파관(들)을 선택하도록 광학 스위치(904)를 제어할 수 있다. 다른 예에서, 포토닉 집적 회로들(902)은 다양한 파이버 부착물들에 대응하는 채널들의 품질을 모니터링하기 위한 시스템을 포함할 수 있다. 예를 들어, 포토닉 집적 회로들(902)은 각각의 채널과 연관된 비트 에러 레이트(BER), 아이 다이어그램 품질 인자(eye diagram quality factor), 전력 및/또는 신호-대-잡음비(SNR)를 모니터링할 수 있다. 이 예에서, 제어기(909)는 순차적인 방식으로 차례로 하나의 도파관을 선택하도록 광학 스위치(904)를 제어할 수 있고, 따라서 포토닉 회로들(902)이 각각의 채널들의 품질을 개별적으로 모니터링할 수 있게 한다. 포토닉 회로들(902)은 다양한 채널들의 품질을 나타내는 정보를 제어기(909)에 제공한다. 이 정보에 기반하여, 제어기(909)는 k개의 파이버 부착물들 중의 어느 서브세트(하나 이상의 파이버 부착물일 수 있음)가 최상의 성능을 제공하는지를 결정할 수 있다. 제어기(909)는 이어서 최상의 성능의 파이버 부착물 서브세트에 대응하는 도파관(들)을 선택하도록 광학 스위치(904)를 제어할 수 있다. 파이버 부착물 성능이 최종 시스템 조립 이전에 측정될 수 있는 일부 실시예들에서, 더 나쁜 성능의 파이버 부착물 서브세트는 다른 광학 디바이스들 또는 커넥터들에 접속될 필요가 없다. 다른 실시예에서, 파이버 부착물 성능이 최종 조립 이전에 측정될 수 없는 경우, 모든 파이버 부착들이 수행될 수 있고, 서브세트 선택이 후속하여 수행될 수 있다.Different schemes may be used to monitor the performance of the fiber attachments. In one example, photodetectors (909) coupled to the waveguides (907) using tap couplers monitor the optical power present in the waveguides (only one photodetector (909) is shown in FIG. 4aa). The photodetectors (909) provide information to the controller (909) representing the optical power present in the various waveguides (907). Based on this information, the controller (909) can determine which subset of the k fiber attachments (which may be one or more fiber attachments) provides the best performance. The controller (909) can then control the optical switch (904) to select the waveguide(s) corresponding to the best performing subset of fiber attachments. In another example, the photonic integrated circuits (902) may include a system for monitoring the quality of channels corresponding to the various fiber attachments. For example, the photonic integrated circuits (902) may monitor a bit error rate (BER), an eye diagram quality factor, power, and/or a signal-to-noise ratio (SNR) associated with each channel. In this example, the controller (909) may control the optical switches (904) to sequentially select one waveguide at a time, thereby allowing the photonic circuits (902) to individually monitor the quality of each channel. The photonic circuits (902) provide information to the controller (909) indicative of the quality of the various channels. Based on this information, the controller (909) may determine which subset of the k fiber attachments (which may be one or more fiber attachments) provides the best performance. The controller (909) may then control the optical switches (904) to select the waveguide(s) corresponding to the best performing subset of fiber attachments. In some embodiments where fiber attachment performance can be measured prior to final system assembly, the worse performing subset of fiber attachments need not be connected to other optical devices or connectors. In other embodiments where fiber attachment performance cannot be measured prior to final assembly, all fiber attachments can be performed and subset selection can be performed subsequently.

도 4aa와 관련하여 설명된 중복성 스킴은 PIC(900)가 전송기로서 이용되든 수신기로서 이용되든 간에 이용될 수 있다. 도 4ab는 k개의 파이버들을 이용하여 서로 접속되는 한 쌍의 PIC들(900)을 예시하는 블록도이며, 이들 중의 일부는 중복성을 위해서만 제공된다. 하나의 PIC는 전송기로서 동작하고; 이 PIC에서, 포토닉 회로들(902)은 TX 포토닉 회로들로서 동작한다. 다른 PIC는 수신기로서 동작하고; 이 PIC에서, 포토닉 회로들(902)은 RX 포토닉 회로들로서 동작한다. 각각의 제어기들(909)은 파이버 부착물들의 품질을 모니터링하고, 최상의 성능의 파이버 부착물 서브세트에 대응하는 도파관(들)을 선택하도록 각각의 광학 스위치들(904)을 제어한다.The redundancy scheme described with respect to FIG. 4aa can be utilized whether the PIC (900) is utilized as a transmitter or a receiver. FIG. 4ab is a block diagram illustrating a pair of PICs (900) interconnected using k fibers, some of which are provided solely for redundancy. One PIC operates as a transmitter; in this PIC, the photonic circuits (902) operate as TX photonic circuits. The other PIC operates as a receiver; in this PIC, the photonic circuits (902) operate as RX photonic circuits. Each of the controllers (909) monitors the quality of the fiber attachments and controls each of the optical switches (904) to select the waveguide(s) corresponding to the best performing subset of fiber attachments.

개별 파이버 부착물이 적절하게 동작할 확률이 p인 경우, k개의 파이버 부착물 중의 적어도 하나가 파이버 중복성을 이용하여 적절하게 동작할 전체 확률은 1-(1-p)k와 동일하다. 이 양은 변함없이 p보다 크므로, 수율을 개선시킨다. 예를 들어, 산출할 16개의 기능적 파이버 부착 사이트를 필요로 하는 시스템을 고려한다. 각각의 부착 사이트에서 중복 파이버 부착물들을 이용함으로써, 파이버 부착의 성공 확률이 낮을 때에도 시스템 수율이 100%에 가깝게 증가될 수 있다. 그 결과가 도 4ac에 도시된다. 도 4ac는 각각의 사이트 상의 부착물들의 수의 함수로서 16개의 파이버 부착 사이트들을 갖는 시스템의 전체 시스템 수율(% 단위)을 예시하고 있다. 단일 부착물(x축 상에 1개)을 갖는 것은 중복 파이버 부착물들이 이용되지 않는다는 것을 의미한다. N개의 부착물(x축 상에 N개)을 갖는 것은 N-1개의 중복 파이버 부착물이 이용된다는 것을 의미한다. 이 도면으로부터 알 수 있는 바와 같이, 파이버 부착물이 적절히 동작할 초기 확률에 관계없이, 부착된 수가 증가함에 따라 전체 시스템 수율은 100%에 접근한다.If the probability that an individual fiber attachment will operate properly is p, then the overall probability that at least one of the k fiber attachments will operate properly by exploiting fiber redundancy is equal to 1-(1-p) k . Since this quantity is always greater than p, it improves throughput. For example, consider a system that requires 16 functional fiber attachment sites to be produced. By utilizing redundant fiber attachments at each attachment site, the system throughput can be increased to close to 100% even when the success probability of the fiber attachment is low. The results are shown in Fig. 4ac. Fig. 4ac illustrates the overall system throughput (in percent) for a system having 16 fiber attachment sites as a function of the number of attachments at each site. Having a single attachment (1 on the x-axis) means that no redundant fiber attachments are utilized. Having N attachments (N on the x-axis) means that N-1 redundant fiber attachments are utilized. As can be seen from this figure, the overall system throughput approaches 100% as the number of attachments increases, regardless of the initial probability that the fiber attachments will function properly.

B. 타일 중복성B. Tile Redundancy

본 발명자들은 포토닉 인터포저의 모든 타일들이 산출되지는 않을 수 있다는 것을 추가로 이해하였다. 타일들 중의 일부는, 예를 들어, 결함이 있는 전송기들, 수신기들, 상호접속부들 및/또는 스위치들을 가질 수 있다. 이것은 결함이 있는 타일 상에 장착되는 전자 칩이 이용불가능하게 될 수 있다는 점에서 네트워크의 성능에 부정적인 영향을 미칠 수 있다. 추가적으로, 포토닉 인터포저 상에 장착되는 모든 전자 칩들이 산출되지는 않을 수 있다. 이러한 문제들을 제거하기 위해, 본 발명자들은 타일 중복성을 수반하는 스킴을 개발하였다.The inventors further understand that not all tiles of a photonic interposer may be produced. Some of the tiles may, for example, have defective transmitters, receivers, interconnects and/or switches. This may negatively impact the performance of the network in that electronic chips mounted on a defective tile may become unavailable. Additionally, not all electronic chips mounted on a photonic interposer may be produced. To eliminate these problems, the inventors have developed a scheme involving tile redundancy.

도 4b는 그 중 하나가 중복성을 위해 제공되는, 다수의 타일을 갖는 포토닉 인터포저들을 도시한다. 전자 칩들(911, 912, 913, 914, 915 및 916)은 각각의 타일들에 대응하여 포토닉 인터포저(20) 상에 장착된다. 선택적으로, 추가적인 전자 칩(917)이 중복 타일 상에 배치될 수 있다. 칩(917)은 또한 다른 전자 칩들 중의 하나가 적절히 동작하지 않는 경우에 중복성을 위해 제공될 수 있다. 예를 들어, 칩(917)은 다른 칩들 중의 하나의 사본일 수 있다. 이 예에서, 칩(914)에 대응하는 타일은 산출되지 않는다. 이에 응답하여, 포토닉 인터포저는 중복 타일과 비-산출 타일을 기능적으로 스왑하도록 재구성될 수 있다. 전술한 프로그래밍가능한 상호접속부들을 활용하여, 비-산출 타일로 지향되는 광학 신호들이 중복 타일로 재지향될 수 있다. 선택적으로, 칩(914) 대신에 중복 칩(917)이 이용될 수 있다.FIG. 4b illustrates photonic interposers having multiple tiles, one of which is provided for redundancy. Electronic chips (911, 912, 913, 914, 915, and 916) are mounted on the photonic interposer (20) corresponding to each tile. Optionally, an additional electronic chip (917) may be placed on the redundant tile. The chip (917) may also be provided for redundancy in case one of the other electronic chips is not functioning properly. For example, the chip (917) may be a copy of one of the other chips. In this example, the tile corresponding to chip (914) is not produced. In response, the photonic interposer may be reconfigured to functionally swap the redundant tile and the non-producing tile. Utilizing the programmable interconnects described above, optical signals directed to the non-producing tile may be redirected to the redundant tile. Optionally, a duplicate chip (917) may be used instead of the chip (914).

일부 실시예들에서, 웨이퍼-레벨 테스트는 포토닉 웨이퍼의 타일들이 산출되는지 여부를 결정하는데 이용될 수 있다. 이 접근법은 운영자들이 웨이퍼의 별개의 부분들을 개별적으로 테스트할 필요 없이 웨이퍼의 품질을 결정할 수 있게 한다. 이 접근법의 단점은, 일단 웨이퍼의 특정 부분이 특정 이용을 위해 설계되었다면, 그 웨이퍼 부분의 타일들은 그것들이 산출되든 아니든 간에 포토닉 인터포저의 일부로서 궁극적으로 패키징된다는 것이다.In some embodiments, wafer-level testing can be used to determine whether tiles of a photonic wafer are yieldable. This approach allows operators to determine the quality of a wafer without having to individually test separate portions of the wafer. A disadvantage of this approach is that once a particular portion of a wafer is designed for a particular application, tiles of that wafer portion are ultimately packaged as part of a photonic interposer, whether or not they are yieldable.

일부 실시예들에서, 타일들의 성능은 동작 동안 실시간으로 모니터링될 수 있다. 이것은 전력 모니터링 그리드를 이용하여 달성될 수 있고, 그 예가 도 4c에 도시되어 있다. 전력 모니터링 그리드는 포토닉 인터포저의 다양한 위치들에 배치된 다수의 광검출기(예를 들어, 도 4aa의 광검출기(909))를 포함한다. 광검출기들은 탭 커플러들을 통해 다양한 포토닉 컴포넌트들에 결합될 수 있다. 전력 모니터링 그리드를 활용하면, 예를 들어 특정 타일이 예상대로 동작하고 있지 않다고 결정할 수 있다. 이 정보를 이용하여, 시스템은 그 타일을 중복 타일들 중의 하나와 기능적으로 스왑하기 위해 그 자체를 재구성하기로 결정할 수 있다. 이 동작은 포토닉 인터포저의 동작 동안 수행될 수 있다.In some embodiments, the performance of the tiles can be monitored in real time during operation. This can be accomplished using a power monitoring grid, an example of which is illustrated in FIG. 4c. The power monitoring grid includes a number of photodetectors (e.g., photodetector (909) of FIG. 4aa) positioned at various locations in the photonic interposer. The photodetectors can be coupled to various photonic components via tap couplers. Using the power monitoring grid, it can be determined, for example, that a particular tile is not operating as expected. Using this information, the system can decide to reconfigure itself to functionally swap that tile with one of the redundant tiles. This operation can be performed during operation of the photonic interposer.

X. X. 격자 기반 패키지들의 제조Manufacturing of grid-based packages

본 명세서에 설명된 포토닉 인터포저들은 광이 레이저들 또는 다른 유형들의 소스들에 의해 제공되든지 간에, 광이 동작할 것을 요구한다. 불행하게도, 실리콘의 열악한 광학 방출 레이트로 인해, 레이저들을 포토닉 인터포저와 모놀리식으로 집적하는 것은 어렵다. 대신에, (인터포저와 동일한 보드 상에 또는 동일한 패키지 상에 놓이는) 외부 레이저를 이용하고, 외부 레이저에 의해 방출된 광을 칩 내로 지향시키는 것이 종종 더 실용적이다. 이것은 에지 결합 또는 표면 결합을 통해 수행될 수 있다. 에지 결합은 칩의 측면 표면들 중의 하나를 통해 파이버로부터 도파관으로 광학 모드들을 결합시키는 것을 수반한다. 대조적으로, 표면 결합은 칩의 상단 표면을 통해 파이버로부터 도파관으로 광학 모드들을 결합시키는 것을 수반한다. 상단 표면의 평면 외부로부터 오는 광을 칩의 상단 표면에 평행하게 연장되는 도파관들로 조종하기 위해, 격자 커플러들이 종종 이용된다. 격자 커플러들은 포토닉 칩의 상단 표면에 또는 상단 표면 바로 아래에 형성되는 평면 구조들이다.The photonic interposers described herein require light to operate, whether the light is provided by lasers or other types of sources. Unfortunately, due to the poor optical emission rate of silicon, it is difficult to monolithically integrate lasers with a photonic interposer. Instead, it is often more practical to use an external laser (either on the same board as the interposer or in the same package) and direct the light emitted by the external laser into the chip. This can be done via edge coupling or surface coupling. Edge coupling involves coupling optical modes from a fiber to a waveguide through one of the side surfaces of the chip. In contrast, surface coupling involves coupling optical modes from a fiber to a waveguide through the top surface of the chip. To steer light from outside the plane of the top surface into waveguides that extend parallel to the top surface of the chip, grating couplers are often used. Grating couplers are planar structures formed on or just below the top surface of the photonic chip.

본 발명자들은 칩의 상단 표면 상의 입자들 또는 다른 유형의 파편의 존재가 파이버-격자 결합 효율에 부정적인 영향을 미칠 수 있다는 것을 인식하고 이해하였다. 이는 입자들이 산란을 생성할 수 있기 때문이다. 불행하게도, 입자가 없는 동작은 격자의 형성 후에 그러나 파이버가 칩의 상단 표면에 부착되기 전에 일어나는 다양한 제조 단계들로 인해 달성하기 어렵다.The inventors have recognized and understood that the presence of particles or other types of debris on the top surface of the chip can negatively affect the fiber-to-grating coupling efficiency. This is because the particles can create scattering. Unfortunately, particle-free operation is difficult to achieve due to the various fabrication steps that occur after the formation of the grating but before the fibers are attached to the top surface of the chip.

본 발명자들은 포토닉 칩의 상단 표면 상의 입자들 또는 다른 파편들의 축적을 제한하여, 개선된 파이버-격자 효율로 이어지는 제조 프로세스들을 개발하였다. 일부 실시예들에서, 이것은 원하지 않는 입자들을 생성할 가능성이 더 많은 프로세스 단계들 동안 격자들이 보호되도록 위치된 임시 보호 층을 형성함으로써 달성될 수 있다. 일단 이들 프로세스 단계들이 완료되면, 임시 보호 층이 제거되어, 후속 파이버 부착을 위해 격자를 공기에 노출시킬 수 있다. 임시 보호 층들은 전자 칩들(예를 들어, ASIC들)이 포토닉 인터포저에 본딩되기 전 또는 후에 형성될 수 있다. 보호 층들의 예들은 포토-이미징가능한 유전체들(예를 들어, 폴리이미드 또는 레지스트) 및 유리(예를 들어, UV-분리가능한 접착제를 가짐)를 포함한다. 다른 재료들이 또한 가능하다. 또한, 일부 실시예들에서, 입자가 없는 처리는, 격자 커플러와 결코 접촉하지 않고 전자 칩을 캡슐화하도록 설계된 맞춤형 몰딩 프로세스를 이용함으로써 달성될 수 있다.The inventors have developed fabrication processes that limit the accumulation of particles or other debris on the top surface of the photonic chip, leading to improved fiber-to-grating efficiency. In some embodiments, this can be accomplished by forming a temporary protective layer positioned so that the gratings are protected during process steps that are more likely to generate unwanted particles. Once these process steps are complete, the temporary protective layer can be removed, exposing the grating to air for subsequent fiber attachment. The temporary protective layers can be formed before or after the electronic chips (e.g., ASICs) are bonded to the photonic interposer. Examples of protective layers include photo-imageable dielectrics (e.g., polyimide or resist) and glass (e.g., with a UV-releasable adhesive). Other materials are also possible. Additionally, in some embodiments, particle-free processing can be accomplished by utilizing a custom molding process designed to encapsulate the electronic chip without ever contacting the grating coupler.

도 5a는 일부 실시예들에 따른, 포토닉 인터포저 상에 형성된 격자 커플러에 결합된 파이버를 나타내는 개략도이다. 포토닉 인터포저(1130)의 상단 표면 상에 형성된 격자 커플러(1110) 위에 파이버(1120)가 배치된다. 파이버(1120)는 포토닉 인터포저(1130)의 표면에 대해 0이 아닌 각도로 있다. 이 예에서, 파이버는 또한 인터포저의 상단 표면에 수직인 축에 대해 0이 아닌 각도로 있지만, 일부 실시예들에서 파이버는 수직 축에 평행할 수 있다. 파이버(1120)의 파이버 코어(1122)로부터 나오는 광은 격자 커플러(1110)에 결합된다. 이어서, 격자는 광을 도파관(1121)으로 전달한다.FIG. 5A is a schematic diagram illustrating a fiber coupled to a grating coupler formed on a photonic interposer according to some embodiments. A fiber (1120) is disposed over a grating coupler (1110) formed on a top surface of a photonic interposer (1130). The fiber (1120) is at a non-zero angle with respect to a surface of the photonic interposer (1130). In this example, the fiber is also at a non-zero angle with respect to an axis perpendicular to the top surface of the interposer, although in some embodiments the fiber may be parallel to the vertical axis. Light from a fiber core (1122) of the fiber (1120) is coupled to the grating coupler (1110). The grating then transmits the light to a waveguide (1121).

도 5ba는, 일단 웨이퍼로부터 다이싱되면, 포토닉 인터포저들로서 이용될 수 있는 포토닉 회로들을 형성하도록 패터닝된 웨이퍼(1130)의 상면도이다. 전자 칩(1210)은 포토닉 인터포저(들) 상에 장착된다. 전자 칩들은 몰드 화합물들을 이용하여 형성될 수 있는 캡슐화 재료(1220)에 의해 캡슐화된다. 영역들(1230)은 웨이퍼의 상단 표면 상에 형성된 격자 커플러들을 포함한다. 후속 파이버 부착을 허용하기 위해, 이러한 영역들은 캡슐화 재료에 의해 커버되지 않는다.FIG. 5BA is a top view of a wafer (1130) patterned to form photonic circuits that may be utilized as photonic interposers, once diced from the wafer. Electronic chips (1210) are mounted on the photonic interposer(s). The electronic chips are encapsulated by an encapsulating material (1220), which may be formed using mold compounds. Regions (1230) include grating couplers formed on the top surface of the wafer. To allow for subsequent fiber attachment, these regions are not covered by the encapsulating material.

도 5bb는 x-z 평면에서 y축으로부터의 도 5ba의 웨이퍼의 일부를 도시하는 측단면도이다. 이 도면은 포토닉 인터포저의 상단 표면에 장착된 복수의 전자 칩을 도시한다. 전자 칩들은 캡슐화 재료(1220)에 의해 캡슐화된다. 영역들(1230)은 공기에 노출된다.FIG. 5bb is a cross-sectional side view of a portion of the wafer of FIG. 5ba taken from the y-axis in the x-z plane. The drawing depicts a plurality of electronic chips mounted on a top surface of a photonic interposer. The electronic chips are encapsulated by an encapsulating material (1220). Regions (1230) are exposed to air.

도 5ca는 다이싱된 후의 도 5bb의 포토닉 인터포저를 도시한다. 영역들(1230)은 공기에 노출된 채로 남아 있어서, 파이버들이 후속 단계에서 부착될 수 있다. 포토닉 인터포저의 하단 표면 상에 형성된 접속부들(1310)은 회로 보드(1340)와 포토닉 인터포저의 접속을 허용한다. 접속부들(1310)의 예들은 볼 그리드 어레이들(BGA), 구리 필러들, C4 범프들, 핀들 등을 포함한다.FIG. 5ca illustrates the photonic interposer of FIG. 5bb after dicing. Regions (1230) are left exposed to air so that fibers can be attached in a subsequent step. Connections (1310) formed on the bottom surface of the photonic interposer allow for connection of the photonic interposer to a circuit board (1340). Examples of the connections (1310) include ball grid arrays (BGA), copper pillars, C4 bumps, pins, and the like.

도 5cb는 인터포저(1130)의 상단 표면에 파이버(1120)가 부착된 후의 패키지의 측단면도이다. 파이버가 부착될 때, 파이버 코어는 격자 커플러와 광학적으로 결합된다. 이 도면에서, 인터포저(1130)는 언더필(1330)을 통과하는 접속부들(1310)을 통해 인쇄 회로 보드(PCB)(1340) 상에 장착된다. 열 확산기와 같은 캡(1320)이 전자 칩들의 상단 상에 배치된다. 파이버(1120)는 조종 광학 컴포넌트(1350)를 통해 패키지의 일 측면 상에서 격자 커플러에 결합되고, 조종 광학 컴포넌트는 파이버 내부에서 전파하는 광을 포토닉 인터포저의 상단 표면에 대해 0이 아닌 각도로 있는 방향으로 조종하여, 파이버 모드가 격자에 결합되게 한다.FIG. 5cb is a cross-sectional side view of the package after a fiber (1120) is attached to the top surface of the interposer (1130). When the fiber is attached, the fiber core is optically coupled to the grating coupler. In this drawing, the interposer (1130) is mounted on a printed circuit board (PCB) (1340) via connectors (1310) that pass through an underfill (1330). A cap (1320), such as a heat spreader, is placed on top of the electronic chips. The fiber (1120) is coupled to the grating coupler on one side of the package via a steering optic component (1350), which steers light propagating within the fiber in a direction that is at a non-zero angle with respect to the top surface of the photonic interposer, such that the fiber mode couples to the grating.

도 5d, 도 5e 및 도 5f는 패키징된 포토닉 인터포저를 제조하기 위한 다양한 프로세스들을 예시하는 흐름도들이다. 이러한 제조 프로세스들은 파이버 격자들에 대응하여 입자 또는 파편 축적을 방지(또는 적어도 제한)하도록 설계되며, 따라서 저손실 고효율 파이버-격자 결합을 가능하게 한다. 이하에서 더 상세히 논의되는 바와 같이, 도 5d 및 도 5e의 프로세스들은 임시 보호 층들을 수반한다. 도 5f의 프로세스는 맞춤형 몰딩 프로세스를 수반한다.Figures 5d, 5e, and 5f are flowcharts illustrating various processes for fabricating a packaged photonic interposer. These fabrication processes are designed to prevent (or at least limit) particle or debris accumulation relative to the fiber gratings, thereby enabling low-loss, high-efficiency fiber-to-grid bonding. As discussed in more detail below, the processes of Figures 5d and 5e involve temporary protective layers. The process of Figure 5f involves a custom molding process.

먼저 도 5d를 참조하면, 이 제조 프로세스는, 하나 이상의 격자 커플러로 패터닝된 포토닉 인터포저(1130)(예를 들어, 도 1cb의 포토닉 인터포저 또는 본 명세서에 설명된 포토닉 인터포저들 중의 임의의 것)를 획득하고, 보호 재료(1438)로 (격자들이 패터닝되는) 영역들(1230)을 커버하는 것을 수반하는, 패키징 설비에서의 단계 4A에서 시작한다. 그 결과, 격자 커플러들이 커버된다. 포토닉 인터포저는 반도체 파운드리로부터 패키징 설비에 수용될 수 있고, 여기서 웨이퍼는 격자 커플러를 포함하는 포토닉 및 전자 회로들로 패터닝된다. 보호 재료(1438)에 이용될 수 있는 재료의 예는 포토-이미징가능한 막(PIF)(예를 들어, 폴리이미드 또는 포토레지스트)을 포함한다. 단계 4B에서, 전자 칩들(1210)(예를 들어, ASIC들, 프로세서들, 메모리들 등)이 포토닉 인터포저 상에 배치된다. 단계 4C에서, 전자 칩들(1210)은 대량 리플로우 및 웨이퍼 레벨 몰드 언더필(WL MUF)과 같은 프로세스를 통해 캡슐화 재료(1220)로 캡슐화된다. 단계 4A에서 도포된 보호 막은 단계 4C에서 격자 커플러들 상의 몰드 화합물의 커버리지를 허용하지 않으며, 후속 백그라인딩 및 CMP 프로세스 단계 4D 동안 방출되는 모든 오염물질들 및 미립자들로부터의 격자 커플러들의 청결도를 추가로 보존한다는 점에 유의해야 한다. 캡슐화는 칩들에 대한 보호를 제공하고, TSV 노출 프로세스 단계들의 후속 형성을 가능하게 한다. 단계 4D에서, 캡슐화 재료는 평탄화(예를 들어, CMP) 또는 백그라인딩을 통해 전자 칩들의 상단으로부터 제거되어, (플립핑 단계 후에) 단계 4E에서 발생하는 캐리어 마운트(1638)의 부착을 가능하게 한다. 단계 4F에서, 접속부들(1310)(예를 들어, BGA)은 TSV 노출 프로세스 후에 포토닉 인터포저의 하단 표면에 부착된다. 인터포저에 대한 접속부들(1310)의 부착은 입자들 또는 다른 파편을 생성할 수 있다는 점에 유의해야 한다. 그러나, 이 부착 단계는 격자 커플러가 보호 재료(1438)에 의해 커버될 시점에 발생한다. 그 결과, 부착 단계 동안 생성된 입자들 또는 파편은 격자 커플러들이 패터닝되는 상단 표면의 청결도에 영향을 미치지 않는다. 단계 4G에서, 캐리어 마운트(1638)가 전자 칩들의 상단으로부터 제거된다. 단계 4H에서, UV 분리가능한 접착 테이프(1330)(예를 들어, 다이싱 테이프)가 도포된다. 단계 4I에서, 보호 재료(1438)는 포토닉 인터포저의 상단 표면으로부터 제거되어, 격자 커플러들을 공기에 노출된 채로 남긴다. 선택적으로, 세정 단계는 파이버 부착 및 광 결합을 위한 표면 청결도를 보장하기 위해 플라즈마 프로세스를 이용하여 수행될 수 있다. 단계 4J에서, 포토닉 인터포저는, 예를 들어 스텔스 다이싱 또는 기계적 톱에 의해, 하나 이상의 전자 칩 및 하나 이상의 격자 커플러를 각각 포함하는 복수의 시스템으로 분리된다. 단계 4K에서, 포토닉 인터포저는 대량 리플로우(mass reflow), CUF(capillary underfill), 및 UF(Urea-formaldehyde) 수지 경화와 같은 프로세스들에 의해 회로 보드(1340)에 부착된다. 또한, 캡(1320)이 전자 칩들에 부착되고, 여기서 캡은 열 확산기의 역할을 할 수 있다. 또한, O/S(Open/Short) 테스트가 수행되어 포토닉 인터포저와 회로 보드 사이의 양호한 전기적 접속을 보장한다. 마지막으로, 파이버(1120)가 인터포저의 상단 표면에 부착된다. 그 결과, 파이버는 격자 커플러에 광학적으로 결합된다.Referring first to FIG. 5D , the fabrication process begins at step 4A in a packaging facility, which involves obtaining a photonic interposer (1130) patterned with one or more grating couplers (e.g., the photonic interposer of FIG. 1Cb or any of the photonic interposers described herein) and covering regions (1230) (where the gratings are patterned) with a protective material (1438). As a result, the grating couplers are covered. The photonic interposer can be received from a semiconductor foundry into a packaging facility, where a wafer is patterned with photonic and electronic circuits including the grating couplers. Examples of materials that can be used for the protective material (1438) include a photo-imageable film (PIF) (e.g., polyimide or photoresist). In step 4B, electronic chips (1210) (e.g., ASICs, processors, memories, etc.) are placed on the photonic interposer. In step 4C, the electronic chips (1210) are encapsulated with an encapsulating material (1220) via a process such as mass reflow and wafer level mold underfill (WL MUF). It should be noted that the protective film applied in step 4A does not allow coverage of the mold compound on the grating couplers in step 4C, and further preserves the cleanliness of the grating couplers from any contaminants and particulates released during the subsequent backgrinding and CMP process step 4D. The encapsulation provides protection to the chips and enables subsequent formation of the TSV exposure process steps. In step 4D, the encapsulating material is removed from the top of the electronic chips via planarization (e.g., CMP) or backgrinding to enable attachment of the carrier mount (1638) which occurs in step 4E (after the flipping step). In step 4F, the connectors (1310) (e.g., BGA) are attached to the bottom surface of the photonic interposer after the TSV exposure process. It should be noted that attachment of the connectors (1310) to the interposer may generate particles or other debris. However, this attachment step occurs at a time when the grating couplers are covered by the passivation material (1438). As a result, any particles or debris generated during the attachment step do not affect the cleanliness of the top surface on which the grating couplers are patterned. In step 4G, the carrier mount (1638) is removed from the top of the electronic chips. In step 4H, a UV releasable adhesive tape (1330) (e.g., dicing tape) is applied. In step 4I, the passivation material (1438) is removed from the top surface of the photonic interposer, leaving the grating couplers exposed to air. Optionally, a cleaning step may be performed using a plasma process to ensure surface cleanliness for fiber attachment and optical coupling. In step 4J, the photonic interposer is separated into a plurality of systems, each including one or more electronic chips and one or more grating couplers, for example, by stealth dicing or a mechanical saw. In step 4K, the photonic interposer is attached to a circuit board (1340) by processes such as mass reflow, capillary underfill (CUF), and urea-formaldehyde (UF) resin curing. Additionally, caps (1320) are attached to the electronic chips, where the caps may act as heat spreaders. Additionally, an Open/Short (O/S) test is performed to ensure good electrical connection between the photonic interposer and the circuit board. Finally, a fiber (1120) is attached to the top surface of the interposer. As a result, the fiber is optically coupled to the grating coupler.

도 5e는 일부 실시예들에 따른, 포토닉 패키지를 제조하기 위한 대안적인 방법을 예시하는 흐름도이다. 도 5e의 방법은 일부 측면들에서 도 5d의 방법과 유사하다. 주요 차이점은 칩들을 포토닉 인터포저에 부착한 후 그리고 캡슐화 단계 후에 보호 재료(1538)가 형성된다는 것이다. 단계 5A는 하나 이상의 격자 커플러로 패터닝된 포토닉 인터포저(1130)를 획득하고, 인터포저 상에 칩들(1210)을 배치하는 것을 수반한다. 단계 5B에서, 전자 칩들(1210)은 대량 리플로우 및 웨이퍼 레벨 몰드 언더필(WL MUF)과 같은 프로세스들을 통해 캡슐화 재료(1220)로 캡슐화된다. 전술한 바와 같이, 캡슐화는 칩들에 대한 보호를 제공하며, TSV 노출 프로세스 단계들의 후속 형성을 가능하게 한다. 단계 5C에서, 보호 재료(1538)(예컨대, UV-분리가능한 접착제를 갖는 유리 덮개)가 격자 커플러들이 형성되는 인터포저의 영역들 상에 배치된다. 보호 유리의 부착은 후속 백그라인딩 및 CMP 프로세스 단계 5D 동안 방출되는 모든 오염물질들 및 미립자들로부터의 격자 커플러들의 청결도를 보존한다. 단계 5D에서, 상단 표면은 평탄화되거나 백그라인딩된다. 단계 5E에서, 인터포저는 플립되고, 접속부들(1310)(예를 들어, BGA)은 TSV 노출 프로세스 후에 포토닉 인터포저의 하단 표면에 부착된다. 위에서 언급된 바와 같이, 인터포저에의 접속부들(1310)의 부착은 입자들 또는 다른 파편을 생성할 수 있다. 그러나, 이러한 부착 단계는 격자 커플러들이 보호 재료(1538)에 의해 커버될 시점에 발생하며, 이에 의해 격자 커플러들이 패터닝되는 상단 표면의 청결도를 보존한다. 단계 5F에서, 보호 재료(1538)가 제거되고, 따라서 격자 커플러들을 공기에 노출시킨다. 선택적으로, 플라즈마 세정이 포토닉 인터포저의 상단 표면 상에서 수행된다. 후속 단계들은 파이버 부착을 포함하여 도 5d에 도시된 것들과 유사하다.FIG. 5E is a flow chart illustrating an alternative method for fabricating a photonic package, according to some embodiments. The method of FIG. 5E is similar in some aspects to the method of FIG. 5D . The main difference is that the protective material (1538) is formed after attaching the chips to the photonic interposer and after the encapsulation step. Step 5A involves obtaining a photonic interposer (1130) patterned with one or more grating couplers and placing chips (1210) on the interposer. In step 5B, the electronic chips (1210) are encapsulated with an encapsulation material (1220) via processes such as mass reflow and wafer level mold underfill (WL MUF). As described above, the encapsulation provides protection for the chips and enables subsequent formation of TSV exposure process steps. In step 5C, a protective material (1538) (e.g., a glass cover having a UV-releasable adhesive) is placed over the areas of the interposer where the grating couplers are formed. Attachment of the protective glass preserves the cleanliness of the grating couplers from any contaminants and particles released during the subsequent backgrinding and CMP process step 5D. In step 5D, the top surface is planarized or background. In step 5E, the interposer is flipped and the connectors (1310) (e.g., BGAs) are attached to the bottom surface of the photonic interposer after the TSV exposure process. As noted above, attachment of the connectors (1310) to the interposer may generate particles or other debris. However, this attachment step occurs at a time when the grating couplers are covered by the protective material (1538), thereby preserving the cleanliness of the top surface where the grating couplers are patterned. In step 5F, the protective material (1538) is removed, thus exposing the grating couplers to air. Optionally, plasma cleaning is performed on the top surface of the photonic interposer. Subsequent steps are similar to those depicted in FIG. 5D, including fiber attachment.

도 5f는 일부 실시예들에 따른, 포토닉 패키지를 제조하기 위한 다른 대안적인 방법을 예시하는 흐름도이다. 도 5f의 방법은 보호 재료들이 격자 커플러들을 커버하는데 이용되지 않는다는 점에서 도 5d 및 도 5e의 방법들과 상이하다. 대신, 캡슐화 단계에 의해 야기되는 파편으로 격자 커플러들을 커버하고 오염시키는 것을 피하면서 전자 칩을 캡슐화하기 위해 맞춤형 설계 몰딩 프로세스가 수행된다. 후속 단계들은 도 5d와 관련하여 설명된 것들과 유사하다.FIG. 5f is a flow chart illustrating another alternative method for fabricating a photonic package, according to some embodiments. The method of FIG. 5f differs from the methods of FIGS. 5d and 5e in that no protective materials are used to cover the grating couplers. Instead, a custom designed molding process is performed to encapsulate the electronic chip while avoiding covering and contaminating the grating couplers with debris caused by the encapsulation step. Subsequent steps are similar to those described with respect to FIG. 5d.

단계 6A에서, 칩들(1210)은 인터포저(1130) 상에 장착된다. 단계 6B에서, 캡슐화 재료(1120)는 영역들(1230)을 커버하는 것을 피하는 방식으로 맞춤형 몰딩 프로세스를 이용하여 형성된다. 그 결과, 그렇지 않으면 격자 커플러들의 청결도에 영향을 미칠 몰딩 단계에 의해 생성되는 오염이 방지된다(또는 적어도 제한된다). 그러므로, 프로세스는 격자 커플러들의 상단 상에 불순물들을 남기는 것을 피한다. 단계 6C에서, 패키지의 상단 표면이 평탄화되거나 백그라인딩된다. 단계 6D에서, 패키지는 캐리어 마운트(1638) 상에 장착된다. 단계 6E에서, TSV 노출 프로세스 후에 디바이스가 플립되고, 접속부들(1310)이 인터포저의 하단 표면에 부착된다. 단계 6F에서, 캐리어 마운트(1638)가 제거된다. 단계 6G에서, 인터포저가 다이싱된다. 단계 6H에서, 파이버가 격자 커플러에 결합되도록 인터포저의 상단 표면에 부착된다.In step 6A, chips (1210) are mounted on the interposer (1130). In step 6B, encapsulation material (1120) is formed using a custom molding process in a manner that avoids covering the regions (1230). As a result, contamination generated by the molding step that would otherwise affect the cleanliness of the grid couplers is prevented (or at least limited). Therefore, the process avoids leaving impurities on the top of the grid couplers. In step 6C, the top surface of the package is planarized or background. In step 6D, the package is mounted on a carrier mount (1638). In step 6E, after the TSV exposure process, the device is flipped and the connectors (1310) are attached to the bottom surface of the interposer. In step 6F, the carrier mount (1638) is removed. In step 6G, the interposer is diced. At step 6H, the fiber is attached to the top surface of the interposer to be coupled to the grating coupler.

XI. XI. 전력 분배Power distribution

도 5g는 일부 실시예들에 따른, 전력 전달 시스템을 포함하는 회로 보드 상에 장착된 포토닉 패키지의 측단면도이다. 도 5g의 패키지는 회로 보드(1740), 소켓(1730), 기판(1720), 포토닉 인터포저(1714), 전자 칩들(1712), 덮개(1732), 냉각판(1734), 전압 조정기 모듈들(VRM)(1750), 접속부들(1752) 및 전력 버스들(1754)을 포함한다. 포토닉 인터포저(1714) 및 포토닉 인터포저 상에 장착된 전자 칩들(1712)은 위에서 상세히 설명된 인터포저들 및 칩들과 유사한 특성들을 갖는다. 덮개(1732)는 전자 칩을 커버하고 전자 칩과 열 접촉하여 배치된다. 덮개(1732) 및 덮개의 상단 상에 위치된 냉각판(1734)은 전자 칩들에 의해 생성된 열을 패키지 외부로 전달한다. 도 5g에서 알 수 있는 바와 같이, 포토닉 인터포저(1714)는 기판(1720)(예를 들어, 유기 기판) 상에 배치되고, 기판(1720)은 소켓(1730) 상에 배치된다. 소켓(1730)은 회로 보드(1740)의 상단 표면 상에 추가로 배치된다.FIG. 5G is a cross-sectional side view of a photonic package mounted on a circuit board including a power delivery system according to some embodiments. The package of FIG. 5G includes a circuit board (1740), a socket (1730), a substrate (1720), a photonic interposer (1714), electronic chips (1712), a lid (1732), a cooling plate (1734), voltage regulator modules (VRMs) (1750), connectors (1752), and power buses (1754). The photonic interposer (1714) and the electronic chips (1712) mounted on the photonic interposer have similar characteristics to the interposers and chips described in detail above. The lid (1732) covers the electronic chips and is positioned in thermal contact with the electronic chips. The lid (1732) and a cooling plate (1734) positioned on top of the lid transfer heat generated by the electronic chips to the outside of the package. As can be seen in FIG. 5g, a photonic interposer (1714) is disposed on a substrate (1720) (e.g., an organic substrate), and the substrate (1720) is disposed on a socket (1730). The socket (1730) is additionally disposed on a top surface of a circuit board (1740).

도 5g의 패키지는 전력 버스들(1754), VRM들(1750) 및 접속부들(1752)에 의존하여 포토닉 인터포저 및 전자 칩들에 전력을 전달한다. 도 5ha에 도시된 바와 같이, VRM은 전력 버스들로부터 전력을 수신하고, 전자 칩으로부터 초래되는 부하들에 의해 야기되는 허용가능한 값을 넘는 전압 변동들을 피하기 위해 조정된 전력 출력들을 전자 컴포넌트들에 제공한다. 본 발명자들은, VRM들 및 전력 버스들이 인터포저 근처의 회로 보드 상에 배치되는 구현들에 비해, 회로 보드(1740)의 하단 표면 상에(인터포저에 대해 회로 보드의 대향 측 상에) 장착되는 VRM들(1750) 및 전력 버스들(1754)을 갖는 것이 회로 보드(1740)의 측방향 연장에서의 감소를 가능하게 하고, 이에 의해 전력 전달을 위한 더 긴 측방향 경로들로부터 달리 초래될 전력 손실들을 감소시킨다는 점을 이해하였다. 그 결과, 설계는 더 콤팩트하고 다른 전자 시스템들과 통합하기가 더 쉽다. 이 구성에서, 접속부들(1752)은 다수의 층들, 즉 인터포저(1714), 기판(1720), 소켓(1730) 및 회로 보드(1740)를 가로지름으로써 VRM들 및 대응하는 전자 칩들을 함께 상호접속시킨다. 접속부들(1752)은 일련의 상이한 유형들의 비아들을 수반하며, 그 성질은 가로지르는 기판에 의존한다.The package of FIG. 5g relies on power buses (1754), VRMs (1750), and connectors (1752) to deliver power to the photonic interposer and electronic chips. As illustrated in FIG. 5ha, the VRM receives power from the power buses and provides regulated power outputs to the electronic components to avoid voltage fluctuations beyond an acceptable value caused by loads resulting from the electronic chips. The inventors have discovered that having the VRMs (1750) and power buses (1754) mounted on a bottom surface of the circuit board (1740) (on the opposite side of the circuit board relative to the interposer) allows for a reduction in the lateral extension of the circuit board (1740), thereby reducing power losses that would otherwise result from longer lateral paths for power delivery, as compared to implementations where the VRMs and power buses are disposed on the circuit board near the interposer. As a result, the design is more compact and easier to integrate with other electronic systems. In this configuration, the interconnects (1752) interconnect the VRMs and corresponding electronic chips by traversing multiple layers, namely the interposer (1714), the substrate (1720), the socket (1730) and the circuit board (1740). The interconnects (1752) involve a series of different types of vias, the nature of which depends on the substrate they traverse.

도 5hb는 VRM들로부터 전자 칩들로 전력이 어떻게 전달될 수 있는지를 예시하는 측단면도이다. 일부 실시예들에서, VRM은 하나의 전자 칩에 전력을 전달한다. 다른 실시예들에서, VRM은 다수의 전자 칩들에 전력을 전달한다. 도 5hb에서, 하나의 VRM이 접속부(1752)를 통해 4개의 전자 칩에 전력을 전달하는 것으로 도시되어 있다.FIG. 5hb is a cross-sectional side view illustrating how power may be delivered from VRMs to electronic chips. In some embodiments, a VRM delivers power to a single electronic chip. In other embodiments, a VRM delivers power to multiple electronic chips. In FIG. 5hb, a single VRM is illustrated delivering power to four electronic chips via connectors (1752).

XII. XII. 추가 코멘트들Additional comments

이와 같이 본 출원의 기술의 여러 양태들 및 실시예들을 설명하였지만, 다양한 변경들, 수정들, 및 개선들이 본 기술 분야의 통상의 기술자에게 쉽게 떠오를 것이라는 것을 알아야 한다. 이러한 변경들, 수정들, 및 개선들은 본 출원에서 설명된 기술의 사상 및 범위 내에 있는 것으로 의도된다. 따라서, 전술한 실시예들은 단지 예로서 제시된 것이고, 첨부된 청구항들 및 그에 대한 등가물들의 범위 내에서, 본 발명의 실시예들은 구체적으로 설명된 것과 다르게 실시될 수 있다는 것을 이해해야 한다. 또한, 본 명세서에 설명된 2개 이상의 특징, 시스템, 물품, 재료, 및/또는 방법의 임의의 조합은, 이러한 특징, 시스템, 물품, 재료, 및/또는 방법이 상호 불일치하지 않는 경우, 본 개시내용의 범위 내에 포함된다.While the various aspects and embodiments of the technology of the present application have been described above, it should be appreciated that various alterations, modifications, and improvements will readily occur to those skilled in the art. Such alterations, modifications, and improvements are intended to be within the spirit and scope of the technology described herein. Accordingly, it should be understood that the foregoing embodiments are presented by way of example only, and that, within the scope of the appended claims and equivalents thereto, the embodiments of the present invention may be practiced otherwise than as specifically described. Furthermore, any combination of two or more of the features, systems, articles, materials, and/or methods described herein, provided that such features, systems, articles, materials, and/or methods are not mutually inconsistent, is included within the scope of the present disclosure.

또한, 설명된 바와 같이, 일부 양태들은 하나 이상의 방법으로서 구현될 수 있다. 방법의 일부로서 수행되는 동작들은 임의의 적합한 방식으로 순서화될 수 있다. 따라서, 예시적인 실시예들에서 순차적인 동작들로서 도시되더라도, 일부 동작들을 동시에 수행하는 것을 포함할 수 있는, 설명된 것과는 상이한 순서로 동작들이 수행되는 실시예들이 구성될 수 있다.Additionally, as described, some aspects may be implemented as one or more methods. The operations performed as part of the methods may be sequenced in any suitable manner. Thus, although illustrated as sequential operations in the exemplary embodiments, embodiments may be constructed in which the operations are performed in a different order than described, which may include performing some of the operations concurrently.

본 명세서에서 정의되고 이용되는 바와 같은 모든 정의들은 사전적 정의들, 참조로 포함된 문서들에서의 정의들, 및/또는 정의된 용어들의 통상의 의미들보다 우선하는 것으로 이해되어야 한다.All definitions as defined and used in this specification should be understood to take precedence over dictionary definitions, definitions in documents incorporated by reference, and/or the ordinary meaning of the defined terms.

본 명세서 및 청구항들에서 이용되는 바와 같은 단수 표현들(부정 관사들 "a" 및 "an")은, 명확히 달리 지시되지 않는 한, "적어도 하나"를 의미하는 것으로 이해되어야 한다.As used in this specification and claims, the singular forms (including the indefinite articles "a" and "an") are to be understood to mean "at least one," unless the context clearly dictates otherwise.

본 명세서 및 청구항들에서 이용되는 바와 같은 문구 "및/또는"은 그렇게 결합된 요소들, 즉 일부 경우들에서는 결합하여 존재하고 다른 경우들에서는 분리하여 존재하는 요소들 중 "어느 하나 또는 둘 다"를 의미하는 것으로 이해되어야 한다.The phrase "and/or," as used in this specification and claims, should be understood to mean "either or both" of the elements so conjoined, i.e., elements that exist in conjunction in some cases and in separate instances.

본 명세서 및 청구항들에서 이용되는 바와 같이, 하나 이상의 요소의 목록과 관련하여, "적어도 하나"라는 문구는 요소들의 목록 내의 요소들 중의 임의의 하나 이상으로부터 선택된 적어도 하나의 요소를 의미하지만, 요소들의 목록 내에 구체적으로 열거된 각각의 그리고 모든 요소 중의 적어도 하나를 반드시 포함하는 것은 아니며, 요소들의 목록 내의 요소들의 임의의 조합들을 배제하지 않는 것으로 이해되어야 한다. 이러한 정의는 또한, "적어도 하나"라는 문구가 언급하는 요소들의 목록 내에서 구체적으로 식별된 요소들 이외의 요소들이, 구체적으로 식별된 요소들과 관련되든 관련되지 않든, 선택적으로 존재할 수 있다는 것을 허용한다.As used in this specification and the claims, the phrase “at least one,” in relation to a list of one or more elements, should be understood to mean at least one element selected from any one or more of the elements in the list of elements, but does not necessarily include at least one of each and every element specifically listed in the list of elements, and does not exclude any combination of elements in the list of elements. This definition also allows that elements other than the elements specifically identified in the list of elements to which the phrase “at least one” refers may optionally be present, whether or not related to the specifically identified elements.

용어들 "대략" 및 "약"은 일부 실시예들에서 목표 값의 ±20% 이내, 일부 실시예들에서 목표 값의 ±10% 이내, 일부 실시예들에서 목표 값의 ±5% 이내, 그리고 또한 일부 실시예들에서 목표 값의 ±2% 이내를 의미하는데 이용될 수 있다. 용어들 "대략" 및 "약"은 목표 값을 포함할 수 있다.The terms "approximately" and "about" may be used in some embodiments to mean within ±20% of the target value, in some embodiments within ±10% of the target value, in some embodiments within ±5% of the target value, and also in some embodiments within ±2% of the target value. The terms "approximately" and "about" may include the target value.

Claims (15)

포토닉 디바이스로서,
포토닉 회로;
복수의 칩-대-파이버 커플러 및 각각의 칩-대-파이버 커플러들에 결합된 복수의 도파관을 갖는 복수의 광학 채널;
상기 복수의 광학 채널과 광학 회로 사이에 결합된 광학 스위치; 및
제어기
를 포함하며, 상기 제어기는,
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하고;
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 이용하여 상기 복수의 광학 채널의 서브세트를 식별하고;
상기 광학 스위치를 제어하여 상기 복수의 광학 채널의 서브세트를 상기 포토닉 회로에 선택적으로 결합시키도록 구성되는, 포토닉 디바이스.
As a photonic device,
photonic circuit;
A plurality of optical channels having a plurality of chip-to-fiber couplers and a plurality of waveguides coupled to each of the chip-to-fiber couplers;
An optical switch coupled between the plurality of optical channels and the optical circuit; and
Controller
, wherein the controller comprises:
Determining information representing performance associated with each of the plurality of optical channels;
Identifying a subset of said plurality of optical channels using information representing performance associated with each of said plurality of optical channels;
A photonic device configured to selectively couple a subset of said plurality of optical channels to said photonic circuit by controlling said optical switch.
제1항에 있어서,
상기 복수의 광학 채널은 각각의 도파관들에 결합된 복수의 광검출기를 더 포함하고, 상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 것은 상기 복수의 광검출기 각각의 출력을 결정하는 것을 포함하는, 포토닉 디바이스.
In the first paragraph,
A photonic device wherein said plurality of optical channels further include a plurality of photodetectors coupled to respective waveguides, and wherein determining information indicative of performance associated with each of said plurality of optical channels comprises determining an output of each of said plurality of photodetectors.
제2항에 있어서,
상기 복수의 광검출기는 탭 커플러들을 통해 상기 각각의 도파관들에 결합되는, 포토닉 디바이스.
In the second paragraph,
A photonic device wherein the plurality of photodetectors are coupled to the respective waveguides via tap couplers.
제1항에 있어서,
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 것은 상기 복수의 광학 채널 각각과 연관된 비트 에러 레이트(BER)를 결정하는 것을 포함하는, 포토닉 디바이스.
In the first paragraph,
A photonic device, wherein determining information indicative of performance associated with each of said plurality of optical channels comprises determining a bit error rate (BER) associated with each of said plurality of optical channels.
제1항에 있어서,
상기 포토닉 회로는 템플릿 타일에 따라 패터닝되는 복수의 타일을 포함하고, 각각의 타일은,
전송기;
수신기;
프로그래밍가능한 광학 접속부들의 네트워크; 및
전자 칩과의 수직 다이-대-다이 접속을 위해 구성된 전기적 접속부들 - 상기 전기적 접속부들은 상기 전송기, 상기 수신기 및 상기 프로그래밍가능한 광학 접속부들의 네트워크에 결합됨 -
을 포함하는, 포토닉 디바이스.
In the first paragraph,
The photonic circuit comprises a plurality of tiles patterned according to a template tile, each tile comprising:
telautograph;
receiving set;
a network of programmable optical connections; and
Electrical connections configured for vertical die-to-die connection with an electronic chip, said electrical connections being coupled to a network of said transmitter, said receiver and said programmable optical connections;
A photonic device comprising:
제1항에 있어서,
상기 정보를 이용하여 상기 복수의 광학 채널의 서브세트를 식별하는 것은 상기 광학 채널들 중에서 최상의 성능을 나타내는 상기 복수의 광학 채널의 서브세트를 식별하는 것을 포함하는, 포토닉 디바이스.
In the first paragraph,
A photonic device wherein identifying a subset of the plurality of optical channels using said information comprises identifying a subset of the plurality of optical channels that exhibits the best performance among the optical channels.
제1항에 있어서,
상기 칩-대-파이버 커플러들은 에지 커플러들 또는 격자 커플러들을 포함하는, 포토닉 디바이스.
In the first paragraph,
The above chip-to-fiber couplers are photonic devices including edge couplers or grating couplers.
제1항에 있어서,
상기 제어기는 상기 광학 스위치에 의해 선택된 상기 복수의 광학 채널의 서브세트를 이용하여 상기 포토닉 디바이스 외부로 데이터를 전송하게 상기 포토닉 회로를 제어하도록 추가로 구성되는, 포토닉 디바이스.
In the first paragraph,
A photonic device, wherein the controller is further configured to control the photonic circuit to transmit data external to the photonic device using a subset of the plurality of optical channels selected by the optical switch.
광학 스위치, 및 복수의 칩-대-파이버 커플러 및 각각의 칩-대-파이버 커플러들에 결합된 복수의 도파관을 갖는 복수의 광학 채널을 포함하는 포토닉 디바이스를 이용하여 데이터를 전송하기 위한 방법으로서,
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계;
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 이용하여 상기 복수의 광학 채널의 서브세트를 식별하는 단계;
상기 광학 스위치를 제어하여 상기 복수의 광학 채널의 서브세트를 선택하는 단계; 및
상기 광학 스위치에 의해 선택된 상기 복수의 광학 채널의 서브세트를 이용하여 상기 포토닉 디바이스 외부로 상기 데이터를 전송하는 단계
를 포함하는, 방법.
A method for transmitting data using a photonic device comprising an optical switch, a plurality of chip-to-fiber couplers, and a plurality of optical channels having a plurality of waveguides coupled to each of the chip-to-fiber couplers, the method comprising:
A step of determining information representing performance associated with each of the plurality of optical channels;
A step of identifying a subset of the plurality of optical channels using information representing performance associated with each of the plurality of optical channels;
a step of controlling the optical switch to select a subset of the plurality of optical channels; and
A step of transmitting said data outside of said photonic device using a subset of said plurality of optical channels selected by said optical switch.
A method comprising:
제9항에 있어서,
상기 복수의 광학 채널은 각각의 도파관들에 결합된 복수의 광검출기를 더 포함하고, 상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계는 상기 복수의 광검출기 각각의 출력을 결정하는 단계를 포함하는, 방법.
In Article 9,
A method wherein the plurality of optical channels further include a plurality of photodetectors coupled to respective waveguides, and wherein the step of determining information indicative of performance associated with each of the plurality of optical channels includes the step of determining an output of each of the plurality of photodetectors.
제9항에 있어서,
상기 복수의 광학 채널 각각과 연관된 성능을 나타내는 정보를 결정하는 단계는 상기 복수의 광학 채널 각각과 연관된 비트 에러 레이트(BER)를 결정하는 단계를 포함하는, 방법.
In Article 9,
A method, wherein the step of determining information indicative of performance associated with each of the plurality of optical channels comprises the step of determining a bit error rate (BER) associated with each of the plurality of optical channels.
제9항에 있어서,
상기 정보를 이용하여 상기 복수의 광학 채널의 서브세트를 식별하는 단계는 상기 광학 채널들 중에서 최상의 성능을 나타내는 상기 복수의 광학 채널의 서브세트를 식별하는 단계를 포함하는, 방법.
In Article 9,
A method wherein the step of identifying a subset of the plurality of optical channels using the above information comprises the step of identifying a subset of the plurality of optical channels exhibiting the best performance among the optical channels.
포토닉 인터포저로서,
중복 타일을 포함하는 복수의 포토닉 타일을 포함하며, 각각의 포토닉 타일은,
전송기;
수신기;
프로그래밍가능한 광학 접속부들의 네트워크;
전자 칩과의 수직 다이-대-다이 접속을 위해 구성된 전기적 접속부들 - 상기 전기적 접속부들은 상기 전송기, 상기 수신기 및 상기 프로그래밍가능한 광학 접속부들의 네트워크에 결합됨 -;
모니터링 광검출기; 및
제어기
를 포함하며, 상기 제어기는,
각각의 상기 모니터링 광검출기의 출력을 이용하여 상기 복수의 포토닉 타일 각각의 성능을 나타내는 정보를 결정하고;
복수의 타일 중에서, 상기 복수의 포토닉 타일 각각의 성능을 나타내는 정보를 이용하여 결함이 있는 타일을 식별하고;
상기 결함이 있는 타일을 상기 중복 타일과 기능적으로 스왑하도록 구성되는, 포토닉 인터포저.
As a photonic interposer,
A plurality of photonic tiles including overlapping tiles, each photonic tile comprising:
telautograph;
receiving set;
A network of programmable optical connections;
Electrical connections configured for vertical die-to-die connection with an electronic chip, said electrical connections being coupled to a network of said transmitter, said receiver and said programmable optical connections;
Monitoring photodetector; and
Controller
, wherein the controller comprises:
Using the output of each of the above monitoring photodetectors, information representing the performance of each of the plurality of photonic tiles is determined;
Among a plurality of tiles, a defective tile is identified by using information representing the performance of each of the plurality of photonic tiles;
A photonic interposer configured to functionally swap said defective tile with said duplicate tile.
제13항에 있어서,
상기 결함이 있는 타일을 상기 중복 타일과 기능적으로 스왑하는 것은 상기 결함이 있는 타일에 지향된 데이터를 상기 중복 타일에 재지향시키는 것을 포함하는, 포토닉 인터포저.
In Article 13,
A photonic interposer, wherein functionally swapping said defective tile with said duplicate tile comprises redirecting data directed to said defective tile to said duplicate tile.
제14항에 있어서,
상기 데이터를 재지향시키는 것은 프로그래밍가능한 포토닉 접속부들의 네트워크를 프로그래밍하는 것을 포함하는, 포토닉 인터포저.
In Article 14,
A photonic interposer, wherein redirecting said data comprises programming a network of programmable photonic interconnects.
KR1020247036161A 2022-03-28 2023-03-27 Photonic communication platform and related architectures, systems and methods KR20240161700A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US63/324,598 2022-03-28
US63/325,113 2022-03-29
US63/327,717 2022-04-05
US63/332,518 2022-04-19
US63/355,275 2022-06-24
US63/397,609 2022-08-12
US63/428,003 2022-11-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020247035934 Division 2023-03-27

Publications (1)

Publication Number Publication Date
KR20240161700A true KR20240161700A (en) 2024-11-12

Family

ID=

Similar Documents

Publication Publication Date Title
US20230388024A1 (en) Photonic communication platform and related methods for increasing yield
US12124081B2 (en) Photonic communication platform
Wade et al. TeraPHY: a chiplet technology for low-power, high-bandwidth in-package optical I/O
US11424837B2 (en) Method and system for large silicon photonic interposers by stitching
Taubenblatt Optical interconnects for high-performance computing
Shen et al. Silicon photonics for extreme scale systems
US9391708B2 (en) Multi-substrate electro-optical interconnection system
US11367711B2 (en) Optically interfaced stacked memories and related methods and systems
JP2012028766A (en) Integrated circuit layers optically connected using super lens element
JP5026416B2 (en) Integrated circuit device having optically coupled layers
Xu et al. Silicon nanophotonics for future multicore architectures: opportunities and challenges
KR20240161700A (en) Photonic communication platform and related architectures, systems and methods
KR20240159979A (en) Photonic communication platform and related architectures, systems and methods
KR20240160244A (en) Photonic communication platform and related architectures, systems and methods
Taira et al. High channel-count optical interconnection for servers
Li Silicon-based opto-electronic integration for high bandwidth density optical interconnects
KR20230112667A (en) Low Power Optical Input/Output Chiplets for Ethernet Switches (TERAPHYE)