KR20120090996A - 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 - Google Patents
인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법Info
- Publication number
- KR20120090996A KR20120090996A KR1020127007605A KR20127007605A KR20120090996A KR 20120090996 A KR20120090996 A KR 20120090996A KR 1020127007605 A KR1020127007605 A KR 1020127007605A KR 20127007605 A KR20127007605 A KR 20127007605A KR 20120090996 A KR20120090996 A KR 20120090996A
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- chamber
- gas distributor
- halogen
- deposition
- Prior art date
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02658—Pretreatments
- H01L21/02661—In-situ cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
본 발명은 프로세싱 챔버의 내부 표면들로부터 증착 산물을 제거하고, 그 증착 산물의 성장을 억제하거나 지연시키는 장치 및 방법에 관한 것이다. 증착 산물들을 에칭하기 위해 상기 챔버에 할로겐 함유 가스가 제공된다. 임의의 잔여 할로겐을 제거하기 위해 상기 챔버에 할로겐 제거 가스가 제공된다. 상기 할로겐 제거 가스는, 프로세싱 챔버 내에서 열 에너지에 의해, 또는 원격 챔버 내에서 전기장, UV 또는 극초단파에 의해, 전자기 에너지에 대한 노출에 의해 활성화된다. 상기 챔버의 내부 표면들 상에 증착 저항 박막을 형성하기 위해, 상기 할로겐 제거 가스에 증착 전구체가 첨가될 수 있다. 부가적으로, 또는 대안적으로, PVD 프로세스에서 프로세싱 챔버의 내부 부품들에 증착 저항 금속을 스퍼터링함으로써 증착 저항 박막이 형성될 수 있다.
Description
본 명세서에 개시된 실시예들은 일반적으로 발광 다이오드와 같은 디바이스의 제조 및 그러한 디바이스를 위한 3/5족 재료의 제조 프로세스에 관한 것이다. 보다 구체적으로, 본 명세서에 개시된 실시예들은 증착 챔버의 내부 표면으로부터 박리된 입자 또는 화학 잔류물로부터의 오염을 방지하는 장치 및 방법에 관한 것이다.
3-5족 박막은 단파장 발광 다이오드(LEDs), 레이저 다이오드(LDs), 및 고출력, 고주파수, 고온 트랜지스트 및 집적 회로를 포함한 전자 디바이스와 같은 다양한 반도체 디바이스의 제조 및 개발에 있어서 더 큰 중요성을 발견하고 있다. 예를 들어, 단파장(예를 들어, 청색/녹색 내지 자외선) LED는 3족 질화물 반도체 재료인 갈륨 질화물(GaN)을 이용하여 제조된다. GaN을 이용하여 제조된 단파장 LED는 2-6족 재료와 같은 비질화물 반도체 재료를 이용하여 제조된 단파장 LED보다 상당히 더 큰 효율과 더 긴 작동 수명을 제공할 수 있는 것으로 관찰되었다.
GaN과 같은 3족 질화물을 증착하기 위해 사용된 하나의 방법은 금속유기 화학기상증착(MOCVD)이다. 이 화학기상증착법은 일반적으로 갈륨(Ga)과 같은 하나 이상의 3족 원소를 함유한 제 1 전구체 가스의 안정성을 보장하도록 온도가 제어된 분위기를 가진 반응기에서 실시된다. 암모니아(NH3)와 같은 제 2 전구체 가스는 3족 질화물을 형성하기 위해 필요한 질소를 제공한다. 상기 2개의 전구체 가스들은 반응기 내의 프로세싱 구역으로 주입되어 그 곳에서 혼합되며, 상기 프로세싱 구역 내의 가열된 기판을 향해 이동하게 된다. 상기 기판을 향한 전구체 가스들의 이동을 보조하기 위해 캐리어 가스가 사용될 수 있다. 전구체들은 가열된 기판의 표면에서 반응하여 기판 표면에 GaN과 같은 3족 질화물층을 형성하게 된다. 박막의 품질은 부분적으로 증착 균일성에 따라 좌우되고, 증착 균일성은 또한 기판에 걸친 전구체들의 균일한 혼합에 따라 좌우된다.
기판상에 층을 증착하기 위하여, 복수의 기판이 기판 캐리어에 배열될 수 있으며, 각각의 기판은 50㎜ 내지 100㎜ 범위 또는 그 초과의 직경을 가질 수 있다. 수율과 처리량을 증대시키기 위해, 대형의 기판들 및/또는 더 많은 기판들 및 대형의 증착 영역에 걸쳐서 전구체들을 균일하게 혼합하는 것이 바람직하다. 이러한 요인들은 전자 디바이스를 제조하는 비용과, 그에 따른 디바이스 제조자의 시장에서의 경쟁력에 직접 영향을 미치기 때문에 중요하다.
일반적으로, 결합되었을 때 반응하여 증착층을 형성하는 상이한 가스들이 가스 분배기 내의 상이한 통로들을 통해 반응 챔버로 제공된다. 가스들이 가스 분배기를 빠져나올 때, 이들은 혼합되어 반응하기 시작한다. 전구체 가스들이 기판에 도달하기 전에 전구체 통로에서 가스들이 분해되지 않도록 하기 위해, 일반적으로, 가스 분배기는 기판 온도 미만의 온도로 잘 유지된다. 대부분의 반응 산물이 가열된 기판 부근에서 형성되지만, 일부는 전구체들이 가스 분배기의 출구 부근에서 혼합될 때 형성을 시작하여, 가스 분배기 상에 응축 및 증착한다. 이러한 의도하지 않은 증착으로부터 형성된 입자들이 증착 도중 분리되어 챔버에서 프로세싱되고 있는 기판을 오염시키는 허용할 수 없는 위험이 존재할 때까지, 증착물들은 많은 증착 사이클에 걸쳐서 성장하게 된다. 따라서, 이러한 증착물의 성장을 방지하거나 지연시키는 장치 및 방법이 요구된다.
본 명세서에 개시된 실시예들은 증착 챔버에서의 프로세싱 런(processing run) 동안 가스 분배기에 형성된 3족 질화물 증착물을 세정하는 방법을 제공하며, 상기 방법은 상기 프로세싱 런 이전에 상기 가스 분배기에 희생 코팅(sacrificial coating)을 형성하는 단계; 상기 프로세싱 런 후, 상기 3족 질화물 증착물과 상기 희생 코팅을 활성화된 할로겐 함유 가스에 노출시키는 단계; 및 상기 희생 코팅과 상기 3족 질화물 증착물을 에칭하는 단계;를 포함하며, 상기 희생 코팅은 상기 3족 질화물 증착물보다 더 빠르게 에칭된다.
다른 실시예들은 프로세스 챔버에서 가스 분배기로부터 3족 질화물 증착물을 제거하는 방법을 제공하며, 상기 방법은 상기 가스 분배기를 할로겐 함유 가스에 노출시키는 단계; 휘발성 종들을 형성하기 위해 상기 할로겐 함유 가스를 상기 3족 질화물 증착물과 반응시키는 단계; 및 상기 가스 분배기를 활성 질소 함유 가스에 노출시키는 단계;를 포함한다.
다른 실시예들은 프로세싱 환경에 노출되는 표면을 구비한 가스 분배기를 가진 증착 챔버의 작동 방법을 제공하며, 상기 방법은 상기 가스 분배기의 표면에 희생 코팅을 형성하는 단계; 상기 증착 챔버에 3족 금속 전구체와 질소 함유 전구체를 제공함으로써, 상기 가스 분배기의 코팅된 표면 상에 및 상기 증착 챔버 내의 기판 상에 3족 질화물 재료를 증착하는 단계; 상기 질소 함유 전구체를 이용하여 상기 증착 챔버로부터 상기 3족 금속 전구체를 퍼지하는 단계; 상기 증착 챔버에 할로겐 함유 가스를 제공하는 단계; 상기 할로겐 함유 가스를 약 600℃ 초과의 온도로 가열함으로써 상기 할로겐 함유 가스를 활성화시키는 단계; 상기 희생 코팅을 제거하고 상기 3족 질화물 증착물을 3족 할로겐화물 증착물로 변환시키기 위해, 상기 활성 할로겐 함유 가스를 상기 희생 코팅 및 상기 희생 코팅 상의 3족 질화물 증착물과 약 100 Torr 내지 약 200 Torr의 압력에서 반응시키는 단계; 상기 온도를 약 1,000℃ 이상으로 올리고, 상기 압력을 약 50 Torr 미만으로 낮춤으로써, 상기 3족 할로겐화물 증착물을 제거하는 단계; 및 불활성 분위기 하에서 약 1,000℃ 초과의 온도로 상기 가스 분배기를 열간유지(heat-soaking)하는 단계;를 포함한다.
본 발명의 전술한 특징을 보다 구체적으로 이해할 수 있도록, 그 일부가 첨부도면에 도시된 실시예를 참조하여, 위에서 약술한 본 발명의 보다 상세한 설명이 이루어질 수 있다. 그러나, 첨부도면은 오직 본 발명의 전형적인 실시예들을 도시한 것이며, 따라서 그 범주를 한정하는 것으로 이해되어서는 아니되고, 본 발명은 다른 등가의 유효한 실시예를 허용할 수 있음을 유의하여야 한다.
도 1은 일 실시예에 따른 챔버 세정 방법을 요약한 흐름도이고,
도 2는 다른 실시예에 따라 챔버의 내부 표면에 증착저항층(deposition resistant layer)을 형성하는 방법을 요약한 흐름도이며,
도 3은 다른 방법에 따라 챔버의 내부 표면으로부터 원하지 않는 증착물을 제거하고 이 내부 표면을 위해 증착저항층을 제공하는 방법을 요약한 흐름도이고,
도 4는 본 발명의 실시예들을 실시하기 위해 유용한 가스 분배기의 개략 단면도이며,
도 5a는 일 실시예에 따른 가스 분배기의 단면도이고,
도 5b 및 도 5c는 도 5a의 가스 분배기의 일부를 도시한 확대도이다.
도 2는 다른 실시예에 따라 챔버의 내부 표면에 증착저항층(deposition resistant layer)을 형성하는 방법을 요약한 흐름도이며,
도 3은 다른 방법에 따라 챔버의 내부 표면으로부터 원하지 않는 증착물을 제거하고 이 내부 표면을 위해 증착저항층을 제공하는 방법을 요약한 흐름도이고,
도 4는 본 발명의 실시예들을 실시하기 위해 유용한 가스 분배기의 개략 단면도이며,
도 5a는 일 실시예에 따른 가스 분배기의 단면도이고,
도 5b 및 도 5c는 도 5a의 가스 분배기의 일부를 도시한 확대도이다.
이해를 용이하게 하기 위하여, 도면에서 공통된 동일 요소는 가능한 동일한 참조번호를 사용하여 표시하였다. 일 실시예에 개시된 요소들은 특별한 언급없이 다른 실시예들에서 유리하게 사용될 수 있을 것으로 생각된다.
일반적으로, 본 명세서에 개시된 실시예들은 증착 챔버의 부품에서 증착물이 성장하는 것을 방지하는 장치 및 방법을 제공한다. 일부 실시예들은 챔버 부품들을 주기적으로 세정하는 방법을 제공하며, 다른 실시예들은 증착물들을 줄이거나 방지하는 방법을 제공한다. 일부 실시예에서, 가스 유동 입구 주위의 가스 분배기 상의 증착물의 형성을 줄이기 위해, 가스 분배기에 코팅이 인-시튜 도포된다. 다른 실시예에서, 라디칼과 같은 활성 시약을 이용하여 가스 분배기가 세정된다. 이러한 세정 프로세스들은 할로겐 세정 프로세스에 후속할 수 있으며, 코팅 프로세스에 선행할 수 있다.
MOCVD 또는 HVPE 증착 프로세스 도중, 챔버 내에 생성된 반응 산물의 낮은 증기압으로 인하여, 예를 들어, 3족 재료가 가스 분배기에 증착될 수 있다. 가스 분배기 및/또는 챔버 벽체와 같은 다른 챔버 부품에서의 증착 산물의 성장은 그들로부터 원하지 않는 입자들이 박리되어 챔버 내에 배치된 기판에 증착되는 결과를 야기할 수 있다. 하기에 기재되는 일부 실시예들은 기판 상에 금속 질화물층을 형성하는 장치를 제공하며, 상기 장치는 기판 지지체 및 상기 기판 지지체에 대면하며 증착 저항 코팅을 가진 가스 분배기를 둘러싼 챔버를 포함한다. 일반적으로, 상기 증착 저항 코팅은 가스 분배기 상에서의 증착을 저감함으로써, 필요한 세정 빈도를 줄일 것이다. 상기 코팅은 텅스텐, 크롬, 몰리브덴과 같은 갈륨 증착 저항 코팅이거나, 실리콘 탄화물, 실리콘 질화물, 갈륨 질화물 또는 알루미늄 질화물과 같은 다른 증착 저항 코팅일 수 있다. 일부 실시예에서, 노출면에서의 3족 재료 증착을 더 억제하기 위해 하나 또는 둘 이상의(one or more) 챔버 부품 냉각 장치와 함께 증착 저항 코팅을 사용하는 것이 또한 유용하다. 일 실시예에서, 상기 하나 또는 둘 이상의 챔버 부품 냉각 장치는 가스 분배기(400)의 온도를 제어하기 위해 사용되는 열 제어 채널(422)과 열 교환 시스템(424)을 포함하며, 이들에 대해서는 아래에서 더 설명한다.
일 실시예에서, 프로세스 챔버에서의 증착에 저항하는 가스 분배기는 물리기상증착 프로세스를 이용하여 가스 분배기의 외표면에 텅스텐, 크롬 또는 몰리브덴과 같은 금속 코팅을 증착함으로써, 또는 화학기상증착 프로세스를 이용하여 가스 분배기의 외표면에 텅스텐, 크롬, 몰리브덴, 실리콘 탄화물, 실리콘 질화물, 갈륨 질화물 또는 알루미늄 질화물과 같은 금속 또는 세라믹 코팅을 증착함으로써 형성될 수 있다. 일부 실시예에서, 코팅은 코팅될 가스 분배기를 가진 챔버에 TMG, TMA, 실란, TMS, 암모니아 및/또는 메탄과 같은 CVD 전구체들을 제공함으로써 인-시튜 형성될 수 있다. 일부 실시예에서, 상기 코팅은 가스 분배기 상에 시즈닝층을 형성한다. 이러한 전구체들로부터 형성된 예시적 CVD 코팅은 갈륨 질화물, 알루미늄 질화물, 실리콘 질화물 및 실리콘 탄화물을 포함한다.
증착 과정중 성장하는 증착물들은 하나 또는 둘 이상의 세정 프로세스에 의해 제거될 수 있다. 일 실시예에서, 할로겐 함유 가스는 제거하고자 하는 증착물을 가진 가스 분배기를 통해 챔버로 제공된다. 상기 할로겐 함유 가스는 갈륨(Ga), 인듐(In), 알루미늄(Al), 갈륨 질화물(GaN), 인듐 질화물(InN), 알루미늄 질화물(AlN) 및 이들의 조합과 같은 금속-농후(metal-rich) 3/5족 증착 산물을 일반적으로 함유한 상기 증착물과 반응하여, 할로겐화물 고형체와, 챔버로부터 제거되는 질소 함유 가스를 생성하게 되고, 상기 할로겐화물 고형체는 고온에서 휘발됨으로써 챔버로부터 제거된다. 다른 실시예에서, 할로겐 세정 프로세스에 의해 남을 수 있는 할로겐화물 잔류물은 챔버에 활성종을 제공함으로써 제거된다. 몇몇 경우에서, 상기 활성종은 가스 또는 증기종에 전기 에너지(예를 들어, RF 플라즈마 발생), 광학 에너지 또는 열 에너지를 인가함으로써 형성된다. 상기 활성종은 할로겐화물 잔류물을 포함하여 임의의 잔여 증착물을 제거(scavenge)한다. 일부 실시예에서, 2개의 세정 프로세스가 2단계 세정 프로세스로 조합되는 반면, 다른 실시예들에서, 2개의 세정 단계는 서로 다른 시간에 실시될 수 있다. 아울러, 일부 실시예에서 세정 프로세스들이 코팅 프로세스들과 조합될 수 있다.
세정 방법
도 1은 일 실시예에 따른 세정 방법(100)을 요약한 흐름도이다. 단계 102에서, 할로겐 함유 가스와 같은 세정 가스가 가스 분배기와 같은 내부 부품에 3족 금속과 같은 금속 농후 3족 질화물 또는 다른 3/5족 반응 산물과 같은 증착 산물로 이루어진 코팅을 가진 챔버에 제공된다. 상기 세정 방법(100)에 의해 제거될 수 있는 몇몇 예시적 3족 증착 산물은 Ga, In, Al, GaN, InN, AlN, 알루미늄 갈륨 질화물(AlGaN), 인듐 갈륨 질화물(InGaN) 등을 포함한다. 상기 코팅은 연속적이거나 비연속적일 수 있으며, 가스 분배기의 가스 유동 입구에 형성되어 있는 증착 프로세스로부터의 증착물에 불과할 수 있다. 상기 할로겐 함유 가스는 염소, 불소, 브롬 또는 요오드 가스와 같은 원소상 할로겐 가스(elemental halogen gas), 또는 수소 할로겐화물 가스, 또는 이들의 임의의 혼합물일 수 있다. 몇몇 예에서, 세정 가스는 염소(Cl2) 가스, 불소(F2) 가스, 요오드화 수소(HI) 가스, 염화 요오드(ICl) 가스, HCl 가스, HBr 가스, HF 가스, BCl3 가스, CH3Cl 가스, CCl4 가스 및/또는 NF3 가스를 포함한다.
일 실시예에서, 전술한 바와 같이 증착물을 가진 가스 분배기를 세정하기 위해, 염소 가스(Cl2)가 가스 분배기를 수용하고 있는 챔버에 선택적으로 아르곤, 헬륨 또는 질소 가스와 같은 비-반응성(non-reactive) 캐리어 가스와 함께 제공된다. 상기 염소 가스는, 가스 분배기를 대면하여 챔버 내에 배치된 기판 지지체와 같은 챔버의 내부 표면을 가열함으로써, 약 650℃ 내지 약 750℃와 같은 약 600℃ 이상의 온도로 가열된다. 도출된 가스 혼합물은, 전체 가스 부피당 캐리어 가스 내의 염소 가스가 약 5 내지 100%, 예를 들어 캐리어 가스 내의 염소 가스가 약 50% 내지 약 80%일 수 있다. 챔버 압력은 염소 가스에 가스 분배기 표면이 노출되는 동안 약 100 Torr 내지 200 Torr로 유지된다. 염소 가스는 가스 분배기 표면 상의 3족 질화물을 3족 할로겐화물 고형체로 변환시킨다.
단계 104에서, 증착 산물의 코팅이 챔버의 내부로부터 에칭된다. 할로겐 함유 가스는 증착물과 반응하여 휘발성 금속 할로겐화물을 형성하고, 이들은 챔버로부터 제거된다. 염소 가스를 특징으로 하는 실시예에서, 염소는 금속 농후 증착물과 반응하여 저압에서 휘발성인 염화 갈륨(GaCl3), 염화 인듐(InCl3) 및 염화 알루미늄(AlCl3)을 형성한다. 반응제로서 염소 가스를 특징으로 하는 실시예에서, 약 100 Torr 내지 약 200 Torr와 같은 약 0.01 Torr 내지 1,000 Torr의 압력과, 600 ℃ 초과, 예를 들어, 약 650℃ 내지 약 750℃와 같은 약 20℃ 내지 약 1,200℃의 온도에서, 상기 염소 가스는 유량이 약 0 slm 내지 약 20 slm인 캐리어 가스와 함께 약 1 slm 내지 약 20 slm의 유량으로 제공될 수 있다.
상기 할로겐 가스는 3족 질화물 증착물을 3족 할로겐화물 고형체로 변환한다. 3족 질화물이 3족 할로겐화물 고형체로 변환된 후, 3족 할로겐화물 고형체는 기화 또는 승화에 의해 제거된다. 챔버의 온도는 약 1,050℃ 내지 약 1,200℃와 같은 약 1,000℃ 이상으로 상승되며, 예를 들어 약 1,100℃로 상승된다. 챔버의 압력은 약 50 Torr 또는 그 미만으로 낮아진다. 제거 작업의 제 1 단계 동안 할로겐 가스 유동은 유지될 수 있으며, 그 다음 제거 작업의 제 2 단계 동안 할로겐 가스 유동은 중단되고 캐리어 가스 유동은 계속될 수 있다. 이러한 제 2 단계 동안, 챔버 온도는 약 1,100℃ 이상으로 더 상승될 수 있다. 전술한 실시예에서, 3족 질화물 증착물의 3족 할로겐화물 염으로의 변환은 코팅의 두께에 따라 약 5 내지 60분이 소요되며, 3족 할로겐화물 고형체의 제거는 완료되기까지 약 10분 내지 약 20분과 같이 약 10분 이상이 소요된다.
일부 실시예에서, 변환과 제거는 사이클로 실시될 수 있다. 일 실시예에서, 하나의 사이클에서 약 1분 동안 변환이 진행되고 약 10초 내지 약 20초 동안 제거가 진행될 수 있다. 이 사이클은 그 후 3족 질화물 증착물이 제거될 때까지 반복되며, 이는 50 내지 100사이클이 소요될 수 있다. 다른 실시예에서, 약 5분 동안 변환이 진행되고 약 1분 동안 제거가 진행될 수 있으며, 이 사이클은 약 10회 반복된다. 각각의 사이클에서, 챔버의 온도와 압력은 전술한 변환과 제거 조건들 사이에서 변동한다. 사이클 반복 및 사이클 당 변환과 제거 횟수는 챔버 표면 상의 3족 질화물 증착물의 두께에 따라 좌우된다. 증착물이 두꺼울수록 제거하는데 더 많은 시간과 반복이 소요된다.
할로겐 처리는 챔버 표면에 할로겐 함유 잔류물을 남길 수 있으므로, 제 2 선택적 세정 프로세스가 단계 106 및 108에서 실시될 수 있다. 단계 106에서, 질소 함유 가스가 챔버에 제공되며, 단계 107에서, 상기 질소 함유 가스가 활성화된다. 단계 108에서, 상기 활성 질소 함유 가스는 챔버 내의 잔여 할로겐종과 반응할 수 있게 되어 챔버로부터 할로겐종을 퍼지한다. 일부 실시예에서, 암모니아(NH3), 질소 가스(N2), 하이드라진(H2N2) 또는 다른 단순한 질소 함유 화합물일 수 있는 상기 질소 함유 가스는 이온 또는 라디칼로 활성화될 수 있다. 일 실시예에서, 암모니아는 기판 지지체를 가열함으로써 약 500℃ 이상의 온도로 가열된다. 가열은 질소 함유 가스를 활성화시킴으로써, 가스 내의 화합물이 해리, 열분해, 이온화하거나 또는 라디칼을 형성하도록 한다. 다른 실시예에서, 질소 함유 가스는 원격으로 가열되어 고온 가스로서 가스 분배기에 제공될 수 있다. 가스 분배기는 일반적으로 분배기 내부 및 부근에서의 원하지 않는 반응을 방지하기 위해 증착 프로세스 도중 냉각된다. 일부 세정 프로세스 동안, 가스 분배기의 냉각은 세정 화합물의 열적 활성화를 용이하게 하기 위해 중단될 수 있다. 기판 지지체의 가열은 임의의 편리한 수단에 의해, 예를 들면 기판 지지체 근처에 가열 램프를 배치함으로써 수행될 수 있다. 일 실시예에서, 가열 램프들은 기판 지지체 아래에 배열된다. 다른 실시예들은 저항 가열 또는 고온 유체 가열과 같은 내부 수단에 의해 가열되는 기판 지지체를 특징으로 할 수 있다.
질소 함유 가스는 캐리어 가스와 함께 제공될 수 있다. 일 실시예에서, 캐리어로서 질소 가스와 함께 암모니아가 제공된다. 가스 혼합물에서 질소 가스 내의 암모니아가 약 10부피% 내지 약 80부피%일 수 있다.
활성화 작업(107)은 상이한 방법들로 수행될 수 있다. 일 실시예에서, 가스 분배기는 고온 암모니아 가스에 노출되며, 약 1,000℃ 이상으로 가열되어, 챔버로부터 잔여 할로겐을 제거하는 고반응성 라디칼종을 형성한다. 가열은 기판 지지체 또는 가스 분배기를 가열함으로써, 또는 암모니아를 원격으로 가열하고 가열된 가스를 챔버에 제공함으로써 수행될 수 있다. 다른 실시예에서, 질소 함유 가스는 전기장 또는 열, UV 또는 극초단파 방사선과 같은 전자기 에너지를 인가함으로써 원격 챔버에서 활성화된다. 그 후, 라디칼종을 함유한 활성화된 질소 가스는 할로겐 잔류물을 제거하기 위해 챔버에 제공된다. 활성화된 질소종은 잔여 할로겐 잔류물을 금속 질화물로 다시 변환시켜, 챔버 내에서 후속하여 형성되는 디바이스에 할로겐종이 결합하지 않도록 방지한다. 이러한 디바이스를 질화물이 오염시킬 위험은 감소되는데, 그 이유는 대부분의 질화물 증착물이 제거되고, 최대한으로도(at most) 가스 분배기 또는 다른 챔버 부품으로부터 거의 분리되지 않는 매우 얇은 코팅 또는 잔류물을 남기기 때문이다. 다른 실시예에서, 가스는 전기장, 열, UV 또는 극초단파 방사선에 인-시튜 노출될 수 있다.
질소 함유 가스는 약 0.01 Torr 내지 약 1,000 Torr의 챔버 압력에서 약 1slm 내지 약 50slm의 유량으로 제공될 수 있다. 질소 함유 가스는 당해 가스를 가스 분배기로부터 이격되어 있는 가열된 기판 지지체와 접촉시키거나, 또는 챔버 외부를 가열함으로써, 약 900℃ 내지 약 1,100℃와 같은 약 500℃ 내지 약 1,200℃의 온도로 가열하는 것에 의해 활성화될 수 있다. 이러한 온도에서, 열 에너지는 질소 함유 가스를 활성화시킨다. UV, 극초단파 또는 전기 에너지가 질소 함유 가스를 활성화시키기 위해 사용된다면, 챔버의 온도는 약 100℃ 내지 약 300℃와 같은 약 20℃ 내지 약 600℃일 수 있다.
도 1의 할로겐 가스 노출에 앞서, 할로겐 가스와 얍립성(compatible)이 없을 수 있는 가스들 또는 물질들을 제거하기 위해 상기 챔버가 퍼지될 수 있다. TMG, TMA, 및 TMI와 같은 금속 전구체종들은 할로겐 가스를 소비할 수 있고 또 다른 증착물을 발생시킬 수 있는 원하지 않는 반응을 피하기 위해 할로겐 가스를 공급하기에 앞서 특별히 제거된다. 상기 챔버는 질소 가스 또는 아르곤과 같은 불활성 가스를 이용하여 퍼지될 수 있으며, 또는 상기 챔버는 암모니아와 같은 비금속 시약을 이용하여 퍼지될 수 있다. 금속 전구체 및 암모니아로부터 금속 질화물이 형성되는 증착 프로세스에서, 금속 전구체의 유동이 중단되고, 암모니아 가스를 이용하여 챔버가 퍼지될 수 있다. 대안적으로, 암모니아 가스는 챔버를 퍼지하기 위해 질소, 아르곤 또는 수소와 같은 불활성 가스로 대체될 수 있다. 챔퍼 퍼지 도중, 챔버 압력은 챔버 표면에 부착되어 있는 퓨저티브 종(fugitive species)의 제거를 촉진하기 위해 순환(cycled)될 수 있다. 챔버 압력을 소정 횟수, 예컨대 3 내지 5회 위아래로 순환시키기 위해, 챔버와 진공 펌프 사이의 스로틀 밸브가 반복적으로 개폐될 수 있다.
도 1의 할로겐 가스 노출에 앞서, 해당되는 경우에, 챔버 라이너 및 기판 지지체와 같은 챔버 표면들로부터 금속 질화물 증착물을 제거하기 위해 상기 챔버가 베이킹(baking) 작업될 수 있다. 챔버 온도는 5 내지 10분 또는 그 초과 기간 동안 약 1,050℃ 이상으로 상승하게 된다. 증착물의 제거를 촉진하기 위해 수소 가스가 제공될 수 있다. 또한, 베이킹 작업은 가스 분배기로부터 증착물의 제거를 촉진한다.
도 1의 할로겐 가스 세정과 잔여 할로겐 제거 작업에 후속하여, 챔버는 챔버 표면으로부터 할로겐종의 제거를 촉진하기 위해 베이킹 작업될 수 있다. 챔버 온도는 약 1,050℃ 이상으로 설정된다. 잔여 할로겐 제거를 위해 암모니아와 질소 가스의 혼합물이 사용된다면, 세정-후(post-clean) 베이킹 작업 도중 암모니아의 유동은 중단되고 질소의 유동은 유지될 수 있다. 퓨저티브 할로겐종의 제거를 돕기 위해, 챔버 압력은 진공 스로틀 밸브를 개폐함으로써 약 200 Torr 내지 약 1 Torr 사이에서 순환될 수 있다. 세정-후 베이킹 작업은 약 5 내지 10분 또는 그 초과의 기간 동안 진행될 수 있다. 일 실시예에서, 질소 가스의 유동은 잔여 할로겐종의 제거를 돕기 위해 수소 가스의 유동에 의해 베이킹 작업 도중에 대체될 수 있다.
코팅 방법
도 2는 챔버 내부 표면에서의 갈륨 또는 갈륨 화합물의 증착에 저항하는 층을 형성하기 위한 다른 실시예에 따른 방법(200)을 요약한 흐름도이다. 상기 방법(200)과 같은 방법은 프로세싱 챔버 부품들에서의 갈륨 농후 화합물의 증착을 억제하거나 지연시키기 위해 챔버 부품들을 처리하는데 있어서 유용하다. 이 방법에서, 하나 또는 둘 이상의 전구체 가스들이 단계 202에서 프로세싱 챔버로 제공된다. 일반적으로, 상기 가스들은 챔버의 내부 부품들 상에 층의 증착을 용이하게 하도록 선택된다. 필요한 경우, 상기 가스들은 당해 가스들이 챔버에 도달하기 전에 반응하지 않도록 하기 위해, 상이한 통로들을 통하여 제공될 수 있다. 예를 들어, 2개의 가스가 사용된다면, 제 1 가스는 제 1 통로를 통해 챔버로 제공될 수 있으며, 제 2 가스는 제 2 통로를 통해 챔버로 제공될 수 있다. 다수의 통로를 가진 가스 분배기를 도 5a 및 도 5b와 관련하여 더 설명한다.
상기 방법(200)이 코팅될 내부 표면을 가진 챔버 내에서 수행될 수 있거나, 상기 챔버의 부품들이 코팅될 다른 프로세싱 챔버 내에 배치될 수 있음을 유의하여야 한다. 예를 들어, 만약 PVD 프로세스가 실시된다면, 상기 챔버 부품들은 PVD 챔버 내에 배치될 수 있으며, 상기 챔버에 제공되는 프로세스 가스는 아르곤 또는 헬륨 같은 PVD 프로세스 가스일 수 있다.
단계 204에서, 챔버의 내부 표면에 층이 증착된다. 일 실시예에서, 2개 또는 그 이상의 가스가 반응하여 CVD 프로세스에 의해 층을 증착하게 되며, 상기 CVD 프로세스는 코팅될 내부 표면을 가진 챔버 내에서, 또는 코팅될 부품들이 내부에 배치된 별도의 챔버에서 수행될 수 있다. 일 실시예에서, 상기 층은 갈륨 또는 갈륨 화합물, 또는 다른 3족 화합물에 저항하는 재료가 챔버 부품들에 스퍼터링되는 PVD 프로세스에 의해 증착된다. 다른 실시예에서, 코팅될 표면들을 가진 챔버에 활성화된 종들을 제공하고 상기 활성화된 종들을 반응시켜 층을 형성하도록 함으로써, 층이 증착된다.
상기 층은 약 10Å, 즉 결정 격자의 약 2개의 단위 셀(cell) 크기 내지 약 1㎜의 두께를 가질 수 있다. 약 10Å과 같이 약 2개 이상의 단위 셀 층들의 두께를 가진 층 또는 코팅은 대부분의 경우 가스 분배기 상에서의 증착물 성장을 지연시키게 될 것이다. 상기 코팅은 약 1㎜까지 임의의 두께일 수 있으나, 일반적으로 프로세스 가스를 공급하기 위해 가스 분배기의 개구를 폐쇄하지 않도록 하는 방식으로 도포될 것이다. 일 실시예에서, 텅스텐, 크롬, 몰리브덴 또는 이들의 조합 또는 합금과 같은 금속, 또는 다른 내화 금속이 약 10Å 내지 약 10㎛와 같은 약 10Å 내지 약 1㎜의 두께로, 예를 들어, 약 10Å 내지 약 1,000㎚의 두께로 가스 분배기에 스퍼터링된다. 다른 실시예에서, TMG와 암모니아가 코팅될 가스 분배기를 수용하고 있는 챔버에 제공됨으로써, 가스 분배기에 갈륨 질화물을 증착한다. 다른 실시예에서, TMA와 암모니아가 챔버에 제공되어 가스 분배기에 알루미늄 질화물을 증착한다. 다른 실시예에서, 실란과 메탄이 챔버에 제공되어 가스 분배기에 실리콘 탄화물을 증착한다. 다른 실시예에서, 실란 및/또는 TMS와 암모니아가 제공되어 가스 분배기에 실리콘 질화물을 증착한다. 가스 분배기의 개구를 통해 흐르는 가스가 개구 내부와 그 주변에서 박막 형성을 줄이기 때문에, CVD 프로세스에 의해 형성된 코팅들은 약 100㎚ 내지 약 200㎚의 두께를 가질 수 있다.
다른 실시예에서, 텅스텐, 크롬, 몰리브덴, 티타늄, 지르코늄, 하프늄, 바나듐, 니오븀, 탄탈, 루테늄, 오스뮴, 로듐, 이트륨 및 이리듐과 같은 내화 금속, 또는 그들의 세라믹(산화물), 그들의 다른 유도체, 그들의 조합 또는 그들의 합금들이 당업계에 공지된 CVD, PVD, 플라즈마 분사, 전기도금 및/또는 무전해 도금과 같은 프로세스에 따라 스테인리스강 가스 분배기에 스퍼터링 코팅되거나 도금될 수 있다. 또한, 알루미늄 그 자체, 알루미나, 알루미늄 질화물, 위에서 열거한 다른 금속들, 실리콘 또는 탄소와 알루미늄의 합금을 포함하여, 다양한 알루미늄 함유 재료가 CVD 또는 PVD에 의해 도포될 수 있다. 코팅을 위해 사용될 수 있는 다른 유전 재료들은 붕소 질화물 및 실리콘 탄화물을 포함한다. 알루미나이즈드강(aluminized steel)과 같이 스테인리스강과 긴밀한 야금학적 결합을 형성하는 임의의 재료는 증착 산물의 성장을 방지하거나 지연시키기 위해 MOCVD 챔버의 스테인리스강 가스 분배기를 코팅하기에 적합하다.
상기 코팅의 형성은 하나 또는 둘 이상의 화학 전구체의 활성화에 의해 보조될 수 있다. 일반적으로, 전구체는 전자기 수단에 의해, 예를 들어 전구체의 일부를 이온화하기 위해 전기장, 예컨대 RF 필드에 노출시키거나, 전구체를 해리하거나 균열시키거나 이온화하기 위해 열 에너지에 노출시키거나, 또는 UV 또는 극초단파 방사선과 같은 방사선에 노출시킴으로써 활성화된다. 일부 실시예에서, 하나 또는 둘 이상의 전구체는 활성 챔버 내에서 UV 또는 극초단파 방사선에 의해 조사되거나, RF 필드에 노출될 수 있으며, 활성 전구체들이 코팅될 가스 분배기를 수용하고 있는 챔버에 제공될 수 있다. 일 실시예에서, 상기 기판 지지체는 하나 또는 둘 이상의 전구체를 활성화시키고, 가스 분배기에 코팅을 증착하도록 반응을 유발하기 위해, 약 600℃ 내지 약 1,000℃의 온도로 가열된다. 일 실시예에서, 제 1 전구체는 약 50sccm과 같은 약 10sccm 내지 약 1,000sccm의 유량으로 챔버에 제공되고, 제 2 전구체는 약 50slm과 같은 약 10slm 내지 약 300slm의 유량으로 제공된다. 질소 가스, 아르곤 또는 헬륨과 같은 캐리어 가스가 제 1 또는 제 2 전구체 중 어느 하나와 함께 제공될 수 있다. 전술한 바와 같이, 제 1 전구체는 실란, TMS, TMG 또는 TMA, 또는 친전자성 금속 또는 준금속 화합물, 또는 이들의 혼합물일 수 있다. 일반적으로, 제 2 전구체는 암모니아 또는 메탄, 또는 다른 친핵체이다.
일 실시예에서, MOCVD 또는 HVPE 반응기용 가스 분배기에 코팅을 증착하기 위해 증착 전구체와 라디칼 전구체가 프로세싱 챔버에 제공된다. 상기 증착 전구체는 13족 천이 금속 또는 준금속을 함유할 수 있으며, 상기 라디칼 전구체는 질소, 수소, 탄소 또는 임의의 이들의 혼합물을 포함한 라디칼들을 함유할 수 있다. 상기 라디칼들은, 예를 들면 용량성 RF 필드인 전기장, 예를 들면 유도성 RF 필드인 자기장, 또는 전자기 방사선과 같은 전자기 에너지에 라디칼 전구체를 노출시킴으로써, 프로세싱 챔버 내부에서 발생될 수 있다. 전자기 방사선은 가스 분배기를 가열함으로써 전달될 수 있는 열이거나, 방사체(emitter)에 의해 전달되는 UV 또는 극초단파일 수 있다. 다른 실시예에서, 전자기 에너지에 대한 노출은 별도의 활성 챔버에서 이루어질 수 있으며, 이 때, 라디칼들을 함유한 라디칼 전구체가 코팅될 가스 분배기를 수용하고 있는 프로세싱 챔버에 제공될 수 있다. 라디칼 전구체가 별도의 프로세싱 챔버에서 활성화되는 실시예들에서, 가스 분배기에 대한 코팅의 증착은 약 200℃ 이상의 온도에서 이루어진다.
증착된 층은 단계 206에서 선택적으로 가열처리될 수 있다. 가열 처리 동안, 반응 가스의 유동은 일반적으로 중단되고, 새로 증착된 층을 가진 부품들은 증착된 층을 경화 또는 강화하기 위해 약 500℃ 이상의 온도로 가열된다. 고온으로의 가열은 금속과 같은 일부 증착된 층들의 평활화(smoothing)를 도출할 수도 있다. 고온 처리는 증착된 층들에 남아있을 수 있는 퓨저티브 반응종을 제거하는데 있어서 도움이 될 수도 있다.
전구체 가스들은 후속 프로세싱을 준비하기 위해 단계 208에서 챔버로부터 퍼지될 수 있다. 증착 저항층이 인-시튜 증착되는 실시예에서, 증착된 층으로부터 퓨저티브 반응종을 제거하고 챔버 내부의 임의의 표면에 흡수된 임의의 반응종을 퍼지하기 위해, 전구체 가스들이 챔버로부터 퍼지된다.
세정 및
시즈닝
도 3은 다른 실시예에 따른 방법(300)을 도시한 흐름도이다. 단계 302에서, 할로겐 가스와 같은 세정 가스가 표면 오염물을 에칭하기 위해 챔버에 제공된다. 일반적으로, 오염물은 전술된 바람직하지 않은 증착 산물이다. 일 예에서, 상기 할로겐 가스는 염소 가스(Cl2) 또는 불소 가스(F2)와 같은 원소상 할로겐이거나, HCl 또는 HF와 같은 수소 할로겐화물 가스일 수 있다. 할로겐종들은 일반적으로 금속 또는 금속 질화물인 표면 오염물과 반응하여 휘발성 금속 할로겐화물을 생성한다. 챔버는 챔버 표면 상의 할로겐 잔류물을 최소화하기 위해 진공 하에 유지된다. 일부 금속 할로겐화물들은 비교적 저온에서 해리되기 때문에, 챔버의 온도는 약 20℃ 내지 약 200℃와 같이 약 200℃ 미만으로, 예를 들어 약 100℃로 유지될 수 있다. 상기 할로겐화물 종들에 대한 노출은 약 5분 내지 약 10분 동안 계속된다.
단계 304에서, 할로겐 가스는 아르곤(Ar), 헬륨(He) 또는 질소(N2)와 같은 불활성 가스를 이용하여 챔버로부터 퍼지된다. 단계 306에서, 불활성 가스로부터 플라즈마가 형성된다. 상기 불활성 가스는 플라즈마 챔버에 제공되며, 전기장(DC 또는 RF)과 같은 전자기 에너지 또는 열, UV 또는 극초단파 방사선과 같은 전자기 방사선 중 임의의 적절한 형태를 이용하여 전력이 인가된다.
단계 308에서, 불활성 가스 플라즈마가 프로세스 챔버에 제공된다. 상기 프로세스 챔버는 할로겐 세정 단계(302)로부터의 잔여 할로겐종들을 가질 수 있다. 상기 불활성 가스 플라즈마는 오염물과 반응하고 이를 연화시키고 에칭하는 이온 및 라디칼과 같은 반응종들을 포함한다. 일부 실시예에서, 플라즈마 사전 처리는 후속 시즈닝 프로세스의 유효성을 높일 수 있다. 일 실시예에서, 아르곤, 헬륨 또는 질소 또는 임의의 이들의 조합은, 이 성분들 중 하나 또는 둘 이상을 포함한 가스 혼합물을 약 1 slm 내지 약 40 slm의 유량으로 플라즈마 챔버를 통해 유동시키고, 상기 챔버 내의 가스에 전자기 에너지를 인가함으로써, 플라즈마 챔버에서 활성화된다. 상기 전자기 에너지는 상기 가스에 약 200 Watts 내지 약 5,000 Watts의 전력을 인가하는 RF 또는 DC 전기장 형태를 취할 수 있으며, 또는 이와 유사한 수준의 전력 레벨의 열, UV 또는 극초단파 에너지의 형태를 취할 수 있다.
단계 310에서, 챔버 표면들로부터 임의의 잔여 할로겐을 제거하는 가스를 이용하여 상기 불활성 가스 플라즈마가 챔버로부터 퍼지된다. 후속 증착 프로세스에서 할로겐종들이 결합하지 않도록 하기 위해, 잔여 할로겐은 챔버로부터 퍼지되며 챔버 표면들로부터 제거된다. 챔버 표면들로부터 잔여 할로겐을 제거할 수 있는 가스들의 예는 암모니아(NH3), 질소 가스(N2), 하이드라진(H2N2)과 같은 질소 함유 가스와, 단순한 하이드로카본 메탄(CH4), 에탄(C2H6), 에틸렌(C2H4) 및 아세틸렌(C2H2)과 같은 수소 함유 가스, 또는 실란(SiH4) 또는 게르만(GeH4)과 같은 다른 수소화물이다.
상기 제거 가스는 반응성을 증가시키기 위해 활성화될 수 있다. 예를 들어, RF 필드인 전기장과 같은 전자기 에너지 또는 열, UV 또는 극초단파 방사선과 같은 전자기 방사선을 이용하여 이들을 활성화함으로써와 같이, 이들의 화합물로부터 질소 또는 수소의 라디칼들이 형성될 수 있다. 상기 챔버를 약 900℃ 내지 약 1,100℃와 같은 약 600℃ 또는 그보다 높은 온도, 예를 들어 약 1,000℃로 유지함으로써, 열 에너지가 제공될 수 있다. 세정중인 상기 챔버로부터 원격지에 있는 활성 챔버 내의 가스에 UV 또는 극초단파 방사선이 결합될 수 있다. 일반적으로, 상기 제거 가스에 의한 퍼징은 약 5분 내지 약 10분 동안 유지된다. 상기 제거 가스를 도입하기 전에, 불활성 가스를 이용한 플라즈마 발생은 중단될 수 있으며, 상기 챔버로부터 대부분의 활성종과 세정 부산물을 퍼지하기 위해, 상기 불활성 가스의 유동은 약 10초 내지 약 30초의 기간 동안 계속된다.
단계 312 또는 단계 314에서, 증착 저항 박막이 챔버 부품들에 도포될 수 있다. 단계 312에서, 챔버의 내부 표면에 박막을 증착하기 위하여, 금속, 또는 TMG, TMA, TMI 또는 TMS와 같은 실리콘 함유 가스가 단계 310으로부터의 제거 가스에 첨가될 수 있다. 보란 또는 디보란으로부터 유도된 붕소, 또는 포스핀으로부터 유도된 인과 같은 도펀트를 포함함으로써 p-도핑되거나 n-도핑될 수 있는 실리콘 탄화물(SiC), 실리콘 질화물(SiN), 갈륨 질화물(GaN), 알루미늄 질화물(AlN)과 같은 박막, 또는 이러한 성분 중 둘 이상(more than one)으로 이루어진 박막들이 세정 챔버 표면 자체보다 MOCVD 또는 HVPE 프로세스에서 증착물에 대해 더 저항할 수 있다. 증착 저항 박막의 형성은 활성화된 제거 가스로부터의 라디칼종들이 금속 또는 실리콘 함유 가스와 반응하도록 제거 가스의 활성화를 유지함으로써 향상될 수 있다. 약 600℃ 내지 약 800℃와 같이, 상기 제거 가스를 활성화시키기에는 충분히 높지만 챔버 표면에서 반응 산물의 증착을 조장하기에는 충분히 낮게 챔버 온도를 유지하는 것 또한 상기 증착 저항 박막의 형성을 향상시킨다. 일부 실시예에서, 챔버의 온도는 기판 지지체를 가열함으로써 유지될 수 있다.
대안적으로, 단계 314에서, PVD 프로세스를 이용하여 증착 저항 박막이 증착될 수 있다. 상기 저항 박막으로 코팅될 챔버 부품들이 PVD 챔버 내에 배치되고, 상기 부품들 상에 코팅이 스퍼터링된다. 전술한 바와 같은 재료들이 챔버 부품들에 스퍼터 코팅될 수 있다. 대안적으로, 텅스텐, 크롬, 몰리브덴, 또는 이들의 조합 또는 합금과 같은 저항성 금속들이 스퍼터 코팅될 수 있다.
도 2 및 도 3의 프로세스 중 임의의 단계에서 가열 처리 작업이 유리하게 실시될 수 있다. 열 처리 프로세스는 약 60초 내지 5분의 기간과 같은 약 30초 내지 10분의 기간 동안 약 5 Torr 내지 약 300 Torr의 압력에서 약 800℃ 내지 약 1,200℃로 챔버의 내부 온도를 설정하는 단계를 포함할 수 있다. 열 처리는 상이한 단계에서 실시되는 경우 상이한 효과를 가질 수 있으나, 코팅과 시즈닝 층들을 밀집시키거나 및/또는 경화시키고, 표면에 부착된 종들을 휘발시키기 위해 일반적으로 사용된다.
일부 실시예에서, 증착 프로세스를 실시하기 전에, 세정 작업을 수행하지 않고 가스 분배기를 포함하여 챔버 내부 표면들을 안정화 층으로 사전 코팅하는 것이 유리할 수 있다. 안정화 층으로 코팅하는 것은 전체 세정 작업보다 더 빠를 수 있으며, 전체 세정 작업을 수행하지 않고 프로세싱이 계속될 수 있도록 할 수 있다. 안정화 층은 기판이 이물질로 오염될 가능성을 최소화하기 위해 챔버 내의 기판에 증착될 수 있는 층들과 유사한 조성을 가질 수 있다. 전술한 프로세스 조건에 따라 TMS, TMA, TMG 및/또는 TMI와 같은 금속 유기 전구체와 NH3 및/또는 H2와 같은 환원 시약을 챔버로 유동시키고, 상기 가스 혼합물을 활성화시킴으로써, 안정화 층이 형성될 수 있다. 또한, 실란과 메탄의 혼합물로부터 실리콘 탄화물 안정화 층이 형성될 수 있다. 약 0.2㎛ 내지 약 2.0㎛의 두께를 가진 안정화 층은 선행 프로세스로부터 챔버 표면에 잔류할 수 있는 임의의 증착물을 안정화시킬 것이다.
상기 세정, 코팅, 시즈닝, 베이킹 및 안정화 프로세스들은 증착 프로세스와 관련하여 임의의 유리한 조합으로 실시될 수 있다. 일 실시예에서, 각각의 증착 프로세스 후, 다음 증착 프로세스에 앞서, 세정, 코팅, 시즈닝 및 안정화가 실시된다. 다른 실시예에서, 베이킹과 안정화, 또는 단지 안정화는 각각의 증착 프로세스 후에 실시되는 반면, 세정, 코팅 및 시즈닝은 복수의 증착 프로세스 후에 실시된다. 다른 실시예에서, N회의 증착 프로세스가 안정화 작업들 사이에 실시되고, M회의 안정화 사이클이 세정과 시즈닝 작업 사이에 실시되며, 여기서, N은 1 내지 20회의 증착 프로세스이고, M은 0 내지 5회의 안정화 사이클이다. 안정화 층의 두께는 안정화 작업 간의 증착 사이클의 횟수를 기초로 조절될 수 있다. 예를 들어, 많은 횟수의 순차적인 증착 프로세스 후, 더 두꺼운 안정화 층이 형성될 수 있다.
안정화는 일부 실시예에서 후속 증착 프로세스에서 사용될 금속 유기 화합물을 포함한 분위기에 챔버를 침지(soaking)시킴으로써 이루어질 수 있다. 예를 들어, 갈륨 함유 층을 증착하기 전에, 선택적으로, 질소 또는 수소와 같은 불활성 캐리어 가스와 함께 TMG를 포함한 가스가 약 30초 내지 약 30분의 침지 기간 동안, 예를 들어, 약 10분 동안 챔버에 제공될 수 있다. 일반적으로, 침지는 약 20℃ 내지 약 1,000℃ 범위의 온도에서 약 10 Torr 내지 약 300 Torr의 챔버 압력으로 실시된다. 그 다음, 챔버 내의 가스 혼합물에 암모니아와 같은 증착 전구체를 첨가함으로써, 증착이 시작될 수 있다. 알루미늄, 실리콘 및 인듐 층의 증착에 앞서, 각각 TMA, TMS 및 TMI에 침지시킴으로써 유사한 안정화가 실시될 수 있다. 다중 양자 우물 층을 위한 p형 도펀트로서 디시클로펜타디에닐 마그네슘(Cp2Mg)이 사용되는 증착 사이클에 앞서, 안정화를 달성하기 위해 챔버는 Cp2Mg에 유리하게 침지될 수 있다. 침지 프로세스에 의한 안정화는 안정화 층을 형성하는 것에 부가하거나, 또는 그 대신에 실시될 수 있다.
일부 실시예에서, 증착 프로세스 도중 챔버 내부 표면들에서의 증착물의 형성을 지연시키기 위해, 둘 이상의 박막이 챔버 부품들에 도포될 수 있다. 예를 들어, 챔버 부품들은 PVD 챔버 내에서 전술한 바와 같은 저항성 금속으로 스퍼터 코팅된 다음, 실리콘 또는 금속 화합물로 CVD 코팅될 수 있다. 이러한 박막 상에 형성된 증착물들은 본 명세서에서 다른 곳에 기재된 프로세스들을 이용하여 박리될 수 있음으로써, 금속 박막과 아마도 CVD 박막의 일부를 남기게 되며, 상기 CVD 박막은 전술한 바와 같이 박리 프로세스 후에 대체될 수 있다. 다른 실시예에서, 둘 또는 그보다 많은 저항성 재료, 예를 들어, 텅스텐, 크롬, 몰리브덴 또는 임의의 이들의 조합으로 도핑된 갈륨 질화물, 실리콘 질화물, 실리콘 탄화물 또는 알루미늄 질화물을 포함한 균질한 박막이 이들 금속 중 임의의 금속을 포함한 하나 또는 둘 이상의 전구체를 CVD 박막 형성 프로세스에 첨가함으로써 또한 형성될 수 있다.
장치
도 4는 MOCVD 또는 HVPE 증착 챔버에서 사용될 수 있으며 본 명세서에 기재된 실시예들을 실행하기에 유용할 수 있는 가스 분배기(400)의 개략적 단면도이다. 상기 가스 분배기(400)는 챔버 벽체(402)와 기판 지지체(404)에 가깝게 도시되어 있다. 작동에 있어서, 기판은 일반적으로 기판 지지체(404) 상에 배치되며, 상기 기판 지지체(404), 챔버 벽체(402) 및 가스 분배기(400)에 의해 규정된 프로세싱 영역(406)으로 가스들이 제공된다.
상기 가스들은 화학물질 전달 모듈(408)에 의해 복수의 통로를 거쳐 가스 분배기(400)를 통해 제공된다. 제 1 통로(410)와 제 2 통로(412)는 상기 화학물질 전달 모듈(408)과 소통한다. 제 1 통로(410)는 제 1 도관(414)과 복수의 제 1 출구(416)를 거쳐 프로세싱 영역(406)에 제 1 전구체 또는 가스 혼합물을 전달한다. 제 2 통로(412)는 제 2 도관(418)과 복수의 제 2 출구(420)를 거쳐 프로세싱 영역(406)에 제 2 전구체 또는 가스 혼합물을 전달한다. 열 제어 채널(422)이 열 제어 통로(426)를 통해 열 교환 시스템(424)에 커플링된다. 열 제어 유체는 열 교환 시스템(424)으로부터 열 제어 통로(426) 및 열 제어 채널(422)을 통해 유동하여, 출구(428)를 통해 빠져나가며, 요구되는 경우, 상기 열 제어 유체는 상기 출구로부터 상기 열 교환 시스템(424)으로 회귀될 수 있다. 일반적으로, 프로세스 가스들은 하나 또는 둘 이상의 배기 포트(438)와 소통하는 배기 채널(436)을 통해 챔버를 빠져나가며, 상기 배기 포트들은 진공 시스템(미도시)과 소통한다.
일부 실시예에서, 원격 플라즈마 소오스(430)와 함께 사용하기 위한 중앙 통로(432)가 가스 분배기(400)를 통해 제공된다. 상기 원격 플라즈마 소오스(430)는 화학물질 전달 모듈(408)로부터 전구체들을 수용하여, 당해 원격 플라즈마 소오스(430) 내에 플라즈마를 형성함으로써 이들을 활성화시키고, 활성화된 종들을 중앙 통로(432)를 통해 프로세싱 영역(406)으로 제공한다. 또한, 상기 중앙 통로(432)는 일부 실시예에서 활성화되지 않은 가스들을 프로세싱 영역(406)으로 제공하기 위해 사용될 수 있다. 일부 실시예에서, 예를 들어, 상기 중앙 통로(432)를 통해 세정 가스 또는 전구체가 프로세싱 영역(406)으로 직접 제공될 수 있다.
도 4의 가스 분배기(400)는 전구체 통로(414,418)를 이용하지 않고 프로세싱 영역(406)으로 프로세스 가스를 공급하기 위해 가스 분배기(400)의 주변 영역을 통해 배치된 바이패스 통로(434)를 갖는다. 이러한 바이패스 통로는 세정, 시즈닝, 컨디셔닝 또는 다른 프로세스들을 위해 유용할 수 있다.
도 5a는 본 명세서에 기재된 하나 또는 둘 이상의 프로세스로부터 이득을 볼 수 있는 증착 챔버용 가스 분배기(500)의 단면도이다. 상기 가스 분배기(500)는 복수의 제 1 개구(502)와 복수의 제 2 개구(504)를 포함하며, 상기 복수의 제 2 개구의 각각이 상기 복수의 제 1 개구 중 하나를 각각 둘러쌈으로써, 각각의 개구(502)는 개구(504)와 동심으로 정렬된다. 상기 복수의 제 1 개구(502)는 제 1 가스 통로(506) 및 제 1 가스 입구(508)와 소통하며, 상기 제 1 가스 통로는 플레넘(plenum)(518)과, 복수의 입구(522)가 관통하여 형성된 차단판(520)을 포함한다. 상기 복수의 제 2 개구(504)는 제 2 가스 통로(510) 및 제 2 가스 입구(512)와 소통한다. 상기 복수의 제 1 및 제 2 개구(502,504)는 가스 분배기(500)의 표면(514)에 형성되며, 상기 가스 분배기는 상기 표면(514)에 인접한 프로세싱 용적(516)을 대면하고 있다. 상기 제 1 및 제 2 가스 통로(506,510)는 프로세스 가스들을 미리 혼합하지 않고 프로세싱 용적(516)으로 용이하게 제공하도록 한다.
상기 표면(514)의 중앙 개구(524)는 제 3 통로(526) 및 제 3 가스 입구(528)와 소통한다. 요구되는 경우, 상기 제 3 통로(526)는 프로세싱 용적(516)의 중앙부로 프로세스 가스들을 유동시키는 수단을 제공하면서, 상기 복수의 제 1 및 제 2 개구(502,504)를 바이패스한다. 프로세스 가스들을 프로세싱 용적(516)으로 유동시키면서, 가스 분배기를 완전히 바이패스시키기 위해서, 상기 가스 분배기(500)의 측벽(530)과 덮개부(534)는 이들을 통하여 형성되고 제 4 가스 입구(536) 또는 복수의 제 4 가스 입구와 소통하는 하나 또는 둘 이상의 개구(532)를 가질 수 있다.
도 5b는 도 5a의 가스 분배기(500)의 일부의 확대도이다. 상기 가스 분배기(500)의 표면(514) 위에 코팅(538)이 제공된다. 도 5a의 코팅(538)은 본 명세서에서 다른 곳에 기재된 바와 같은 CVD 코팅이다. 상기 코팅(538)은 프로세싱 용적(516)을 대면하고 있는 표면(514)의 일부를 커버하지만, 개구(502,504,524)를 침투하지는 않는다.
도 5c는 가스 분배기(500)의 개구(504) 주변의 영역의 상세도이다. 개구(504)는 개구(504)의 대향 벽체들 간의 거리에 의해 규정된 크기 "d"를 갖는다. 상기 코팅은 일반적으로 약 100㎚ 내지 약 200㎚인 두께 "t"를 갖는다. 상기 개구(504)를 둘러싼 제외 구역 "e"은 증착 도중 개구(504)를 빠져나가는 가스의 유동 및 혼합으로 인해 코팅되지 않는다. 기판 상에 층을 증착할 때 사용되는 것과 실질적으로 유사한 가스 유량을 이용하여 코팅을 형성함으로써, 코팅된 가스 분배기의 영역은 기판을 프로세싱할 때 증착물을 수용하는 영역과 실질적으로 일치하게 되고, 따라서, 상기 제외 구역 "e"은 금속 질화물 증착물이 제외 구역 "e"에 형성되지 않도록 하는 크기이다. 일 실시예에서, 상기 제외 구역 "e"은 개구 크기 "d"의 약 50% 미만인 크기를 갖는다. 상기 코팅(538)은 제외 구역 "e"에 가까워지면서 두께가 테이퍼진다. 상기 코팅(538)이 테이퍼지는 거리는 통상적으로 개구(504)의 크기 "d"의 약 10% 내지 약 20%이며, 따라서 평균 테이퍼 각도(α)는 두께 "t"에 따라 약 0°내지 약 5°이다.
일 실시예에서, 상기 코팅은 둘 이상의 증착된 층을 포함할 수 있다. 예를 들어, 텅스텐 박막이 가스 분배기(500)에 먼저 증착될 수 있으며, 전술한 종류의 CVD 박막(즉, 실리콘 질화물, 실리콘 탄화물, 갈륨 질화물, 알루미늄 질화물)이 후속할 수 있다. 다른 실시예에서, 증착 산물에 대한 박막의 저항을 개선하기 위해, 텅스텐 도핑된 CVD 박막이 가스 분배기(500) 상에 형성될 수 있다. 위에서 열거한 화합물 중 하나로 이루어진 박막을 형성하기 위해 CVD 프로세스에서, 증착된 박막에 텅스텐을 첨가하기 위해 텅스텐 전구체가 다른 전구체들과 함께 챔버에 제공될 수 있다. 다른 실시예에서, 텅스텐 도핑된 CVD 박막이 당업계에 공지된 PVD 또는 CVD 프로세스들에 의해 증착된 텅스텐 박막 위에 형성될 수 있다. 이 실시예들 각각에서, 텅스텐을 대신하거나, 또는 그에 추가하여 크롬 또는 몰리브덴이 사용될 수 있다.
상기 코팅(538)은 그 경도, 평활도 또는 증착에 대한 불활성도를 개선하기 위해 열 처리될 수 있다. 추가적으로, 이중층 또는 다층 박막이 다양한 층들의 부착력을 함께 개선하기 위해 열 처리될 수 있다. 일반적으로, 전술한 바와 같은 열 처리는 박막을 프로세스 조건에 따라 경화시키기에 충분할 것이다.
작동에 있어서, 제 1 가스 통로(506)를 통해 제 1 전구체가 프로세싱 용적(516)으로 제공되며, 제 2 가스 통로(510)를 통해 제 2 전구체가 프로세싱 용적(516)으로 제공된다. 상기 제 1 전구체는 갈륨, 알루미늄 또는 인듐과 같은 3족 재료를 포함할 수 있다. 상기 3족 재료는 트리메틸 갈륨(TMG), 트리메틸 알루미늄(TMA) 또는 트리메틸 인듐(TMI)과 같은 금속 유리 전구체, 또는 다른 금속 유기 화합물일 수 있다. 상기 제 2 전구체는 통상적으로 암모니아와 같은 질소 함유 전구체이다. 상기 제 1 및 제 2 전구체들은 가스 분배기를 빠져나가자마자 혼합되고 반응하여 기판 상에 3족 질화물 층을 형성하며, 도 4의 기판 지지체(404)에서와 같이, 일반적으로, 상기 기판은 가스 분배기를 대면하며 배열된 기판 지지체 상에 배치된다. 질소, 수소, 아르곤 또는 헬륨과 같은 캐리어 가스에 상기 제 1 또는 제 2 전구체가 제공될 수 있으며, 상기 제 1 및 제 2 전구체들은 복수의 성분들의 혼합물일 수 있다. 예를 들어, 제 1 전구체는 TMG, TMA 및/또는 TMI의 혼합물일 수 있으며, 제 2 전구체는 암모니아와, 하이드라진 또는 저 아민(lower amine)과 같은 다른 질소 화합물의 혼합물일 수 있다.
희생 코팅
일 실시예에서, 도 5a 및 도 5b의 가스 분배기에 도포된 코팅은 실리콘, 알루미늄 또는 이들 모두를 포함하는 희생층일 수 있다. 실리콘 및/또는 알루미늄의 질화물을 포함하는 층이 프로세싱 환경을 대면하고 있는 가스 분배기의 표면 상에 형성될 수 있다. 상기 희생층 상에 형성된 금속 질화물 증착물을 제거하기 위해 전술한 세정 작업 도중, 상기 활성 할로겐 가스는 증착물이 변환되거나 제거되는 것보다 더 빠르게 희생층을 에칭하여, 상기 증착물 층 뒤의 희생층을 제거하고, 상기 증착물 층의 더 많은 표면적을 할로겐 가스에 노출시키며, 할로겐 가스와의 반응 속도를 증가시키게 된다. 상기 희생층은 알루미늄 질화물 층, 실리콘 질화물 층 또는 이들의 혼합물일 수 있다. 일부 실시예에서, 상기 희생층은 예를 들어 실리콘과 실리콘 질화물, 또는 알루미늄과 알루미늄 질화물로 이루어진 이중 층일 수 있다. 일부 실시예에서, 선행 증착된 희생층 및 다른 챔버 증착물을 제거하는 세정 프로세스(예를 들어, 도 3의 단계 302)를 실시한 후, 디바이스 형성층(예를 들어, 하나 또는 둘 이상의 3족 층)이 프로세싱 챔버 내의 하나 또는 둘 이상의 기판 상에 증착되기 전에 챔버 부품들의 표면 상에 새로운 희생층이 증착된다.
상기 희생층은, 챔버 부품들에 당해 희생층을 형성하기 위해 TMS, 실란 또는 TMA와 같은 실리콘 또는 알루미늄 전구체 또는 이들 모두를 챔버에 제공함으로써, CVD 프로세스에서 형성될 수 있다. 일 실시예에서, 임의의 전술한 바와 같은 실리콘 또는 알루미늄 전구체 및 질소 함유 가스가 프로세싱 챔버의 프로세싱 영역에 제공된다. 일 실시예에서, 질소 함유 가스로서 암모니아가 사용된다. 수소 또는 아르곤과 같은 캐리어 가스에 전구체 가스 혼합물과 질소 함유 가스가 모두 제공될 수 있다. 일반적으로, 챔버 온도는 희생층 형성 과정중 1,000℃ 초과, 예를 들어, 약 1,100℃ 내지 약 1,200℃로 유지되며, 챔버 압력은 약 100 Torr 내지 약 200 Torr로 유지된다.
일 실시예에서, 암모니아와 수소의 혼합물이 약 60 sLm으로 챔버로 유입된다. 암모니아의 유량은 약 5 sLm 내지 약 30 sLm, 예를 들어, 약 25 sLm일 수 있다. 암모니아/수소 혼합물의 유동은 수소 가스의 유동을 개시한 다음 수소 캐리어 가스에 암모니아 가스를 유동시킴으로써 형성될 수 있다. 챔버 온도와 압력은 전술한 바와 같이 설정되고, TMA와 수소를 포함한 전구체 혼합물의 유동이 시작된다. 일반적으로, 전구체 혼합물의 유량은 암모니아/수소 혼합물의 유량에 가까운 약 60 sLm이고, 이때 TMA는 약 0 sLm 내지 약 20 sLm, 예를 들어, 약 15 sLm으로 유동한다. 스트림들은 혼합되고 반응하여 가스 분배기에 알루미늄 질화물 층을 증착하게 된다. 약 10 분 내지 약 30 분의 기간 동안 반응을 유지하면, 가스 분배기에 약 100㎚ 내지 약 200㎚의 두께를 가진 층이 증착될 것이다.
다른 실시예에서, 상기 희생층은 금속 질화물, 예를 들어 갈륨 질화물의 층을 포함할 수 있다. 일반적으로, 반응이 계속됨에 따라 실리콘 또는 알루미늄 전구체의 유동은 금속 전구체로 대체되며, 실리콘 또는 알루미늄의 증착은 금속의 증착으로 이행한다. 일 실시예에서, 알루미늄 질화물 층 위에 갈륨 질화물 박막을 증착하기 위해, TMA의 유동은 동일한 유량의 TMG의 유동으로 대체된다. 다른 실시예에서, 상기 희생층은 3개의 층, 예를 들어, 알루미늄 층, 알루미늄 질화물 층 및 갈륨 질화물 층을 포함할 수 있다.
상술한 조건에서, 도핑되거나 도핑되지 않은, 갈륨 질화물, 또는 다른 금속 질화물(인듐 등)로 이루어진 상기 코팅은 저품질(low quality)층이고, 금속이 농후하며(rich in metal), 금속 질화물 도메인과 금속 매트릭스를 포함하는 형태(morphology)를 갖는다. 또한, 상기 금속 질화물 도메인은 통상적으로 질소 공격자점(vacancies)들을 갖게 될 것이다. 상기 층의 구조는 당해 층 상에 금속 질화물이 증착하는 것에 대한 친화도를 감소시킨다.
전술한 증착 및 세정에 대한 모든 실시예에서, 가스 분배기와 프로세스 가스들의 상호작용에 따라 좌우되는 작업들이 가스 분배기를 바이패스하는 가스 입구를 통해 하나 또는 둘 이상의 프로세스 가스를 유동시킴으로써 개선될 수 있음에 유의하여야 한다. 예를 들어, 도 5a의 실시예에서, 세정 작업을 위한 할로겐 가스, 퍼지 작업을 위한 퍼지 가스, 또는 제거 또는 증착 작업을 위한 질소 함유 가스를 전송하기 위해, 가스 분배기(500)의 측벽(530)을 통하여 형성된 개구(532)가 유리하게 이용될 수 있다. 하나 또는 둘 이상의 가스들을 바이패스 통로를 통해 유동시키면, 가스 분배기의 표면과 프로세스 가스가 더 친밀하게 접촉하게 된다.
상술한 설명은 챔버의 내부 표면이 세정되고, 상기 챔버의 가스 분배 조립체를 통해 CVD 전구체들을 공급함으로써, 선택적으로, 하나 또는 둘 이상의 박막이 프로세싱 챔버의 내부 표면에 증착되는 실시예들을 기재하고 있다. 대안적 실시예들은 챔버 측벽의 하나 또는 둘 이상의 입구를 통해, 또는 챔버 바닥의 하나 또는 둘 이상의 입구를 통해, 또는 상기 챔버의 가스 분배기, 측벽 및 바닥을 통한 공급의 임의의 조합을 통해 전구체들을 공급할 수 있음에 유의하여야 한다. 챔버 측벽과 바닥을 통한 전구체 및/또는 세정 가스의 공급은 챔버를 통과하는 가스 유동 패턴을 변환시킴으로써 전구체들의 반응 성분들에 대한 챔버 내부 표면들의 노출을 향상시킬 수 있다.
전술한 바는 본 발명의 실시예에 대한 것이지만, 본 발명의 다른 추가의 실시예들이 그 기본적 범위를 벗어나지 않고 안출될 수 있다.
Claims (16)
- MOCVD 챔버에서의 프로세싱 런(processing run) 동안 가스 분배기에 형성된 3족 질화물 증착물을 세정하는 방법으로서,
상기 프로세싱 런 이전에 상기 가스 분배기에 희생 코팅을 형성하는 단계;
상기 프로세싱 런 후, 상기 3족 질화물 증착물과 상기 희생 코팅을 활성화된 할로겐 함유 가스에 노출시키는 단계; 및
상기 희생 코팅과 상기 3족 질화물 증착물을 에칭하는 단계;를 포함하며,
상기 희생 코팅이 상기 3족 질화물 증착물보다 더 빠르게 에칭되는,
세정 방법.
- 제 1 항에 있어서,
상기 희생 코팅이 알루미늄, 실리콘 또는 이들 모두를 포함하는,
세정 방법.
- 제 1 항에 있어서,
상기 3족 질화물 증착물을 에칭하는 단계는 상기 3족 질화물 증착물을 3족 할로겐화물 고형체로 변환하는 단계와, 상기 3족 할로겐화물 고형체를 제거하는 단계를 포함하는,
세정 방법.
- 제 1 항에 있어서,
상기 할로겐 가스는 600℃ 초과의 온도로 가열함으로써 활성화되는,
세정 방법.
- 제 1 항에 있어서,
상기 희생 코팅은 실리콘과 알루미늄 중 하나 이상과, 질소를 포함하는,
세정 방법.
- 제 1 항에 있어서,
상기 가스 분배기에 희생 코팅을 제공하는 단계는 상기 가스 분배기에 실리콘과 알루미늄중 하나 이상과 질소를 포함하는 층을 증착하기 위해 유기알루미늄 화합물, 유기실리콘 화합물 또는 이들의 혼합물을 질소 함유 화합물과 반응시키는 단계를 포함하는,
세정 방법.
- 제 6 항에 있어서,
상기 유기알루미늄 및 유기실리콘 화합물들은 제 1 통로를 통해 상기 MOCVD 챔버에 제공되고, 상기 질소 함유 화합물은 제 2 통로를 통해 상기 MOCVD 챔버에 제공되며, 상기 제 1 통로와 상기 제 2 통로 중 하나는 상기 가스 분배기를 바이패스하는,
세정 방법.
- 제 6 항에 있어서,
상기 가스 분배기는 제 1 가스 통로와 제 2 가스 통로를 포함하고, 상기 유기 실리콘 또는 유기알루미늄 화합물들은 제 1 체적 유량으로 제 1 가스 통로를 통해 유동되고, 불활성 가스가 제 2 체적 유량으로 제 2 가스 통로를 통해 유동되며, 상기 제 1 체적 유량과 상기 제 2 체적 유량은 실질적으로 동일하고, 상기 질소 함유 화합물은 상기 가스 분배기를 바이패스하는 제 3 가스 통로를 통해 유동되는,
세정 방법.
- 제 3 항에 있어서,
상기 3족 질화물 증착물을 3족 할로겐화물 고형체로 변환하는 단계는 약 600℃ 초과의 온도에서 상기 활성화된 할로겐 함유 가스를 상기 3족 질화물 증착물 및 상기 희생 코팅과 반응시키는 단계를 포함하는,
세정 방법.
- 제 3 항에 있어서,
상기 3족 할로겐화물 고형체를 제거하는 단계는 약 50 Torr 미만의 압력에서 약 1,000℃ 초과의 온도로 상기 3족 할로겐화물 고형체를 가열하는 단계를 포함하는,
세정 방법.
- 제 3 항에 있어서,
상기 변환하는 단계와 상기 제거하는 단계가 반복되는,
세정 방법.
- 프로세스 챔버에서 가스 분배기로부터 3족 질화물 증착물을 제거하는 방법으로서,
상기 가스 분배기를 할로겐 함유 가스에 노출시키는 단계;
휘발성 종들을 형성하기 위해 상기 할로겐 함유 가스를 상기 3족 질화물 증착물과 반응시키는 단계; 및
상기 가스 분배기를 활성 질소 함유 가스에 노출시키는 단계;를 포함하는,
제거 방법.
- 제 12 항에 있어서,
상기 할로겐 함유 가스는 염소 가스와 캐리어 가스의 혼합물인,
제거 방법.
- 제 12 항에 있어서,
상기 활성 질소 함유 가스는 약 1,000℃ 이상으로 가열된 암모니아를 포함하는,
제거 방법.
- 제 12 항에 있어서,
상기 가스 분배기를 불활성 가스로부터 형성된 플라즈마에 노출시키는 단계와, 상기 가스 분배기를 활성화된 제거 가스에 노출시키는 단계를 더 포함하는,
제거 방법.
- 프로세싱 환경에 노출되는 표면을 구비한 가스 분배기를 가진 증착 챔버의 작동 방법으로서,
상기 가스 분배기의 표면에 희생 코팅을 형성하는 단계;
상기 증착 챔버에 3족 금속 전구체와 질소 함유 전구체를 제공함으로써, 상기 가스 분배기의 코팅된 표면 상에 및 상기 증착 챔버 내의 기판 상에 3족 질화물 재료를 증착하는 단계;
상기 질소 함유 전구체를 이용하여 상기 증착 챔버로부터 상기 3족 금속 전구체를 퍼지하는 단계;
상기 증착 챔버에 할로겐 함유 가스를 제공하는 단계;
상기 할로겐 함유 가스를 약 600℃ 초과의 온도로 가열함으로써 상기 할로겐 함유 가스를 활성화시키는 단계;
상기 희생 코팅을 제거하고 상기 3족 질화물 증착물을 3족 할로겐화물 증착물로 변환시키기 위해, 상기 활성 할로겐 함유 가스를 상기 희생 코팅 및 상기 희생 코팅 상의 3족 질화물 증착물과 약 100 Torr 내지 약 200 Torr의 압력에서 반응시키는 단계;
상기 온도를 약 1,000℃ 이상으로 올리고, 상기 압력을 약 50 Torr 미만으로 낮춤으로써, 상기 3족 할로겐화물 증착물을 제거하는 단계; 및
불활성 분위기 하에서 약 1,000℃ 초과의 온도에서 상기 가스 분배기를 열간유지(heat-soaking)하는 단계;를 포함하는,
작동 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US23750509P | 2009-08-27 | 2009-08-27 | |
US61/237,505 | 2009-08-27 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20120090996A true KR20120090996A (ko) | 2012-08-17 |
Family
ID=43625330
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020127007605A KR20120090996A (ko) | 2009-08-27 | 2010-08-26 | 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 |
KR1020127003533A KR20120089446A (ko) | 2009-08-27 | 2010-08-27 | 가스 분배 샤워헤드 및 세정 방법 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020127003533A KR20120089446A (ko) | 2009-08-27 | 2010-08-27 | 가스 분배 샤워헤드 및 세정 방법 |
Country Status (6)
Country | Link |
---|---|
US (3) | US20110117728A1 (ko) |
JP (1) | JP2013503490A (ko) |
KR (2) | KR20120090996A (ko) |
CN (2) | CN102414801A (ko) |
TW (2) | TW201111050A (ko) |
WO (2) | WO2011031521A2 (ko) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2014039194A1 (en) * | 2012-09-07 | 2014-03-13 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
KR20150034898A (ko) | 2013-09-26 | 2015-04-06 | 주식회사 엘지화학 | 경화성 조성물, 이로 제조된 경화막 및 이를 포함하는 디스플레이 장치 |
WO2024196745A1 (en) * | 2023-03-20 | 2024-09-26 | Lam Research Corporation | Multi-step thermal chamber clean |
Families Citing this family (572)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100849929B1 (ko) * | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US7976631B2 (en) * | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
KR20090078538A (ko) * | 2008-01-15 | 2009-07-20 | 삼성전기주식회사 | 샤워 헤드와 이를 구비하는 화학 기상 증착 장치 |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101064210B1 (ko) * | 2009-06-01 | 2011-09-14 | 한국생산기술연구원 | 막증착 진공장비용 샤워헤드 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
WO2011139640A2 (en) * | 2010-05-06 | 2011-11-10 | Applied Materials, Inc. | Improved radiation heating efficiency by increasing absorption of a silicon containing material |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
WO2012118897A2 (en) | 2011-03-01 | 2012-09-07 | Applied Materials, Inc. | Abatement and strip process chamber in a dual loadlock configuration |
CN203746815U (zh) | 2011-03-01 | 2014-07-30 | 应用材料公司 | 用于处理基板的腔室 |
US11171008B2 (en) | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
KR101843609B1 (ko) * | 2011-03-04 | 2018-05-14 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
TWI534291B (zh) * | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
JP2012222157A (ja) * | 2011-04-08 | 2012-11-12 | Hitachi Kokusai Electric Inc | 基板処理装置、及び、太陽電池の製造方法 |
JP5741921B2 (ja) * | 2011-04-08 | 2015-07-01 | 株式会社日立国際電気 | 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法 |
TWI550752B (zh) * | 2011-04-11 | 2016-09-21 | 蘭姆研究公司 | 半導體基板處理系統與方法 |
US8900403B2 (en) | 2011-05-10 | 2014-12-02 | Lam Research Corporation | Semiconductor processing system having multiple decoupled plasma sources |
US8980046B2 (en) * | 2011-04-11 | 2015-03-17 | Lam Research Corporation | Semiconductor processing system with source for decoupled ion and radical control |
US9111728B2 (en) | 2011-04-11 | 2015-08-18 | Lam Research Corporation | E-beam enhanced decoupled source for semiconductor processing |
US20120270384A1 (en) * | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
EP2711974A4 (en) * | 2011-05-19 | 2015-03-11 | Furukawa Co Ltd | METHOD FOR WASHING COMPONENTS OF A SEMICONDUCTOR PRODUCTION APPARATUS, APPARATUS FOR WASHING A COMPONENT OF A SEMICONDUCTOR PRODUCTION APPARATUS, AND STEAM PHASE GROWER APPARATUS |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
DE102011056589A1 (de) * | 2011-07-12 | 2013-01-17 | Aixtron Se | Gaseinlassorgan eines CVD-Reaktors |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
TWI457180B (zh) * | 2011-08-15 | 2014-10-21 | Hermes Epitek Corp | 氣體噴頭 |
JP5710433B2 (ja) * | 2011-09-13 | 2015-04-30 | 株式会社東芝 | 成膜装置のクリーニング方法および成膜装置 |
CN103031535B (zh) * | 2011-09-28 | 2015-12-09 | 核心能源实业有限公司 | 薄膜工艺设备及其制作方法 |
US9303318B2 (en) * | 2011-10-20 | 2016-04-05 | Applied Materials, Inc. | Multiple complementary gas distribution assemblies |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8945317B2 (en) | 2011-12-07 | 2015-02-03 | Lam Research Corporation | System and method for cleaning gas injectors |
CN204570033U (zh) * | 2012-01-24 | 2015-08-19 | 应用材料公司 | 基板载具 |
US8784676B2 (en) * | 2012-02-03 | 2014-07-22 | Lam Research Corporation | Waferless auto conditioning |
US20130220222A1 (en) * | 2012-02-23 | 2013-08-29 | Hermes-Epitek Corporation | Gas Distribution Apparatus with Heat Exchanging Channels |
WO2013130191A1 (en) * | 2012-02-29 | 2013-09-06 | Applied Materials, Inc. | Abatement and strip process chamber in a load lock configuration |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9631273B2 (en) * | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9121097B2 (en) * | 2012-08-31 | 2015-09-01 | Novellus Systems, Inc. | Variable showerhead flow by varying internal baffle conductance |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN102899635B (zh) * | 2012-09-26 | 2015-12-02 | 中微半导体设备(上海)有限公司 | 一种原位清洁mocvd反应腔室的方法 |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
CN102899636B (zh) * | 2012-09-26 | 2015-12-09 | 中微半导体设备(上海)有限公司 | 一种原位清洁mocvd反应腔室的方法 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20140124788A1 (en) * | 2012-11-06 | 2014-05-08 | Intermolecular, Inc. | Chemical Vapor Deposition System |
US9982343B2 (en) * | 2012-12-14 | 2018-05-29 | Applied Materials, Inc. | Apparatus for providing plasma to a process chamber |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
JP2014127627A (ja) * | 2012-12-27 | 2014-07-07 | Tokyo Electron Ltd | 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置、及び、プログラム |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
TW201437423A (zh) * | 2013-02-21 | 2014-10-01 | Applied Materials Inc | 用於注射器至基板的空隙控制之裝置及方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
TWI625424B (zh) | 2013-03-13 | 2018-06-01 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
CN103219227A (zh) * | 2013-04-09 | 2013-07-24 | 上海华力微电子有限公司 | 等离子体清洗方法 |
DE102013104105A1 (de) * | 2013-04-23 | 2014-10-23 | Aixtron Se | MOCVD-Schichtwachstumsverfahren mit nachfolgendem mehrstufigen Reinigungsschritt |
JP6199619B2 (ja) * | 2013-06-13 | 2017-09-20 | 株式会社ニューフレアテクノロジー | 気相成長装置 |
JP6153401B2 (ja) * | 2013-07-02 | 2017-06-28 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9677176B2 (en) * | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
KR102028478B1 (ko) * | 2013-11-20 | 2019-10-04 | 엘지디스플레이 주식회사 | 화학기상 증착장치 |
JP6406811B2 (ja) * | 2013-11-20 | 2018-10-17 | 国立大学法人名古屋大学 | Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150167160A1 (en) * | 2013-12-16 | 2015-06-18 | Applied Materials, Inc. | Enabling radical-based deposition of dielectric films |
KR102019303B1 (ko) * | 2013-12-18 | 2019-09-06 | 엘지디스플레이 주식회사 | 화학기상 증착 시스템 |
JP5897617B2 (ja) | 2014-01-31 | 2016-03-30 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
CN106104775B (zh) * | 2014-01-31 | 2019-05-21 | 应用材料公司 | 腔室涂层 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
JP2015211156A (ja) * | 2014-04-28 | 2015-11-24 | 東京エレクトロン株式会社 | ドライクリーニング方法及びプラズマ処理装置 |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US20150332942A1 (en) * | 2014-05-16 | 2015-11-19 | Eng Sheng Peh | Pedestal fluid-based thermal control |
CN103981663B (zh) * | 2014-05-29 | 2016-05-11 | 浙江辰鸿纺织品科技有限公司 | 遮光布布面浆料整理装置 |
US9840777B2 (en) | 2014-06-27 | 2017-12-12 | Applied Materials, Inc. | Apparatus for radical-based deposition of dielectric films |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
CN107112278B (zh) * | 2014-12-15 | 2021-05-04 | 应用材料公司 | 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN104498904B (zh) * | 2014-12-29 | 2017-04-26 | 华中科技大学 | 一种用于mocvd设备的喷淋头 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
DE102015101462A1 (de) * | 2015-02-02 | 2016-08-04 | Aixtron Se | Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht |
CN105839074A (zh) * | 2015-02-03 | 2016-08-10 | Lg电子株式会社 | 用于太阳能电池的金属有机化学气相沉积设备 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
CN105986245A (zh) * | 2015-02-16 | 2016-10-05 | 中微半导体设备(上海)有限公司 | 改善mocvd反应工艺的部件及改善方法 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR101870649B1 (ko) * | 2015-03-31 | 2018-06-27 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
US9397011B1 (en) * | 2015-04-13 | 2016-07-19 | Lam Research Corporation | Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper |
US20160305009A1 (en) * | 2015-04-16 | 2016-10-20 | China Triumph International Engineering Co., Ltd. | Aperture with Optimized Thermal Emission Behavior |
FR3035122B1 (fr) * | 2015-04-20 | 2017-04-28 | Coating Plasma Ind | Procede de traitement de surface d'un film en mouvement et installation pour la mise en oeuvre de ce procede |
KR102573207B1 (ko) | 2015-05-19 | 2023-08-31 | 코닝 인코포레이티드 | 시트와 캐리어의 결합을 위한 물품 및 방법 |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
KR102608048B1 (ko) * | 2015-06-05 | 2023-11-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 프로세스 챔버 |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
CN107683347B (zh) * | 2015-06-18 | 2020-05-15 | 东芝三菱电机产业系统株式会社 | 金属氧化膜的成膜方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US20160375515A1 (en) * | 2015-06-29 | 2016-12-29 | Lam Research Corporation | Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing |
JP6607716B2 (ja) * | 2015-07-03 | 2019-11-20 | 昭和電工株式会社 | 成膜装置 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
JP6868616B2 (ja) * | 2015-10-08 | 2021-05-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 背面でのプラズマ点火が低減されたシャワーヘッド |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR102481166B1 (ko) | 2015-10-30 | 2022-12-27 | 삼성전자주식회사 | 식각 후처리 방법 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
JP7166759B2 (ja) * | 2015-12-04 | 2022-11-08 | アプライド マテリアルズ インコーポレイテッド | Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 |
US20170178758A1 (en) * | 2015-12-18 | 2017-06-22 | Applied Materials, Inc. | Uniform wafer temperature achievement in unsymmetric chamber environment |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6527482B2 (ja) * | 2016-03-14 | 2019-06-05 | 東芝デバイス&ストレージ株式会社 | 半導体製造装置 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10770272B2 (en) | 2016-04-11 | 2020-09-08 | Applied Materials, Inc. | Plasma-enhanced anneal chamber for wafer outgassing |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
TW202216444A (zh) | 2016-08-30 | 2022-05-01 | 美商康寧公司 | 用於片材接合的矽氧烷電漿聚合物 |
TWI821867B (zh) | 2016-08-31 | 2023-11-11 | 美商康寧公司 | 具以可控制式黏結的薄片之製品及製作其之方法 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10403476B2 (en) | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10954596B2 (en) * | 2016-12-08 | 2021-03-23 | Applied Materials, Inc. | Temporal atomic layer deposition process chamber |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11447861B2 (en) * | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
JP6899217B2 (ja) * | 2016-12-28 | 2021-07-07 | 株式会社Screenホールディングス | 基板処理装置、基板処理方法および基板処理システム |
US10573498B2 (en) * | 2017-01-09 | 2020-02-25 | Applied Materials, Inc. | Substrate processing apparatus including annular lamp assembly |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN106967961A (zh) * | 2017-04-14 | 2017-07-21 | 王宏兴 | 一种去除cvd反应腔体内壁沉积膜的方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
KR102366749B1 (ko) | 2017-04-28 | 2022-02-23 | 어플라이드 머티어리얼스, 인코포레이티드 | Oled 디바이스들의 제조에서 사용되는 진공 시스템을 세정하기 위한 방법, oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 방법, 및 oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 장치 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US12076763B2 (en) * | 2017-06-05 | 2024-09-03 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US20180347037A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10177017B1 (en) * | 2017-07-05 | 2019-01-08 | Applied Materials, Inc. | Method for conditioning a processing chamber for steady etching rate control |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
WO2019036710A1 (en) | 2017-08-18 | 2019-02-21 | Corning Incorporated | TEMPORARY BINDING USING POLYCATIONIC POLYMERS |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2019051364A1 (en) * | 2017-09-11 | 2019-03-14 | Applied Materials, Inc. | IN SITU SELECTIVE CLEANING OF HIGH K FILMS FROM A PROCESSING CHAMBER USING A REACTIVE GAS PRECURSOR |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US11331692B2 (en) * | 2017-12-15 | 2022-05-17 | Corning Incorporated | Methods for treating a substrate and method for making articles comprising bonded sheets |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
JP7126381B2 (ja) * | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
JP7225599B2 (ja) * | 2018-08-10 | 2023-02-21 | 東京エレクトロン株式会社 | 成膜装置 |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11742232B2 (en) * | 2018-08-22 | 2023-08-29 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP2020033625A (ja) * | 2018-08-31 | 2020-03-05 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11834743B2 (en) * | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US20220028662A1 (en) * | 2018-12-13 | 2022-01-27 | Lam Research Corporation | Multilayer coatings of component parts for a work piece processing chamber |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR102198929B1 (ko) * | 2019-02-28 | 2021-01-06 | 세메스 주식회사 | 기판 처리 장치의 가스 공급 유닛 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN110117779A (zh) * | 2019-04-30 | 2019-08-13 | 信利(仁寿)高端显示科技有限公司 | 一种真空镀膜装置内部件的再生方法及装置 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
WO2020231557A1 (en) * | 2019-05-15 | 2020-11-19 | Applied Materials, Inc. | Dynamic multi zone flow control for a processing system |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200141931A (ko) * | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US20210032750A1 (en) * | 2019-07-31 | 2021-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Deposition apparatus and method of forming metal oxide layer using the same |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
US11348784B2 (en) | 2019-08-12 | 2022-05-31 | Beijing E-Town Semiconductor Technology Co., Ltd | Enhanced ignition in inductively coupled plasmas for workpiece processing |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
WO2021086691A1 (en) * | 2019-11-01 | 2021-05-06 | Lam Research Corporation | Systems and methods for cleaning a showerhead |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US12037713B2 (en) * | 2020-01-10 | 2024-07-16 | Kimberly-Clark Worldwide, Inc. | Method of making uniform spunbond filament nonwoven webs |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
CN111501024A (zh) * | 2020-05-08 | 2020-08-07 | Tcl华星光电技术有限公司 | 气相沉积装置 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
US11373845B2 (en) * | 2020-06-05 | 2022-06-28 | Applied Materials, Inc. | Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
JP7475232B2 (ja) * | 2020-07-22 | 2024-04-26 | 株式会社ディスコ | 保護部材形成装置 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN112317196A (zh) * | 2020-09-30 | 2021-02-05 | 中国科学院长春光学精密机械与物理研究所 | 一种喷淋头清洁装置 |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
JP7499678B2 (ja) | 2020-11-02 | 2024-06-14 | 東京応化工業株式会社 | 半導体製造用プロセスチャンバのコンポーネントの洗浄用組成物及び洗浄方法 |
CN112626496B (zh) * | 2020-11-24 | 2022-04-05 | 鑫天虹(厦门)科技有限公司 | 喷头组件与原子层沉积设备 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
US20220165567A1 (en) * | 2020-11-25 | 2022-05-26 | Applied Materials, Inc. | Systems and methods for deposition residue control |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
CN116724385A (zh) * | 2020-12-28 | 2023-09-08 | 玛特森技术公司 | 具有热处理系统的工件处理装置 |
US11898245B2 (en) | 2021-02-26 | 2024-02-13 | Applied Materials, Inc. | High throughput and metal contamination control oven for chamber component cleaning process |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11961716B2 (en) | 2021-12-09 | 2024-04-16 | Industrial Technology Research Institute | Atomic layer deposition method |
TWI790028B (zh) * | 2021-12-09 | 2023-01-11 | 財團法人工業技術研究院 | 沉積設備及沉積方法 |
CN114196998A (zh) * | 2021-12-13 | 2022-03-18 | 拓荆科技股份有限公司 | 半导体反应腔中部件的处理方法以及半导体反应腔 |
TW202340522A (zh) * | 2021-12-17 | 2023-10-16 | 美商蘭姆研究公司 | 具有錐狀表面的遠端電漿清潔(rpc)輸送入口配接器 |
CN114481089A (zh) * | 2021-12-28 | 2022-05-13 | 长江存储科技有限责任公司 | 原子层沉积方法及设备 |
KR20230112304A (ko) | 2022-01-20 | 2023-07-27 | 주식회사 원익큐엔씨 | 반도체 증착공정 장비의 알루미늄계 부품용 세정물 및 이를 이용한 반도체 증착공정 장비의 알루미늄계 부품 세정 방법 |
CN115354305B (zh) * | 2022-08-29 | 2024-04-19 | 西北大学 | 一种金属有机化学气相沉淀反应器喷淋装置 |
CN115537781B (zh) * | 2022-10-27 | 2024-10-22 | 上海埃延半导体有限公司 | 一种弥漫层流反应腔体及控制方法 |
WO2024097507A1 (en) * | 2022-11-01 | 2024-05-10 | Lam Research Corporation | Reducing particle buildup in processing chambers |
Family Cites Families (49)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3998180A (en) * | 1975-04-07 | 1976-12-21 | Union Carbide Corporation | Vapor deposition apparatus including fluid transfer means |
GB8332394D0 (en) | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
US4763602A (en) | 1987-02-25 | 1988-08-16 | Glasstech Solar, Inc. | Thin film deposition apparatus including a vacuum transport mechanism |
JP3098773B2 (ja) | 1991-03-18 | 2000-10-16 | トラスティーズ・オブ・ボストン・ユニバーシティ | 高絶縁性単結晶窒化ガリウム薄膜の作製及びドープ方法 |
US5306662A (en) | 1991-11-08 | 1994-04-26 | Nichia Chemical Industries, Ltd. | Method of manufacturing P-type compound semiconductor |
US5376580A (en) | 1993-03-19 | 1994-12-27 | Hewlett-Packard Company | Wafer bonding of light emitting diode layers |
JPH07194965A (ja) * | 1993-12-28 | 1995-08-01 | Kanegafuchi Chem Ind Co Ltd | 成膜方法及び成膜装置 |
JP3362552B2 (ja) * | 1995-03-10 | 2003-01-07 | 東京エレクトロン株式会社 | 成膜処理装置 |
US5893846A (en) * | 1996-05-15 | 1999-04-13 | Symbiosis Corp. | Ceramic coated endoscopic scissor blades and a method of making the same |
JP3085364B2 (ja) * | 1997-07-22 | 2000-09-04 | 日本電気株式会社 | Cvd装置のクリーニング方法 |
US6319822B1 (en) * | 1998-10-01 | 2001-11-20 | Taiwan Semiconductor Manufacturing Company | Process for forming an integrated contact or via |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
CN1187795C (zh) * | 1999-04-20 | 2005-02-02 | 东京电子株式会社 | 在单一腔室中淀积包含有钛和氮化钛薄膜的堆叠层的方法 |
EP1065299A3 (en) * | 1999-06-30 | 2006-02-15 | Sumitomo Electric Industries, Ltd. | Group III-V nitride semiconductor growth method and vapor phase growth apparatus |
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
WO2002044443A1 (en) | 2000-11-30 | 2002-06-06 | North Carolina State University | Methods and apparatus for producing m'n based materials |
US7128804B2 (en) | 2000-12-29 | 2006-10-31 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof |
US6620520B2 (en) | 2000-12-29 | 2003-09-16 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
KR100387242B1 (ko) | 2001-05-26 | 2003-06-12 | 삼성전기주식회사 | 반도체 발광소자의 제조방법 |
US7211833B2 (en) | 2001-07-23 | 2007-05-01 | Cree, Inc. | Light emitting diodes including barrier layers/sublayers |
KR100446318B1 (ko) * | 2001-11-29 | 2004-09-01 | 주식회사 하이닉스반도체 | 챔버 세정기를 구비한 증착장치 및 그를 이용한 챔버 세정방법 |
US6586285B1 (en) * | 2002-03-06 | 2003-07-01 | Micron Technology, Inc. | Plasma enhanced chemical vapor deposition method of forming titanium silicide comprising layers |
AUPS240402A0 (en) | 2002-05-17 | 2002-06-13 | Macquarie Research Limited | Gallium nitride |
US7311797B2 (en) | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
EP1543177A1 (en) * | 2002-07-12 | 2005-06-22 | President And Fellows Of Harvard College | Vapor deposition of tungsten nitride |
US7357138B2 (en) * | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US6902628B2 (en) * | 2002-11-25 | 2005-06-07 | Applied Materials, Inc. | Method of cleaning a coated process chamber component |
KR20040058819A (ko) * | 2002-12-27 | 2004-07-05 | 삼성전자주식회사 | 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드 |
JP4430918B2 (ja) * | 2003-03-25 | 2010-03-10 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法及び薄膜形成方法 |
US7045020B2 (en) * | 2003-05-22 | 2006-05-16 | Applied Materials, Inc. | Cleaning a component of a process chamber |
KR20040107983A (ko) * | 2003-06-16 | 2004-12-23 | 삼성전자주식회사 | 반도체 제조 장치 |
US7368368B2 (en) | 2004-08-18 | 2008-05-06 | Cree, Inc. | Multi-chamber MOCVD growth apparatus for high performance/high throughput |
JP4468990B2 (ja) | 2004-09-27 | 2010-05-26 | ガリウム エンタープライジズ ピーティーワイ リミテッド | Iii族金属窒化膜を成長させるための方法および装置 |
JP5021907B2 (ja) * | 2005-05-24 | 2012-09-12 | 大陽日酸株式会社 | 窒化物半導体製造装置の洗浄方法と洗浄装置 |
JP2007197302A (ja) * | 2005-12-28 | 2007-08-09 | Sumitomo Electric Ind Ltd | Iii族窒化物結晶の製造方法および製造装置 |
JP4844261B2 (ja) * | 2006-06-29 | 2011-12-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置並びに記憶媒体 |
JP2008311368A (ja) * | 2007-06-13 | 2008-12-25 | Tokyo Electron Ltd | 被処理体の処理方法及び処理システム |
JP5008478B2 (ja) * | 2007-06-27 | 2012-08-22 | 東京エレクトロン株式会社 | 基板処理装置およびシャワーヘッド |
RU2394305C2 (ru) | 2007-07-20 | 2010-07-10 | Гэлиэм Энтерпрайзис Пти Лтд | Полупроводниковый прибор со встроенными контактами (варианты) и способ изготовления полупроводниковых приборов со встроенными контактами (варианты) |
US20090095218A1 (en) * | 2007-10-16 | 2009-04-16 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US20090095222A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US20090114245A1 (en) | 2007-11-02 | 2009-05-07 | Hidehiro Kojiri | In-situ chamber cleaning method |
KR100888440B1 (ko) | 2007-11-23 | 2009-03-11 | 삼성전기주식회사 | 수직구조 발광다이오드 소자의 제조방법 |
JP2009021624A (ja) | 2008-09-08 | 2009-01-29 | Tokyo Electron Ltd | 処理装置及び処理装置のクリーニング方法 |
US20100139554A1 (en) * | 2008-12-08 | 2010-06-10 | Applied Materials, Inc. | Methods and apparatus for making gallium nitride and gallium aluminum nitride thin films |
CA2653581A1 (en) | 2009-02-11 | 2010-08-11 | Kenneth Scott Alexander Butcher | Migration and plasma enhanced chemical vapour deposition |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
-
2010
- 2010-08-26 KR KR1020127007605A patent/KR20120090996A/ko not_active Application Discontinuation
- 2010-08-26 WO PCT/US2010/046789 patent/WO2011031521A2/en active Application Filing
- 2010-08-26 US US12/868,899 patent/US20110117728A1/en not_active Abandoned
- 2010-08-26 CN CN2010800195207A patent/CN102414801A/zh active Pending
- 2010-08-27 CN CN201080019536.8A patent/CN102414799B/zh active Active
- 2010-08-27 KR KR1020127003533A patent/KR20120089446A/ko not_active Application Discontinuation
- 2010-08-27 TW TW099128898A patent/TW201111050A/zh unknown
- 2010-08-27 JP JP2012527037A patent/JP2013503490A/ja active Pending
- 2010-08-27 WO PCT/US2010/047009 patent/WO2011031556A2/en active Application Filing
- 2010-08-27 US US12/870,465 patent/US8980379B2/en active Active
- 2010-08-27 TW TW099128908A patent/TW201118200A/zh unknown
-
2014
- 2014-01-07 US US14/149,526 patent/US9932670B2/en active Active
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2014039194A1 (en) * | 2012-09-07 | 2014-03-13 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
US9171714B2 (en) | 2012-09-07 | 2015-10-27 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
KR20150034898A (ko) | 2013-09-26 | 2015-04-06 | 주식회사 엘지화학 | 경화성 조성물, 이로 제조된 경화막 및 이를 포함하는 디스플레이 장치 |
WO2024196745A1 (en) * | 2023-03-20 | 2024-09-26 | Lam Research Corporation | Multi-step thermal chamber clean |
Also Published As
Publication number | Publication date |
---|---|
US20140116470A1 (en) | 2014-05-01 |
TW201118200A (en) | 2011-06-01 |
TW201111050A (en) | 2011-04-01 |
WO2011031556A3 (en) | 2011-05-19 |
US20110052833A1 (en) | 2011-03-03 |
US8980379B2 (en) | 2015-03-17 |
KR20120089446A (ko) | 2012-08-10 |
CN102414799A (zh) | 2012-04-11 |
WO2011031521A3 (en) | 2011-05-19 |
US20110117728A1 (en) | 2011-05-19 |
WO2011031521A2 (en) | 2011-03-17 |
CN102414801A (zh) | 2012-04-11 |
JP2013503490A (ja) | 2013-01-31 |
WO2011031556A2 (en) | 2011-03-17 |
US9932670B2 (en) | 2018-04-03 |
CN102414799B (zh) | 2015-06-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9932670B2 (en) | Method of decontamination of process chamber after in-situ chamber clean | |
US20210225643A1 (en) | Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method | |
KR20230119083A (ko) | 증착 반응기의 처리를 위한 방법 및 시스템 | |
EP2934775B1 (en) | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers | |
JP4994551B2 (ja) | 薄膜を成長させる、改良された装置および方法 | |
JP5019430B2 (ja) | 間欠的なプリカーサガスフロープロセスを使用して金属層を形成する方法。 | |
JP5294694B2 (ja) | シリコン及びチタン窒化物のインサイチュ蒸着 | |
US20130032085A1 (en) | Plasma assisted hvpe chamber design | |
KR100734748B1 (ko) | 인시튜 질화물(in-situ nitride) 박막증착방법 | |
JP6463339B2 (ja) | 後続の多段洗浄ステップを伴うmocvd層成長方法 | |
WO2010129183A2 (en) | Mocvd single chamber split process for led manufacturing | |
KR20010034128A (ko) | 화학 기상 증착 냉벽 챔버 및 배출 라인의 세정 방법 | |
CN102576667A (zh) | 中空阴极喷头 | |
KR20090026186A (ko) | 성막 방법, 클리닝 방법 및 성막 장치 | |
KR100606398B1 (ko) | 반도체 처리용의 성막 방법 | |
KR20060097070A (ko) | 성막 처리 방법 | |
KR100934511B1 (ko) | Ti계 막의 성막 방법 및 기억 매체 | |
JP4965260B2 (ja) | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 | |
US20130087093A1 (en) | Apparatus and method for hvpe processing using a plasma | |
KR20210006499A (ko) | 성막 방법 및 성막 장치 | |
WO2010129289A2 (en) | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning | |
JP2022532055A (ja) | ガリウム及びインジウムを含む半導体層システムの堆積方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E601 | Decision to refuse application |