KR20090106617A - 플라스마 함침 챔버 - Google Patents
플라스마 함침 챔버 Download PDFInfo
- Publication number
- KR20090106617A KR20090106617A KR1020097017324A KR20097017324A KR20090106617A KR 20090106617 A KR20090106617 A KR 20090106617A KR 1020097017324 A KR1020097017324 A KR 1020097017324A KR 20097017324 A KR20097017324 A KR 20097017324A KR 20090106617 A KR20090106617 A KR 20090106617A
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- conduit
- substrate support
- opening
- disposed
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/50—Substrate holders
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32412—Plasma immersion ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
본 명세서에 도시된 실시예들은 전반적으로 플라스마 챔버에 사용하기 위한 토로이드 플라스마 공급원, 플라스마 채널링 장치, 샤워헤드, 및 기판 지지 조립체를 제공한다. 토로이드 플라스마 공급원, 플라스마 채널링 장치, 샤워헤드, 및 기판 지지 조립체는 플라스마 챔버의 가용 수명을 향상시킬 뿐만 아니라, 조립 가격을 줄이고, 플라스마 챔버 신뢰성을 높이고, 처리된 기판에 대한 장치 수율을 향상시키도록 구성된다.
플라스마 챔버, 총 소유비용, 웨이퍼
Description
본원발명의 실시예는 전반적으로 플라스마 프로세스에서 반도체 웨이퍼와 같은 기판을 처리하는 것에 관한 것이다. 더욱 구체적으로는, 반도체 웨이퍼와 같은 기판상에 물질을 증착하거나 기판으로부터 물질을 제거하기 위한 플라스마 프로세스에 관한 것이다.
반도체 웨이퍼와 같은 기판상에 형성되는 집적 회로는 백만 개보다 많은 마이크로-전자 전계효과 트랜지스터(micro-electronic field effect transistor)(예를 들어, 상보형 금속 산화 반도체(complementary metal-oxide-semiconductor; CMOS) 전계효과 트랜지스터)를 포함하며 회로 안에서 다양한 기능을 수행하도록 함께 작용한다. CMOS 트랜지스터는 통상적으로 기판 내에 형성되는 소스(source) 및 드레인(drain) 영역 사이에 배치되는 게이트(gate) 구조체를 포함한다. 게이트 구조체는 일반적으로 게이트 전극 및 게이트 유전층을 포함한다. 게이트 전극은 게이트 유전층 위에 배치되어 게이트 유전층 밑의 드레인과 소스 영역 사이에 형성되는 채널 영역에서의 전하 운반체(carrier)의 흐름을 제어한다.
이온 주입 프로세스는 통상적으로 기판상에 형성되는 장치 내에 게이트 및 소스 드레인 구조체를 형성하기 위하여 기판의 표면 내부 원하는 깊이로 원하는 물 질을 도핑하는 과정을 이용한다. 이온 주입 프로세스 동안에, 상이한 처리 가스 또는 가스 혼합물이 도펀트 종(dopant species)에 대해 소스를 제공하도록 사용될 수 있다. 프로세스 가스가 이온 주입 프로세싱 챔버 내부로 공급될 때, 플라스마를 생성하도록 RF 전력이 발생하여, 2006년 5월 2일에 발행된 미국 특허 공보 제 7,037,813호에 기술된 바와 같이, 프로세스 가스의 이온화 및 플라스마에 의해 발생된 이온의 기판의 표면을 향한 가속을 촉진시킬 수 있다.
프로세스 가스의 해리를 촉진하는데 사용되는 한 가지 플라스마 공급원으로는 토로이드 공급원(toroidal source)이 있는데, 이는 프로세스 가스 공급원에 결합하는 하나 이상의 중공 튜브 또는 도관 및 챔버의 일부에 내에 형성되어 챔버에 결합하는 두 개의 개구를 포함한다. 중공 튜브는 챔버 내에 형성되는 개구에 결합하며 중공 튜브의 내부는, 전압이 가해질 때, 챔버 내의 프로세싱 영역 및 중공 튜브의 내부를 통해 순환하는 플라스마를 생성하는 경로의 일부를 형성한다.
기판 제조 프로세스의 효율성은 종종 두 개의 상관된 중요 인자인 장치 수율(device yield) 및 총 소유비용(Cost of Ownership; CoO)에 의해 측정된다. 이러한 인자는 전자 장치를 생산하기 위한 비용 및 이에 따라 시장에서의 장치 제조자의 경쟁력(competitiveness)에 직접적으로 영향을 미치므로 중요하다. CoO는 다수의 인자에 의해 영향을 받기는 하지만, 기판을 처리하는데 사용되는 다양한 부품의 신뢰성(reliability), 다양한 부품의 사용 수명(lifetime), 및 각각의 부품의 부분 가격(piece part cost)에 의해 주로 영향을 받는다. 따라서, CoO의 한가지 중요한 요소는 "소모성" 부품, 또는 프로세싱 동안의 손상, 마모, 또는 노화로 인 해 프로세싱 장치의 사용 수명 중에 교체되어야 하는 부품의 가격이다. CoO를 줄이기 위한 노력에 있어서, 전자 장치 제조업자들은 종종 "소모성" 부품의 사용 수명을 증가시키고/증가시키거나 소모성인 부품의 개수를 감소시키기 위해 노력하는데 많은 시간을 소비한다.
CoO 계산에 있어서 다른 중요한 인자는 신뢰성 및 시스템 가동시간(system uptime)이다. 시스템이 기판을 처리할 수 없는 시간이 길수록 기기 내에서 기판을 처리할 기회의 손실로 인해서 사용자가 다 많은 돈을 소비하게 되므로, 이러한 인자는 프로세싱 장치의 수익성 및/또는 유용성을 결정하는 데 있어 매우 중요하다. 따라서, 클러스터 기기(cluster tool) 사용자 및 제조업자는 증가된 가동시간을 가지는 신뢰성 있는 하드웨어 및 신뢰성 있는 프로세스를 개발하는데 많은 시간을 투자한다.
따라서, 요구되는 장치 성능 목표를 만족시키고 플라스마 프로세스를 사용하는 장치를 형성하는데 관련된 CoO를 최소화시킬 수 있는 플라스마 프로세스를 실행할 수 있는 기계가 필요하다.
본 명세서에 기술된 실시예들은 플라스마 챔버를 위한 견고한(robust) 부재에 관한 것이다. 일 실시예에서는, 토로이드 플라스마 공급원이 기술된다. 이러한 토로이드 플라스마 공급원은 U 형상 및 직사각형 단면을 포함하는 제1 중공 도관; M 형상 및 직사각형 단면을 포함하는 제2 중공 도관; 상기 제1 및 제2 중공 도관 각각의 대향 단부에 배치되는 개구; 및 상기 제1 및 제2 중공 도관 각각의 내부 표면상에 배치되는 코팅; 을 포함한다.
다른 실시예에서는, 플라스마 채널링 장치가 기술된다. 이러한 플라스마 채널링 장치는 몸체로서, 2개 이상의 채널이 종방향으로 관통하여 배치되며, 상기 2개 이상의 채널은 웨지형 부재에 의하여 분리되는, 몸체; 및 상기 몸체의 측벽에 적어도 부분적으로 형성되는 냉매 채널; 을 포함한다.
다른 실시예에서는, 가스 분배 플레이트가 기술된다. 이러한 가스 분배 플레이트는, 제1 측면 및 제2 측면을 가지는 원형 부재; 상기 원형 부재의 제1 측면의 일부를 따라 에지를 형성하기 위하여 상기 제1 측면의 중심 영역에 형성되는 요부 부분으로서, 상기 제1 측면으로부터 상기 제2 측면으로 연장하는 다수의 오리피스를 구비하는, 요부 부분; 및 상기 원형 부재의 주변부에 결합하여 이로부터 반경 방향으로 연장하는 장착부; 를 포함한다.
다른 실시예에서는, 기판 지지용 캐소드(cathode) 조립체가 개시된다. 이러한 기판 지지용 캐소드 조립체는 몸체로서, 전도성 상부층; 전도성 하부층; 및 상기 상부층 및 하부층을 전기적으로 분리하는 유전성 물질; 을 포함하고, 상기 몸체를 종방향으로 통과하여 하나 이상의 개구가 형성되는, 몸체; 및 상기 유전성 물질과 상기 상부층 사이의 제1 인터페이스; 및 상기 유전성 물질과 상기 하부층 사이의 제2 인터페이스 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 몸체 내의 위치에 배치되는, 하나 또는 그보다 많은 유전성 충진물(filler); 을 포함한다.
다른 실시예에서는, 기판을 지지하기 위한 정전 척이 기술된다. 이러한 정전 척은 기판의 직경과 근사한 직경을 가지는 퍽, 상기 퍽에 결합하는 금속층, 상기 퍽 내에 매설되는 처킹 전극(chucking electrode), 전기적 접지와 전기적으로 연결되는 캐소드 기부(cathode base), 상기 금속층과 상기 캐소드 기부 사이에 배치되는 지지 절연체(support insulator), 및 상기 퍽에 결합하는 일 단부 및 RF 전력의 공급원에 결합하기 위한 타단부를 가지는 전도체(conductor)를 포함하고, 상기 금속층이 상기 지지 절연체에 형성되는 골(valley) 내에 배치되고 상기 금속층 내에 냉매 통로가 형성되며, 상기 냉매 통로는 상기 퍽을 냉각하기 위하여 냉매 매체를 통과시켜 인도할 수 있다.
본원발명의 상기한 특징들을 상세하게 이해할 수 있게 하기 위하여, 앞서 간략히 설명된 본원발명을 실시예를 참조하여 보다 구체적으로 설명하며, 이들 실시예 중 일부는 첨부된 도면에 도시된다. 그러나 첨부된 도면은 본원발명의 전형적인 실시예를 도시할 뿐이며 따라서 본원발명의 범위를 한정하고자 하는 것은 아니어서, 본원발명은 기타 동등한 실시예들을 허용할 수 있다.
도 1은 플라스마 챔버의 일 실시예의 사시도이다.
도 2는 도 1에 도시된 플라스마 챔버의 상부 사시도이다.
도 3A는 제1 재진입 도관의 일 실시예의 측단면도이다.
도 3B는 제2 재진입 도관의 일 실시예의 측단면도이다.
도 4는 재진입 도관의 일 실시예의 저면도이다.
도 5A는 도 1로부터의 플라스마 채널링 장치의 일 실시예의 상세도이다.
도 5B는 도 5A의 플라스마 채널링 장치의 일 실시예의 측단면도이다.
도 6은 도 5A의 플라스마 채널링 장치의 사시도이다.
도 7은 도 5A의 플라스마 채널링 장치의 측단면도이다.
도 8은 샤워헤드의 일 실시예의 사시도이다.
도 9A는 도 8의 샤워헤드의 측단면도이다.
도 9B는 도 9A에 도시된 천공 플레이트의 일부의 전개 단면도이다.
도 10은 기판 지지 조립체의 일 실시예의 사시 단면도이다.
도 11은 그 위에 기판을 가지는 도 10의 정전 척의 부분 단면도이다.
이해를 돕기 위하여 도면에서 공통되는 동일한 부재를 표시하는데에는 가능한 한 동일한 참조부호를 사용하였다. 또한, 일 실시예에 기술된 부재는 특별한 언급이 없더라도 다른 실시예에 유리하게 사용될 수 있을 것이다.
본 명세서에 기술된 실시예는, 챔버의 다양한 부품의 빈번한 교체가 필요하지 않은, 연장된 프로세싱 시간을 위해 구성된 부품을 가지는 튼튼한 플라스마 챔버를 대체로 제공한다. 일부 실시예에서는, 플라스마 챔버를 위한 튼튼한 소모성 부품 또는 소모성 부품의 대체품으로서, 보다 신뢰성 있고 프로세스 사용 수명의 연장을 촉진하는 부품이 개시된다. 비록 본 명세서에 개시된 일정한 실시예는 다른 챔버 및/또는 다른 프로세스에서 사용될 수 있기는 하지만, 일 실시예에서는 반도체 기판상에 이온 주입 프로세스를 실행하기 위한 토로이드 플라스마 챔버가 기 술된다.
도 1은 플라스마 강화 화학 기상 증착(PECVD) 프로세스, 고밀도 플라스마 화학 기상 증착(HDPCVD) 프로세스, 이온 주입 프로세스, 식각 프로세스, 및 다른 플라스마 프로세스를 위해 구성될 수 있는 플라스마 챔버(1)의 일 실시예의 사시 단면도이다. 챔버(1)는 덮개(10) 및 바닥(15)에 결합되는 측벽(5)을 가지는 몸체(3)를 포함하는데, 이러한 몸체는 내부 용적(20)을 한정한다. 플라스마 챔버(1)의 다른 예는 2005년 9월 6일에 등록된 미국 특허 제6,939,434호 및 2004년 2월 24일에 출원되어 2005년 5월 17일에 등록된 미국 특허 제6,893,907호에서 찾아볼 수 있으며, 이들 특허 문서는 그 전체가 본 명세서에 참조로서 병합된다.
토로이드
플라스마 공급원(
Toroidal
Plasma Source)
플라스마 챔버(1)는 챔버(1)의 몸체(3)에 결합하는 재진입(reentrant) 토로이드 플라스마 공급원(100)을 포함한다. 내부 용적(20)은 샤워헤드(300)로도 불리는 가스 분배 조립체와 정전 척으로서 구성되는 기판 지지 조립체(400) 사이에 형성되는 프로세싱 영역(25)을 포함한다. 펌핑 영역(30)은 기판 지지 조립체(400)의 일부를 둘러싼다. 펌핑 영역(30)은 바닥(15) 내에 형성된 포트(45) 내에 배치되는 밸브(35)에 의해 진공 펌프(40)와 선택적으로 연결된다. 일 실시예에서, 밸브(35)는 내부 용적(20)으로부터 포트(45)를 통해 진공 펌프(40)로 흐르는 가스 또는 증기의 유동을 제어하도록 구성되는 스로틀 밸브이다. 일 실시예에서, 밸브(35)는 O-링을 사용하지 않고 작동하며, 그 전체가 본 명세서에 참조로서 병합되는, 2005 년 4월 26일에 출원되어 2006년 10월 26일에 공개된 미국 특허 공개 제2006/0237136호에 더 개시되어 있다.
토로이드 플라스마 공급원(100)은 대체로 "U"자 형상을 가지는 제1 재진입 도관(150A) 및 대체로 "M"자 형상을 가지는 제2 재진입 도관(150B)을 포함한다. 도관(150A)이 챔버(1)에 결합하면, 도관은 전반적인 형상은 위쪽이 아래로 향한 대문자 "U"자, 및 위쪽이 아래로 향한 대문자 "V"자, 및 이들의 조합으로 언급될 수 있다. 제1 재진입 도관(150A) 및 제2 재진입 도관(150B)은 각각 안테나(170A, 170B)와 같은 하나 이상의 무선 주파수(RF) 적용 장치를 포함하는데, 이러한 장치는 각각의 도관(150A, 150B)의 내부 영역(155A, 155B) 내에 유도 결합 플라스마를 형성하는데 사용된다. 도 1 및 2를 참조하면, 각각의 안테나(170A, 170B)는 각각의 도관(150A, 150B)의 적어도 일부를 감싸는 자기 침투성 토로이드 코어(magnetically permeable toroidal core), 상기 코어의 일부 주위에 감긴 전도성 와인딩(winding) 또는 코일, 및 RF 전력 공급원(171A, 172B)과 같은 RF전력 공급원을 포함한다. RF 임피던스 매칭 시스템(171B, 172B)은 각각의 안테나(170A, 170B)에 결합할 수 있다. 수소, 헬륨, 질소, 아르곤, 및 기타 가스와 같은 프로세스 가스 및/또는 플루오르 함유 가스와 같은 세정 가스가 각각의 도관(150A, 150B)의 내부 영역(155A, 155B)으로 제공될 수 있다. 일 실시예에서, 프로세스 가스는 각각의 도관(150A, 150B)의 내부 영역(155A, 155B)으로 공급되는 도펀트 함유 가스를 포함할 수 있다. 일 실시예에서는, 샤워헤드(300)에 결합하는 커버(54)의 내부와 같이 챔버(1)의 몸체(3) 내에 형성되는 포트(55)에 연결되는 가스 공급원(130A)으 로부터 프로세스 가스가 전달되며, 각각의 도관(150A, 150B)의 내부 영역(155A, 155B)과 소통하는 프로세싱 영역(25)으로 프로세스 가스가 전달된다.
가스 분배 플레이트, 또는 샤워헤드(300)는 교체를 용이하게 하는 방식으로 덮개(10)에 결합할 수 있으며, 프로세싱 용적(25) 내에 부압(negative pressure)을 유지하기 위하여 샤워헤드(300)의 외부 표면과 덮개(10) 사이에 O-링(도시되지 않음)과 같은 시일(seal)을 포함할 수 있다. 샤워헤드(300)는 커버(54)와 천공 플레이트(320) 사이의 플레넘(330)을 한정하는 환형 벽(310)을 포함한다. 천공 플레이트(320)는 대칭적이거나 비대칭적인 패턴 또는 패턴들로 플레이트를 관통하여 형성되는 다수의 개구를 포함한다. 도펀트 함유 가스와 같은 프로세스 가스가 포트(55)로부터 플레넘(330)으로 제공될 수 있다. 일반적으로, 도펀트 함유 가스는 붕소(실리콘에서 P-형 전도성 불순물)나 인(실리콘에서 N-형 전도성 불순물과 같은 도펀트 불순물 원자 및 플루오르 및/또는 수소와 같은 휘발성 종(volatile species)으로 이루어진 화학제품이다. 따라서, 붕소, 인, 또는 비소, 안티몬 등과 같은 기타 도펀트 종의 수소화물 및/또는 플루오르화물이 도펀트 가스일 수 있다. 예를 들어, 붕소 도펀트가 사용되면, 도펀트 함유 가스는 3플루오르화 붕소(BF3) 또는 다이보레인(B2H6)을 함유할 수 있다. 이러한 가스는 개구를 통해 천공 플레이트(320) 하부의 프로세싱 영역(25)으로 유동할 수 있다. 일 실시예에서는, 천공 플레이트가 RF 바이어스되어 프로세싱 영역(25) 내에 플라스마가 발생되고/발생되거나 유지되는 것을 돕는다.
일 실시예에서는, 도관(150A, 150B)의 각 대향 단부가 챔버(1)의 덮개(10) 내에 형성되는 각각의 포트(50A-50D)(도면에서는 50A와 50B만 도시됨)에 결합한다. (도시되지 않은) 다른 실시예에서는, 포트(50A-50D)가 챔버(1)의 측벽(5) 내에 형성될 수 있다. 대체로 포트(50A-50D)는 직교식으로 또는 서로에 대해 90°의 각도로 배치된다. 프로세싱 동안에 프로세스 가스는 각각의 도관(150A, 150B)의 내부 영역(155A, 155B)으로 공급되며, RF 전력이 각각의 안테나(170A, 170B)에 가해져서 포트(50A-50D) 및 프로세싱 영역(25)을 통과하는 순환 플라스마 경로를 발생시킨다. 구체적으로, 도 1에서, 순환 플라스마 경로는 포트(50A)로부터 포트(50B)로 통과하거나 또는 그 반대로 통과하여 샤워헤드(300)와 기판 지지 조립체(400) 사이의 프로세싱 영역(25)을 통과한다. 각각의 도관(150A, 150B)은 포트(50A-50D)와 도관의 각 단부 사이에 결합하는 플라스마 채널링(channeling) 장치(200)를 포함하는데, 이러한 플라스마 채널링 장치는 각각의 도관(150A, 150B) 내에 형성되는 플라스마 경로를 분할하고 넓히도록 구성된다. (아래에 기술되는) 플라스마 채널링 장치(200)는 도관(150A, 150B)을 따라 전기적 단락(break)을 제공하기 위하여 절연체를 포함할 수도 있다.
기판 지지 조립체(400)는 일반적으로 상부 층 또는 퍽(puck)(410) 및 캐소드 조립체(cathode assembly)(420)를 포함한다. 퍽(410)은 부드러운 기판 지지 표면(410B) 및 매설된 전극(415)을 포함하는데, 상기 전극은 직류(DC) 전력 공급원(406)을 이용하여 바이어스되어 기판과 퍽(410)의 기판 지지 표면(410B) 사이의 정전기적 인력을 촉진할 수 있다. 매설된 전극(415)은 또한 프로세싱 영역(25)에 RF 에너지를 제공하여 프로세싱 동안에 RF 바이어스를 형성하는 전극으로서 사용될 수도 있다. 매설된 전극(415)은 RF 전력 공급원(405A)에 결합할 수 있으며 임피던스 정합 회로(405B)를 포함할 수도 있다. 전력 공급원(406)으로부터의 DC 전력 및 전력 공급원(405A)으로부터의 RF는 커패시터(402)에 의해 절연될 수 있다. 일 실시예에서는, 기판 지지 조립체(400)가 기판과 접촉하는 척의 부분이 냉각되는 기판 접촉-냉각 정전 척이다. 냉각은 그 내부에 냉매를 순환시키기 위해 캐소드 조립체(420) 내에 배치되는 냉매 채널(도시되지 않음)에 의해 제공된다.
기판 지지 조립체(400)는 다수의 리프트 핀(lift pin)(510)(도면에는 하나만 도시됨)을 포함하는 리프트 핀 조립체(500)도 포함할 수 있다. 리프트 핀(510)은 퍽(410) 위의 기판을 선택적으로 상승시키고 지지함으로써 하나 또는 그보다 많은 기판의 이동을 보조하며, 로봇 블레이드(도시되지 않음)가 그 사이에 위치되도록 간격을 두고 배치된다. 리프트 핀 조립체(500)는 퍽(410) 및 캐소드 조립체(420) 중 하나 또는 모두에 결합하는 리프트 핀 가이드(520)를 포함한다.
도 2는 도 1에 도시된 플라스마 챔버(1)의 상부 사시도다. 챔버(1)의 측벽(5)은 슬릿 밸브(도시되지 않음)에 의하여 선택적으로 밀봉될 수 있는 웨이퍼 포트(7)를 포함한다. 프로세스 가스는 프로세스 가스 공급원(130A)에 의해 포트(55)(도 1)를 통해 샤워헤드(300)에 공급된다. 프로세스 및/또는 세정 가스는 가스 공급원(130B)에 의해 도관(150A, 150B)으로 공급될 수 있다.
일 실시예에서는, 제1 재진입 도관(150A)이 "U"자 형의 전체적인 형상을 가지는 중공 도관을 포함하며, 제2 재진입 도관(150B)이 "M"자 형의 전체적인 형상을 가지는 중공 도관을 포함한다. 도관(150A, 150B)은 금속 박판과 같은 전도성 물질로 제조될 수 있으며, 원형, 타원형, 삼각형, 도는 직사각형 형상의 단면을 포함할 수 있다. 도관(150A, 150B)은 도관(150A)용 커버(153A) 및 도관(150B)용 커버(152B)에 의해 둘러싸일 수 있는 측벽 내에 형성되는 슬롯(185)도 포함한다. 각 도관(150A, 150B)의 측벽은 커버를 각각의 도관에 부착하도록 구성된 나사, 볼트, 또는 다른 체결수단과 같은 파스너(fastener)를 수용하도록 구성된 구멍(183)도 포함한다. 슬롯(185)은, 예를 들어, 각 도관(150A, 150B)의 내부 영역(155A, 155B)에 코팅(160)(도 1)을 도포하기 위하여, 보수(refurbishing) 및/또는 세척을 위해, 각각의 도관(150A, 150B)의 내부 영역(155A, 155B)으로 접근하도록 구성된다. 일 실시예에서는, 각각의 도관(150A, 150B)이 알루미늄 물질로 제조되며, 코팅(160)은 양극처리된(anodized) 코팅을 포함한다. 다른 실시예에서는, 코팅(160)이 예를 들어 산화 이트륨(Y2O3)과 같은 이트륨 물질을 포함할 수 있다.
도 3A는 제1 재진입 도관 또는 "U"자형 도관(150A)의 일 실시예의 측단면도를 도시한다. 도관(150A)은 대체로 "U"자 형상을 형성하는 측벽을 포함하는 중공 하우징(105A)을 포함한다. 도관(150A)은 대체로 대칭적이며 제1 측벽(120A) 및 이에 대향하며 제1 측벽(120A)보다 길이가 짧은 제2 측벽(121A)을 포함한다. 제1 측벽(120A)은 경사진 상부 측벽(126A)에 약 100도 내지 약 130도와 같이 90도보다 더 큰 각도로 결합한다. 경사진 하부 측벽(127A)은 경사진 상부 측벽(126A)과 마주하며 실질적으로 평행하다. 각각의 경사진 하부 측벽(127A) 및 경사진 상부 측 벽(126A)은 정점(124A)에서 만난다. 슬롯(185)은 대체로 "U"자 형상을 포함할 수 있으며 후방 측벽(106A) 내에서 몸체(105)를 통해 형성될 수 있다. 슬롯(185)은 경사진 상부 측벽(126A)과 경사진 하부 측벽(127A) 사이 및 제1 측벽(120A)과 제2 측벽(121A) 사이의 영역 내부로 적어도 부분적으로 연장할 수 있다. 도관(150A)은 또한 덮개(10) 및/또는 플라스마 채널링 장치(200)(모두 도 1에 도시됨)에 결합하도록 구성된 중공 하우징(105A)의 대향 단부에 두 개의 개구(132)를 포함할 수도 있다. 측벽(120A, 121A) 및 후방 측벽(106A)은 각각의 개구(132) 근방에 요부(recessed) 영역(109A)을 포함하는데, 이는 각각의 개구(132)의 경계를 정하는 견부(108A)를 형성한다.
도 3B는 제2 재진입 도관 또는 "M" 자형 도관(150B)의 일 실시예의 측단면도를 도시한다. 도관(150B)은 대체로 "M"자 형상을 형성하는 측벽을 포함하는 중공 하우징(105B)을 구비한다. 도관(150B)은 대체로 대칭적이며 제1 측벽(120B) 및 이에 대향하며 제1 측벽(120B)보다 길이가 짧은 제2 측벽(121B)을 포함한다. 제1 측벽(120B)은 평탄부(122)에 약 90도의 각도로 결합한다. 상부 측벽(126B)은 평탄부(122)에 약 12° 내지 약 22°의 각도로 결합하며, 하부 측벽(127B)에 실질적으로 평행하다. 일 실시예에서 상부 측벽(126B) 및 하부 측벽(127B)은 실질적으로 동일한 길이이다. 상부 측벽(126B) 및 하부 측벽(127B)은 중공 하우징(105B)의 대략 중심에 있는 골(valley)(124B)에서 만난다. 슬롯(185)은 대체로 "M"자 형상을 포함할 수 있으며 후방 측벽(106B) 내에 몸체(105)를 통해 형성될 수 있다. 슬롯(185)은 상부 측벽(126B) 및 하부 측벽(127B) 사이 및 제1 측벽(120B) 및 제2 측 벽(121B) 사이의 영역으로 적어도 부분적으로 연장할 수 있다. 도관(150B)은 또한 덮개(10) 및/또는 플라스마 채널링 장치(200)(모두 도 1에 도시됨)에 결합하도록 구성된 중공 하우징(105B)의 대향 단부에 두 개의 개구(132)를 포함할 수도 있다. 측벽(120B, 121B) 및 후방 측벽(106B)은 각각의 개구(132) 근방에 요부 영역(109B)을 포함하는데, 이는 각각의 개구(132)의 경계를 정하는 견부(108B)를 형성한다.
도 4는 도관(150C)의 일 실시예의 저면도를 도시하는데, 이는 본 명세서에 기술된 바와 같은 제1 도관(150A) 또는 제2 도관(150B)의 저면도를 나타낸다. 하부 측벽(127C)은 제1 도관(150A)의 하부 측벽(127A)(도 3A) 또는 제2 도관(150B)의 하부 측벽(127B)(도 3B)을 나타내며, 견부(180C)는 제1 도관(150A) 및 제2 도관(150B)의 견부(108A) 또는 견부(108B)를 나타낸다. (점선으로 도시된) 영역(124C)은 제1 도관(150A)의 정점(124A) 또는 제2 도관(150B)의 골(124B)을 나타낸다. 이러한 실시예에서는, 각각의 개구(132)가 길이(D1) 및 폭(D2)을 구비하는 직사각형 형상을 포함하며, 거리(D3) 만큼 떨어져 있다.
길이(D1) 및 폭(D2)은 거리(D3)에 상관되거나 비례할 수 있으며, 비율 또는 방정식과 같이 수학적으로 표현될 수 있다. 일 실시예에서, 거리(D3)는 기판의 직경보다 크다. 예를 들어, 거리(D3)는 300mm의 웨이퍼의 경우에 약 400mm 내지 약 550mm 일 수 있다. 일 실시예에서, 300mm의 웨이퍼의 경우에 거리(D3)가 약 410mm 내지 약 425mm인 반면, 길이(D1)는 약 130mm 내지 약 145mm이며, 폭(D2)은 약 45mm 내지 약 55mm이다. 각 도관(150A, 150B)은 그 내부의 플라스마 경로가 실질적으로 동일하게 되도록 비례한다. 동등한 플라스마 경로를 용이하게 하기 위해, 도관(150A)의 정점(124A) 및 도관(150B)의 골(124B) 중 하나 또는 모두는 도관(150A)의 내부 영역(155A) 및 도관(150B)의 내부 영역(155B)의 중심선을 동일하게 하도록 조정될 수 있다. 즉, 도관(150A, 150B)의 내부 영역(155A, 155B)을 동일하게 함으로써 양 도관(150A, 150B) 사이에 실질적으로 동일한 플라스마 경로를 제공하게 된다.
플라스마
채널링
장치 (Plasma Channeling Device)
도 5A는 도 1로부터의 플라스마 채널링 장치(200)의 상세도를 도시한다. 플라스마 채널링 장치(200)는 도관(150A, 150B)의 내부 영역(155A, 155B)으로부터의 플라스마 전류를 기판의 표면 및 프로세싱 영역(25)의 표면 위로 균일하게 퍼트리도록 작동한다. 일 실시예에서, 플라스마 채널링 장치(200)는 플라스마가 도관(150A, 150B)을 통해 이동하는 영역을 증가시키도록 도관(150A, 150B)과 포트(50A-50D)(도면에는 50B 만 도시됨) 사이의 전이 부재(transitional member)로서 기능한다. 플라스마 채널링 장치(200)는 도관(150A, 150B)을 통한 플라스마 전류의 이동을 확장시키도록 작동하여 플라스마가 포트(도면에서 50B로 도시됨)를 빠져 나갈 때 넓은 프로세스 영역을 더 잘 커버하고 개구에서 또는 개구 근방에서 매우 높은 이온 밀도의 영역 또는 "핫 스폿(hot spots)"을 최소화시키거나 제거하게 된다.
도 5B는 플라스마 채널링 장치(200)의 일 실시예의 측단면도를 도시한다. 플라스마 채널링 장치(200)는 도관(이 도면에서는 도시되지 않음)에 결합하도록 구성된 제1 단부(272) 및 포트(50A-50D)의 덮개(10)에 결합하도록 구성된 제2 단부(274)를 구비한다. 플라스마 채널링 장치(200)는 프로세싱 영역(25)에서 더 넓은 영역을 커버하도록, 적어도 하나의 치수에서, 제1 단부(272)와 제2 단부(274) 사이의 영역을 확대시킴으로써 프로세싱 영역(25)으로 넓혀진 플라스마 경로를 제공한다. 예를 들어, 길이(D1)는 도관(150C)(도 4)의 치수일 때 길이(D4)는 길이(D1)보다 실질적으로 더 크다. 일 실시예에서, 300mm의 웨이퍼의 경우에 길이(D4)는 185mm 내지 220mm인 반면에, 길이(D1)는 약 130mm 내지 약 145mm이다. 플라스마 채널링 장치(200)는 또한 웨지(wedge)형 부재(220)도 포함하는데, 이는 플라스마 전류가 그 내부로 흐를 때 플러스마 전류(P)를 "분할시키고(split)" "좁힌다(narrow)". 따라서 플라스마 채널링 장치(200)는 도관(150A, 150B)을 통해 순환하는 플라스마의 공간 밀도(spatial density)를 제어하도록 작동하여 프로세싱 영역(25) 내에 더 큰 반경 방향 플라스마 분포가 가능하도록 한다. 또한, 웨지형 부재(220) 및 넓혀진 플라스마 경로는 덮개(10)의 개구에서 또는 개구 근방에서 높은 이온 밀도의 영역을 제거하거나 최소화시킨다. 플라스마 전류가 챔버를 통해 순환할 때 재진입 도관으로부터 또는 재진입 도관으로 다시 진입하는 플라스마 전류를 분할하고/분할하거나 안내하는(channel) 기능을 하는 플라스마 채널링 장치의 예는 2002년 6월 5일 출원되어 2003년 12월11일에 공개된 미국 특허 공개공보 제 2003/0226641호에 개시되어 있으며, 상기 특허 공개공보는 그 전체 내용이 본 명세서에 참조로서 병합된다.
다시 도 5A를 참조하면, 플라스마 채널링 장치(200)는 리드(10) 내의 포트(50B)의 횡단면 형상과 대체로 정합하는 대체로 직사각형 횡단면 형상을 포함하는 몸체(210), 및 이들 사이의 결합을 용이하게 하기 위하여 도관(150B)의 단부(151)를 포함한다. 몸체(210)는 그 위에 코팅(237)을 가질 수 있는 내부 표면(236)을 구비한다. 일 실시예에서, 몸체(210)는 알루미늄과 같은 전도성 금속으로 제조되며, 코팅(237)은 예를 들어 산화 이트륨(Y2O3)과 같은 이트륨 물질일 수 있다. 내부 표면(236)은 제1 단부(272)에 테이퍼부(tapered portion)(230)를 포함하는데, 이는 몸체(210)에 형성되는 반경부(radius), 챔퍼(chamfer), 또는 약간 각진 부분일 수 있다. 몸체(210)의 제1 단부(272)는 도관(150B)의 단부(151)와 접속하도록 구성되며, 제2 단부(274)는 덮개(10)의 포트(50B) 내로 또는 이를 통해 연장할 수 있다. 이 도면에는 길이(D5)가 도시되는데, 이는 도 4에 도시된 길이(D2)와 실질적으로 동일할 수 있다.
몸체(210)는 몸체(210)와 덮개(10) 사이의 절연체(280)와 도관(150B)의 단부(151)와 접속하는 O-링을 포함할 수 있는 O-링 홈을 구비한다. 절연체(280)는 폴리카보네이트(polycarbonate), 아크릴, 세라믹 등과 같은 절연 물질로 제조된다. 몸체(210)는 또한 냉각 유체를 유동시키기 위하여 하나 이상의 측벽에 형성되는 냉매 채널(228)도 구비한다. 몸체의 제1 단부(272)는 또한 도관(150B)의 단부(151) 상에 형성되는 견부(152)와 정합하도록 구성되는 내부 표면(236)의 일부 내에 요부(252)를 구비한다. 견부(152)는 O-링을 플라스마로부터 부분적으로 차단시키는 기능을 하므로 O-링의 수명을 연장시킬 수 있다.
도 6은 플라스마 채널링 장치(200)의 몸체(210)의 사시도를 도시한다. 몸체(210)는 플랜지부(flange portion)(215)에 결합하는 4개의 상부 측벽(205A-205D)을 구비한다. 이 도면에서 205D로 표시된, 상부 측벽 중 하나 이상은 냉매 채널(228)을 구비한다. 냉매 채널(228)은 또한 유입 포트(260) 및 배출 포트(261)를 구비한다. 몸체(210)는 또한 제2 단부(274)에 4개의 하부 측벽(244A-244D)(도면에는 244A 및 244D 만 표시됨)을 구비한다. 상부 및 하부 측벽은 인접한 측벽 사이에 둥글린 코너(206) 및/또는 경사진 코너(207)를 포함할 수 있다.
일 실시예에서, 상부 측벽(205D 및 205B)은 그 사이에서 플랜지부(215)의 일부와 교차하며 동일한 평면을 공유하고, 2개의 하부 측벽(244A) 및 대향하는 하부 측벽(244C)은 플랜지부(215)로부터 안쪽으로 오프셋(offset)되거나 안쪽으로 연장한다. 플랜지부(215)는 상부 측벽(205A, 205C)의 평면 및 하부 측벽(244A, 244C)의 평면 모두를 지나 연장한다.
도 7은 플라스마 채널링 장치(200)의 몸체(210)의 측단면도를 도시한다. 웨지형 부재(220)는 몸체(210)의 내부를 두 개의 분리된 영역으로 분할한다. 웨지형 부재(220)는 두 개의 제1 포트(235A) 및 두 개의 제2 포트(236A)를 분리시키며, 제2 포트(236A) 각각의 면적 또는 부피는 제1 포트(235A) 각각의 면적 또는 부피보다 더 크다. 일 실시예에서, 제2 포트(236A) 각각은 제1 포트(235A)의 면적 또는 부 피의 약 1/3 내지 약 1/2 보다 더 크다. 제1 포트(235A) 및 제2 포트(236A)는 함께 몸체(210)의 내부에 두 개의 채널을 형성하는데, 이는 제1 단부(272)로부터 제2 단부(274)로 확장하는 면적 또는 부피를 구비한다.
웨지형 부재(220)는 정점 또는 제1 단부(250)로부터 기부 또는 제2 단부(253)로 단면적이 확장하는 하나 이상의 경사 측면(254)을 가지는 실질적으로 삼각형상의 몸체를 구비한다. 경사 측면(254)은 제1 단부(250)로부터 제2 단부(253)로 연장할 수 있거나, 도시된 바와 같이 웨지형 부재(220)의 길이를 따르는 평평한 부분과 교차할 수 있다. 제1 단부(250)는 둥글린(rounded), 각진(angled), 평평한, 또는 비교적 날카로운 교차부(intersection)를 구비할 수 있다. 웨지형 부재(220)는 알루미늄이나 세라믹 재료로 제조될 수 있으며, 이트륨 물질과 같은 코팅을 추가로 구비할 수 있다.
작동에 있어서, 플라스마 전류는 몸체(210)의 제1 단부(272)로 들어가 몸체(210)의 제2 단부(274)로 빠져나가거나 또는 그 반대일 수 있다. 이동 방향에 따라서, 플라스마 전류는 제2 포트(236A)를 통과해서 나갈 때에 제1 포트(235A)를통과하는 플라스마 전류의 폭 및/또는 넓이에 비해 넓혀지거나 확장될 수 있거나, 또는 플라스마 전류의 폭 및/또는 넓이는 플라스마 전류가 제2 포트(236A) 및 제1 포트(235A)를 들어가서 통과함에 따라 좁혀지거나 감소될 수 있다.
샤워헤드
조립체 (
Showerhead
Assembly)
도 8은 가스 분배 플레이트 또는 샤워헤드(300)의 일 실시예의 사시도를 도 시한다. 샤워헤드(300)는 일반적으로 벽(306)을 형성하기 위해 요부 영역(322)을 가지는 원형 부재(305)를 구비한다. 요부 영역(322)은 원형 부재(305) 또는 벽(306)의 내부 직경(372) 상에 배치되는 천공 플레이트(320)를 구비한다. 원형 부재(305) 또는 벽(306)은 상부 에지(331)를 형성하기 위해 제1 외부 직경(370) 및 내부 직경(372)을 구비한다. 유체 채널(335)은 상부 에지(331)에 결합하거나, 일체로 형성되거나, 또는 그 내부에 적어도 부분적으로 형성될 수 있다. 유체 채널(335)은 냉각 유체와 같은 열 전달 유체에 대한 유입부 및 유출부로서 기능할 수 있는 포트(345)와 소통한다. 일 실시예에서, 유체 채널(335) 및 포트(345)는 원형 부재(305) 또는 벽(306)의 상부 에지(331)에 용접되는 별도의 부재를 형성한다. 포트(345)는 원형 부재(305) 또는 벽(306)의 제1 외부 직경의 일부에 결합하는 장착부(315) 상에 배치된다.
일 실시예에서, 제1 외부 직경(370)은 하나 또는 그보다 많은 견부 섹션(shoulder section)(350)을 포함한다. 견부 섹션(350)의 외부 표면은 제1 외부 직경보다 더 큰 제2 외부 직경을 형성하는 방사형 또는 아치형 영역을 포함할 수 있다. 각각의 견부 섹션(350)은 원형 부재(305) 또는 벽(306) 주위에 약 90° 간격으로 배치될 수 있다. 일 실시예에서, 각각의 견부 섹션(350)은 볼록부(326) 및/또는 오목부(327)와 같은 만곡부를 포함하는 원형 부재(305) 또는 벽(306)과의 전이식 커플링(transitioned coupling)을 구비한다. 대안적으로, 이러한 커플링은 원형 부재(305) 또는 벽(306)으로의 각진 또는 직선의 전이부를 구비할 수 있다. 일 실시예에서, 견부 섹션(350) 각각은 그 내부에 냉매를 유동시키기 위해 유체 채 널(335)과 소통하는 냉매 채널(도시되지 않음)을 포함한다. 장착부(315)가 결합된 원형 부재(305) 또는 벽(306)의 영역은 상기한 바와 같은 견부 섹션(350)의 일부인 부분 견부 섹션(352)을 포함한다.
일 실시예에서, 원형 부재(305) 또는 벽(306)의 상부 에지(331)에는 하나 또는 그보다 많은 핀(340)이 연장하는데, 이는 챔버(1)에 대한 샤워헤드(300)의 정렬을 용이하게 하기 위한 인덱싱 핀(indexing pin)일 수 있다. 장착부(315)는, 챔버(1)에 샤워헤드(300)의 결합을 용이하게 하기 위해, 나사나 볼트와 같은 체결수단을 수용하도록 구성된 구멍(341)을 구비할 수도 있다. 일 실시예에서, 구멍은 볼트나 나사를 수용하도록 구성된 암형 나삿니를 구비하는 블라인드 홀(blind hole)이다.
도 9A는 도 8의 샤워헤드(300)의 측단면도를 도시한다. 샤워헤드(300)는 그 내부에 요부 영역(322)이 형성되는 제1 측면(360)을 구비하여 천공 플레이트(320)의 실질적으로 평평한 유입 측면 또는 제1 측면(360)을 형성한다. 천공 플레이트(320)는 제1 측면(360)으로부터 제2 측면(362)으로 형성되는 다수의 오리피스(380)를 가져서 프로세스 가스가 이를 통해 유동할 수 있게 한다. 원형 부재(305) 또는 벽(306)의 둘레 또는 제1 외부 직경(370)(이 도면에는 도시되지 않음)은 천공 플레이트(320) 주변의 제3 외부 직경(376)을 형성하는 챔퍼(325)를 구비한다. 제3 외부 직경(376)은 제1 및 제2 외부 직경(370, 374)보다 작으며, 내부 직경(372)과 실질적으로 동일할 수 있다. 일 실시예에서, 천공 플레이트(320)는 원형 부재(305) 또는 벽(306)의 내부 직경(372)과 실질적으로 동일한 제3 외부 직 경을 구비한다.
도 9B는 도 9A에 도시된 천공 플레이트(320)의 일부의 전개 단면도이다. 천공 플레이트(320)는 그 내부에 다수의 오리피스(380)가 형성되는 몸체(382)를 포함한다. 다수의 오리피스(380) 각각은 제1 직경을 가지는 제1 개구(381), 제2 직경을 가지며 상기 제1 개구(381)와 유체 소통되는 제2 개구(385), 및 이들 사이의 테이퍼부(383)를 구비한다. 일 실시예에서, 제1 개구(381)는 천공 플레이트(320)의 제1 측면(360) 내에 배치되며 제2 개구(385)는 천공 플레이트(320)의 제2 측면(362) 내에 배치된다. 일 실시예에서, 제1 개구(381)는 제2 개구(385)의 직경보다 더 큰 직경을 구비한다.
제1 및 제2 개구(381, 385)의 깊이, 간격, 및/또는 직경은 실질적으로 동일할 수 있거나 또는 변화하는 깊이, 간격, 및/또는 직경을 포함할 수 있다. 일 실시예에서, 중심 개구(384)로 표시된, 천공 플레이트(320)의 실질적으로 기하 중심에 위치하는 다수의 오리피스(380) 중 하나는 다수의 오리피스(380)의 나머지 내의 제1 개구(381)보다 더 적은 깊이를 가지는 제1 개구(386)를 포함한다. 대안적으로 또는 추가적으로, 중심 개구(384) 및 바로 인접하여 둘러싸는 오리피스(380) 사이의 간격은 다른 오리피스(380)의 간격보다 더 가까울 수 있다. 예를 들어, 원형 또는 "볼트-센터(bolt-center)" 패턴이 다수의 오리피스(380)에 대해 사용되면, 인접한 오리피스 사이에서 반경 방향으로 측정된 거리는 실질적으로 동일하거나 또는 실질적으로 동일한 진행(progression)을 포함할 수 있으나, 다만 중심 개구(384)와 제1의 또는 가장 최내각 원의 오리피스(380) 간의 반경 방향 거리는 예외이며 이는 나머지 다수의 오리피스보다 더 작은 거리를 포함할 것이다. 일부 실시예에서, 제1 개구(381)의 깊이는 교번식으로 형성될 수 있는데, 패턴에 따라, 제1 열 또는 원은 일정 깊이를 가지는 제1 개구를 구비하며 제2 열 또는 원은 제1 개구(381) 내에 상이한 깊이를 구비할 수 있다. 대안적으로, 패턴 내의 특정 열 또는 원을 따라 교번하는 오리피스(380)가 상이한 깊이 및 상이한 직경을 구비할 수 있다.
다수의 오리피스(380)의 패턴은 프로세스 가스의 유동 및 분포의 강화를 용이하게 하도록 구성되는 어떠한 패턴도 포함할 수 있다. 패턴은 원형 패턴, 삼각형 패턴, 직사각형 패턴, 및 기타 임의의 적절한 패턴을 포함할 수 있다. 샤워헤드(300)는 알루미늄과 같이 프로세스 내성 물질, 바람직하게는 전도성 물질로 제조될 수 있으며, 이는 양극 처리되거나(anodized), 비 양극 처리되거나(non-anodized), 또는 코팅을 구비할 수 있다.
기판 지지 조립체 (Substrate Support Assembly)
도 10은 기판 지지 조립체(400)의 일 실시예의 사시 단면도를 도시한다. 기판 지지 조립체(400)는 일반적으로 정전 척(422), 섀도우 링(shadow ring)(421), 원통형 절연체(419), 지지 절연체(413), 캐소드 기부(414), 전기 연결 조립체(440), 리프트 핀 조립체(500), 및 냉각 조립체(444)를 포함한다. 정전 척(422)은 일반적으로 퍽(410) 및 금속층(411)을 포함한다. 퍽(410)은 매설 전극(415)을 구비하는데, 이는 정전 척(422) 내에서 캐소드로서 작동할 수 있다. 매설 전극(415)은 몰리브덴과 같은 금속성 물질로 제조될 수 있으며, 천공 플레이트나 망 사(mesh) 재료로서 형성될 수 있다.
일 실시예에서, 퍽(410) 및 금속 층(411)은 인터페이스(412)에서 함께 결합하여 퍽(410)을 지지할 수 있고 두 부품 사이의 열 전달을 강화시킬 수 있는 단일의 견고한 부품을 형성한다. 일 실시예에서, 퍽(410)은 유기 중합체 재료를 사용하여 금속층(411)에 접합된다. 다른 실시예에서, 퍽(410)은 에폭시 물질과 같은 열 전도성 중합체 재료를 사용하여 금속층(411)에 접합된다. 다른 실시예에서, 퍽(410)은 금속 땜질 재료(braze) 또는 납땜(solder)을 사용하여 금속층(411)에 접합된다. 퍽(410)은 질화 알루미늄(AlN) 또는 산화 알루미늄(Al2O3)과 같은 절연성 또는 반절연성(semi-insulative) 물질로 제조되는데, 이는 재료의 전기적 및 열적 성질을 변경시키기 위하여 다른 재료로 도핑될 수 있고, 금속층(411)은 알루미늄과 같은 높은 열 전도성을 갖는 금속으로 제조된다. 이러한 실시예에서, 기판 지지 조립체(400)는 기판 접촉-냉각 정전 척으로서 구성된다. 기판 접촉-냉각 정전 척의 예는 2004년 8월 26일에 출원되어 2006년 3월 2일에 미국 특허 공개 공보 제2006/0043065호로서 공개된 미국 특허 출원 번호 제10/929,104호에서 찾을 수 있으며, 상기 특허의 내용은 그 전체적으로 본 명세서에 참조로서 병합된다.
금속층(411)은 캐소드 기부(414)에 연결되는 냉각 조립체(444)에 결합하는 하나 또는 그보다 많은 유체 채널(1005)을 포함할 수 있다. 냉각 조립체(444)는 일반적으로 금속층(411) 내에 형성되는 하나 또는 그보다 많은 유체 채널(1005)에 연결되는 두 개 또는 그보다 많은 포트(도시되지 않음)를 가지는 커플링 블록(418) 을 포함한다. 작동 중에, 가스, 탈 이온수(deionized water), 또는 GALDEN® 유체와 같은 유체가 커플링 블록(418) 및 유체 채널(1005)을 통해 전달되어 프로세싱 동안에 퍽(410)의 기판 지지 표면(410B) 사에 위치하는 기판(명확성을 위해 도시하지 않음)의 온도를 제어한다. 커플링 블록(418)은 플라스틱이나 세라믹 재료로 형성될 수 있는 절연체(417)를 이용하여 외부 환경으로부터 열적 및 전기적으로 절연될 수 있다.
전기 연결 조립체(440)는 일반적으로 고 전압 리드(442), 피복된 입력 리드(430), 연결 블록(431), 고 전압 절연체(416), 및 유전성 플러그(dielectric plug)(443)를 포함한다. 사용에 있어서, RF 전력 공급원(405A)(도 1) 및/또는 DC 전력 공급원(406)(도 1)과 전기적으로 통신하는 피복된 입력 리드(430)는 연결 블록(431)에 삽입되어 전기적으로 연결된다. 고 전압 절연체(416)에 의하여 캐소드 기부(414)로부터 절연되는 연결 블록(431)은 RF 전력 공급원(405A) 및 또는 DC 전력 공급원(406)으로부터의 전력을 리셉터클(receptacle)(441)을 통해 퍽(410) 내에 위치되는 매설 전극(415)에 전기적으로 연결되는 고 전압 리드(442)에 전달한다. 일 실시예에서, 리셉터클(441)은 매설 전극(415)에 땜질(braze), 접합(bond), 및/또는 부착되어 매설 전극(415)과 리셉터클(441) 사이에 양호한 RF 및 전기적 연결을 형성한다. 고 전압 리드(442)는 유전성 플러그(443)를 사용하여 금속층(411)으로부터 전기적으로 절연되며, 이러한 유전성 플러그는, 예를 들어 TEFLON® 물질인 폴리테트라플루오르에틸렌(PTFE)과 같은 유전성 물질 또는 기타 적절한 유전성 물 질로 제조될 수 있다.
연결 블록(431), 고 전압 리드(442), 및 피복된 입력 리드(430)는 예를 들어 황동, 구리 또는 기타 적절한 물질과 같은 금속과 같이 전도성 물질로 형성될 수 있다. 피복된 입력 리드(430)는 황동, 구리, 또는 기타 전도성 물질과 같은 전도성 물질로 제조되며 RF 전도체 재킷(jacket)(434) 내에 적어도 부분적으로 둘러싸이는 중심 플러그(433)를 포함할 수 있다. 몇몇 경우에, 전기 연결 조립체(440) 부품 중 하나 또는 그보다 많은 부품을 금, 은, 또는 다른 코팅으로 코팅하는 것이 바람직할 수 있는데, 이는 정합하는 부품 간의 전기적 접촉을 향상시키게 된다.
일 실시예에서, 퍽(410) 및 금속층(411)을 포함하는 정전 척(422)은 지지 절연체(413)를 이용하여 접지된 캐소드 기부(414)로부터 절연된다. 지지 절연체(413)는 따라서 정전 척(422)을 접지(ground)로부터 열적 및 전기적으로 절연시킨다. 일반적으로, 지지 절연체(413)는 아킹(arcing)의 발생을 허용하지 않거나 그 유전성 특성이 시간에 걸쳐 약화되는 것을 허용하지 않으면서 높은 RF 바이어스 전력 미 RF 바이어스 전압 수준을 견딜 수 있는 물질로 제조된다. 일 실시예에서, 지지 절연체(413)는 중합체 재료 또는 세라믹 재료로 제조된다. 바람직하게, 지지 절연체(413)는 폴리카보네이트와 같이 저렴한 중합체 재료로 제조되는데, 이는 교체 부품 가격을 줄이고 기판 지지 조립체(400)의 가격을 줄이게 되어 그 총 소유비용(CoO)을 향상시키게 된다. 일 실시예에서는, 도 10에 도시된 바와 같이, 금속층(411)이 지지 절연체(413) 내에 형성된 피처(feature) 내에 배치되어 캐소드 기부(414)와 매설 전극(415) 사이의 전기적 절연을 향상시킨다.
퍽(410) 및 금속층(411)을 더 절연시키기 위해, 그리고 이러한 부품들과 플라스마 챔버(1) 내의 다른 부품들 사이에서 아킹이 발생하는 것을 방지하기 위하여, 원통형 절연체(19) 및 섀도우 링(421)이 사용된다. 일 실시예에서, 원통형 절연체(419)는, 정전 척(422) 내의 하나 또는 그보다 많은 부품이 프로세싱 중에 RF 또는 DC 바이어스될 때, 캐소드 기부(414)와 같이 많은 접지 부품들과 정전 척(422) 사이의 아킹을 최소화시키기 위해 정전 척(422)을 둘러싸고 지지 절연체(413)를 커버하도록 형성된다. 원통형 절연체(419)는 일반적으로 세라믹 물질(예를 들어 산화 알루미늄)과 같은 유전성 물질로부터 형성되며, 이러한 물질은 프로세싱 영역(25) 내에 형성되는 플라스마에 대한 노출을 견딜 수 있다. 일 실시예에서, 섀도우 링(421)은 챔버 내의 다른 접지된 부품들과 정전 척(422) 부품 사이에 아킹이 발생할 기회를 최소화시키기 위해 지지 절연체(413)와 퍽(410)의 일부를 커버하도록 형성된다. 섀도우 링(421)은 일반적으로 세라믹 물질(예를 들어 산화 알루미늄)과 같은 유전성 물질로부터 형성되며, 이러한 물질은 프로세싱 영역(25) 내에 형성되는 플라스마에 대한 노출을 견딜 수 있다.
도 11은 위에 기판(24)을 가지는 도 10의 정전 척(422)의 부분적 단면도를 도시한다. 도시된 바와 같이, 기판(24)의 에지는 퍽(410)의 상부 표면 위에 대체로 걸칠 것이며 섀도우 링(421)의 일부는 프로세싱 영역(25)의 플라스마로부터 퍽의 상부 표면을 차폐시키도록 위치한다. 섀도우 링(421)은 실리콘, 실리콘카바이드, 석영, 알루미나, 질화 알루미늄, 및 기타 프로세스 호환성 물질을 포함하는 프로세스 호환성 물질로 제조될 수 있다. 도 11에는 또한 유체 채널(1005)이 도시되 어 있는데, 이는 냉매 공급원 및 펌프와 소통하고 있다.
도 10을 다시 참조하면, 일 실시예에서는, O-링 시일(1010)이 금속층(411)과 지지 절연체(413) 사이에 배치되어 주위 대기로부터 프로세싱 영역(25)의 격리 및 진공 밀봉을 용이하게 한다. 따라서 진공 밀봉은 챔버(1)가 펌프(40)에 의하여 대기 압력 아래의 압력으로 배기(evacuate)될 때, 프로세싱 영역(25)으로의 대기 누출(atmospheric leakage)을 방지한다. 내부를 흐르는 열 교환 유체의 누설을 방지하기 위하여 커플링 블록(418)을 하나 또는 그보다 많은 유체 채널(1005)에 연결하는데 사용되는 포트(도시되지 않음) 주변에 하나 또는 그보다 많은 유체 O-링 시일(도시되지 않음)이 배치될 수도 있다. 유체 O-링 시일(도시되지 않음)은 금속층(411)과 지지 절연체(413) 및 지지 절연체(413)와 캐소드 기부(414) 사이에 배치될 수 있다.
캐소드 기부(414)는 정전 척(422)과 지지 절연체(413)를 지지하는데 사용되며 일반적으로 챔버 바닥(15)에 연결되어 밀봉된다. 캐소드 기부(414)는 금속(예를 들어 알루미늄 또는 스테인리스 스틸)과 같은 열적 및 전기적 전도성 물질로부터 형성된다. 일 실시예에서, O-링 시일(1015)이 캐소드 기부(414)와 지지 절연체(413) 사이에 배치되어 챔버(1)가 배기될 때 프로세싱 영역(25)으로의 대기 누출을 방지하기 위한 진공 시일을 형성한다.
기판 지지 조립체(400)는 리프트 핀(510), 리프트 핀 가이드(520), 상부 부싱(bushing)(522) 및 하부 부싱(521)을 포함하는 3개 또는 그보다 많은 리프트 핀 조립체(500)(이 도면에는 하나만이 도시됨)도 포함할 수 있다. 3개 또는 그보다 많은 리프트 핀 조립체(500) 중 각각에 있는 리프트 핀(510)은 리프트 핀(510)에 결합한 액추에이터(도시되지 않음)를 이용하여 로봇 블레이드(도시되지 않음)로 및 로봇 블레이드로부터, 그리고 기판 지지 표면(410)으로 및 기판 지지 표면으로부터의 기판의 이송을 용이하게 하는데 사용된다. 일 실시예에서, 리프트 핀 조립체(520)는 캐소드 기부(314)에 형성된 구멍(1035) 및 지지 절연체(313)에 형성된 구멍(1030) 내에 배치되며, 리프트 핀(510)은 퍽(410)에 형성된 구멍(525)을 통해 수직 방향으로 작동된다. 리프트 핀(510)이 세라믹 또는 금속 물질을 포함할 수 있는 반면, 리프트 핀 가이드(520)는 세라믹 물질, 중합체 물질, 및 이들의 조합과 같은 유전성 물질로부터 형성될 수 있다.
일반적으로, 리프트 핀 가이드(520)의 외부 직경 및 구멍(1030, 1035)의 내부 직경과 같이, 리프트 핀 가이드(520) 및 구멍(1030, 1035)의 치수는 그 사이의 갭(gap)을 최소화시키거나 제거하는 방식으로 형성된다. 예를 들어, 리프트 핀 가이드(520)의 외부 직경 및 구멍(1030, 1035)의 내부 직경은 프로세싱 동안의 RF 누설 및 아킹 문제를 방지하기 위하여 엄격한 공차(tight tolerance)로 유지된다.
리프트 핀 조립체(500) 각각의 상부 부싱(522)은 구멍(1030, 1035) 내에 삽입될 때 리프트 핀 가이드(520)를 지지하고 유지하는데 사용된다. 일 실시예에서, 상부 부싱(522)의 내부 직경과 리프트 핀 가이드(520) 사이 및 금속층(311) 내에 형성된 구멍과 상부 부싱(522)의 외부 직경 간의 맞춤(fit)은 리프트 핀 가이드(520)가 금속층(311)에 형성된 구멍 내에 꼭 맞게 위치하도록 크기가 정해진다. 일 실시예에서, 상부 부싱(522)은 기판 지지 조립체(400)를 통해 RF의 누설을 방지 하는 전기적 장벽(barrier) 및/또는 진공 시일을 형성하는데 사용된다. 상부 부싱(522)은 TEFLON® 물질과 같은 중합체 물질로부터 형성될 수 있다.
리프트 핀 조립체(500)의 각각의 하부 부싱(521)은 기판 지지 조립체(400) 내부로의 플라스마 또는 RF 누설을 방지하기 위해 퍽(410)의 후방 표면에 리프트 핀 조립체(520)가 접촉하거나 가깝게 위치하도록 하는데 사용된다. 일 실시예에서, 하부 부싱(521)의 외부 직경에는 리프트 핀 가이드(520)를 퍽(410)에 대해 위로 조이기 위해 캐소드 기부(414)의 영역 내에 형성된 나삿니와 맞물릴 수 있도록 나삿니가 형성된다. 하부 부싱(521)은 TEFLON® 물질, PEEK, 또는 다른 적절한 물질(예를 들어 코팅된 금속 부품)과 같은 중합체 물질로부터 형성될 수 있다.
프로세스에 따라서, RF 전력 공급원(405A)(도 1)에 의하여 매설 전극(415)에 가해진 RF 바이어스 전압은 약 500 볼트 내지 약 10,000 볼트 사이에서 변할 수 있다. 이와 같이 큰 전압은 기판 지지 조립체(400) 내에 아킹을 야기할 수 있는데, 이러한 아킹은 프로세스 조건을 왜곡(distort)시키고 기판 지지 조립체(400)의 하나 또는 그보다 많은 부품의 가용 수명에 영향을 미칠 것이다. 아킹이 없이 매설 전극(415)에 큰 바이어스 전압을 신뢰성있게 공급하기 위하여, 척 내의 보이드(void)는 TEFLON® 물질, (C-Lec Plastic, Inc. 사에서 제조한) REXOLITE® 물질, 또는 다른 적절한 물질(예를 들어, 중합체 물질)과 같이 높은 파손 전압(breakdown voltage)을 가지는 유전성 충진 물질로 채워진다. 기판 지지 조립체(400) 내에서 발견되는 여러 부품에 손상을 줄 수 있는 아킹 문제를 방지하기 위하여, 기판 지지 조립체(400) 내에 배치되는 하나 또는 그보다 많은 부품 사이에 형성되는 갭 내에 유전성 물질을 삽입하는 것이 바람직할 수 있다. 일 실시예에서, 금속층(411), 지지 절연체(413), 캐소드 기부(414) 및 리프트 핀 가이드(520)에 형성되는 갭 내에 유전성 물질(523), 예를 들어 세라믹, 중합체, 폴리테트라플루오르에틸렌, 및 이들의 조합을 삽입하는 것이 바람직하다. 일 실시예에서, 유전성 물질은 금속층(411), 지지 절연체(413), 캐소드 기부(414) 및 리프트 핀 가이드(520)에 형성되는 구멍 사이에 형성되는 갭 내에서 TEFLON® 물질로 제조된 테이프(tape)와 같은 폴리테트라플루오르에틸렌 테이프의 형태일 수 있다. 부품의 표면을 따라 주로 발생하는 RF 누설을 방지하기 위해 갭을 밀폐하는데 요구되는 유전성 물질(523)의 두께 또는 양은 정합하는 부품의 치수 공차에 기초하여 변화될 수 있다. 일 실시예에서, 금속층(411)의 외부 표면은 프로세싱 동안에 기판 지지 조립체(400)의 부품 사이의 아킹 가능성을 줄이기 위하여 양극처리되거나 유전성 물질로 코팅된다. 일 태양에서, 인터페이스(412)와 접촉하는 금속층(411)의 표면은 퍽(410)과 유체 채널(1005) 사이의 열 전도를 촉진시키기 위하여 양극처리되거나 코팅되지 않는다.
전술한 설명이 본원발명의 실시예에 관해 이루어졌으나, 추가의 실시예가 본원발명의 기본적인 범위 내에서 가능하며, 본원발명의 범위는 이하의 청구범위에 의해 결정된다.
Claims (23)
- 토로이드 플라스마 공급원으로서,U 형상 및 직사각형 단면을 포함하는 제1 중공 도관;M 형상 및 직사각형 단면을 포함하는 제2 중공 도관;상기 제1 및 제2 중공 도관 각각의 대향 단부에 배치되는 개구; 및상기 제1 및 제2 중공 도관 각각의 내부 표면상에 배치되는 코팅; 을 포함하는,토로이드 플라스마 공급원.
- 제1항에 있어서,상기 제1 및 제2 중공 도관 각각이 상기 내부 표면으로의 접근을 제공하기 위해 도관의 측벽에 슬롯을 구비하는,토로이드 플라스마 공급원.
- 제2항에 있어서,상기 제1 중공 도관의 슬롯이 U 형상을 포함하는,토로이드 플라스마 공급원.
- 제2항에 있어서,상기 제2 중공 도관의 슬롯이 M 형상을 포함하는,토로이드 플라스마 공급원.
- 제1항에 있어서,상기 도관의 측벽에 체결되도록 구성되는 커버를 더 포함하는,토로이드 플라스마 공급원.
- 제1항에 있어서,상기 코팅이 이트륨 물질을 포함하는,토로이드 플라스마 공급원.
- 제1항에 있어서,상기 제1 및 제2 중공 도관 각각이 그 외부 표면에 배치되는 무선 주파수 안테나를 구비하는,토로이드 플라스마 공급원.
- 플라스마 채널링 장치로서,몸체로서, 2개 이상의 채널이 종방향으로 관통하여 배치되며, 상기 2개 이상의 채널은 웨지형 부재에 의하여 분리되는, 몸체; 및상기 몸체의 측벽에 적어도 부분적으로 형성되는 냉매 채널; 을 포함하는,플라스마 채널링 장치.
- 제8항에 있어서,상기 몸체에 결합하는 플랜지부를 더 포함하는,플라스마 채널링 장치.
- 제8항에 있어서,상기 2개 이상의 채널 각각이 상기 몸체의 제1 단부에서 제1 개구 및 상기 몸체의 제2 단부에서 제2 개구를 구비하고, 상기 제2 개구의 면적이 상기 제1 개구의 면적보다 더 큰,플라스마 채널링 장치.
- 제8항에 있어서,상기 2개 이상의 채널 각각이 내부 표면 및 그 위에 배치되는 이트륨 코팅을 가지는,플라스마 채널링 장치.
- 가스 분배 플레이트로서,제1 측면 및 제2 측면을 가지는 원형 부재;상기 원형 부재의 제1 측면의 일부를 따라 에지를 형성하기 위하여 상기 제1 측면의 중심 영역에 형성되는 요부 부분으로서, 상기 제1 측면으로부터 상기 제2 측면으로 연장하는 다수의 오리피스를 구비하는, 요부 부분; 및상기 원형 부재의 주변부에 결합하여 이로부터 반경 방향으로 연장하는 장착부; 를 포함하는.가스 분배 플레이트.
- 제12항에 있어서,상기 에지에 결합하는 냉매 채널; 및상기 장착부에 결합하는 유입부 및 유출부; 를 더 포함하는,가스 분배 플레이트.
- 제12항에 있어서,상기 다수의 오리피스는 상기 요부 부분의 실질적 중심에 하나의 오리피스를 구비하고, 상기 하나의 오리피스는 상기 다수의 오리피스의 나머지 오리피스의 제1 개구의 깊이보다 작은 깊이를 가지는 제1 개구를 구비하는,가스 분배 플레이트.
- 제12항에 있어서,상기 제1 측면이 서로 약 180°로 떨어지는 2개 이상의 인덱싱 핀을 더 포함하는,가스 분배 플레이트.
- 제12항에 있어서,상기 원형 부재의 주변부가 다수의 견부 섹션을 구비하며, 각각의 견부 섹션은 호(arc)의 일부를 형성하고 상기 원형 부재의 외부 직경보다 더 큰 외부 직경을 가지는,가스 분배 플레이트.
- 기판 지지용 캐소드 조립체로서,몸체로서,전도성 상부층;전도성 하부층; 및상기 상부층 및 하부층을 전기적으로 분리하는 유전성 물질; 을 포함하고, 상기 몸체를 종방향으로 통과하여 하나 이상의 개구가 형성되는, 몸체; 및상기 유전성 물질과 상기 상부층 사이의 제1 인터페이스; 및 상기 유전성 물질과 상기 하부층 사이의 제2 인터페이스 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 몸체 내의 위치에 배치되는, 하나 또는 그보다 많은 유전성 충진물; 을 포함하는,기판 지지용 캐소드 조립체.
- 제17항에 있어서,상기 유전성 충진물이 세라믹, 중합체, 폴리테트라플루오르에틸렌, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 물질을 포함하는,기판 지지용 캐소드 조립체.
- 제17항에 있어서,상기 하나 이상의 개구에 배치되는 절연성 리프트 핀 가이드를 더 포함하고, 상기 절연성 리프트 핀 가이드가 세라믹, 중합체, 폴리테트라플루오르에틸렌, 및 이들의 조합으로 이루어진 그룹으로부터 선택된 물질을 포함하는,기판 지지용 캐소드 조립체.
- 제17항에 있어서,상기 몸체가 그 내부에 형성되는 하나 이상의 냉매 채널을 구비하는,기판 지지용 캐소드 조립체.
- 제17항에 있어서,상기 전도성 상부층이 매설 전극을 가지는 퍽을 구비하는,기판 지지용 캐소드 조립체.
- 제21항에 있어서,상기 매설 전극이 상기 전도성 상부층의 각각의 반경 방향 영역을 차지하는 복수의 전기적으로 분리된 전극을 포함하는,기판 지지용 캐소드 조립체.
- 제21항에 있어서,상기 전도성 상부층이 중합체 물질을 사용하여 상기 퍽에 결합하는,기판 지지용 캐소드 조립체.
Applications Claiming Priority (9)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US88579707P | 2007-01-19 | 2007-01-19 | |
US88586107P | 2007-01-19 | 2007-01-19 | |
US88580807P | 2007-01-19 | 2007-01-19 | |
US88579007P | 2007-01-19 | 2007-01-19 | |
US60/885,861 | 2007-01-19 | ||
US60/885,808 | 2007-01-19 | ||
US60/885,797 | 2007-01-19 | ||
US60/885,790 | 2007-01-19 | ||
PCT/US2008/051051 WO2008089168A2 (en) | 2007-01-19 | 2008-01-15 | Plasma immersion chamber |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20090106617A true KR20090106617A (ko) | 2009-10-09 |
Family
ID=39636661
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020097017324A KR20090106617A (ko) | 2007-01-19 | 2008-01-15 | 플라스마 함침 챔버 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20080173237A1 (ko) |
KR (1) | KR20090106617A (ko) |
CN (1) | CN101583736A (ko) |
TW (1) | TW200840425A (ko) |
WO (1) | WO2008089168A2 (ko) |
Families Citing this family (358)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
US9190289B2 (en) * | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
KR20120004040A (ko) * | 2010-07-06 | 2012-01-12 | 삼성전자주식회사 | 플라즈마 발생장치 |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US8898889B2 (en) | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
KR101971312B1 (ko) * | 2011-11-23 | 2019-04-22 | 램 리써치 코포레이션 | 다중 존 가스 주입 상부 전극 시스템 |
KR102011535B1 (ko) | 2011-11-24 | 2019-08-16 | 램 리써치 코포레이션 | 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버 |
US20130153054A1 (en) * | 2011-12-19 | 2013-06-20 | Intermolecular, Inc. | Combinatorial Processing Tool |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9273408B2 (en) * | 2012-09-12 | 2016-03-01 | Globalfoundries Inc. | Direct injection molded solder process for forming solder bumps on wafers |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) * | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9865437B2 (en) | 2014-12-30 | 2018-01-09 | Applied Materials, Inc. | High conductance process kit |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
JP6888007B2 (ja) | 2016-01-26 | 2021-06-16 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウェハエッジリングの持ち上げに関する解決 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
JP6812224B2 (ja) * | 2016-12-08 | 2021-01-13 | 東京エレクトロン株式会社 | 基板処理装置及び載置台 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10490435B2 (en) * | 2018-02-07 | 2019-11-26 | Applied Materials, Inc. | Cooling element for an electrostatic chuck assembly |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US10790123B2 (en) | 2018-05-28 | 2020-09-29 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
WO2020214327A1 (en) | 2019-04-19 | 2020-10-22 | Applied Materials, Inc. | Ring removal from processing chamber |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR102114891B1 (ko) * | 2019-11-18 | 2020-05-26 | 주식회사 기가레인 | 플라즈마 처리 장치 |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US20210175103A1 (en) * | 2019-12-06 | 2021-06-10 | Applied Materials, Inc. | In situ failure detection in semiconductor processing chambers |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
US11615966B2 (en) | 2020-07-19 | 2023-03-28 | Applied Materials, Inc. | Flowable film formation and treatments |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
US11887811B2 (en) * | 2020-09-08 | 2024-01-30 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11699571B2 (en) | 2020-09-08 | 2023-07-11 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR20220107521A (ko) * | 2021-01-25 | 2022-08-02 | (주) 엔피홀딩스 | 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (96)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2344138A (en) * | 1940-05-20 | 1944-03-14 | Chemical Developments Corp | Coating method |
US3109100A (en) * | 1960-05-19 | 1963-10-29 | Automatic Canteen Co | Photosensitive currency testing device |
US3576685A (en) * | 1968-03-15 | 1971-04-27 | Itt | Doping semiconductors with elemental dopant impurity |
US3907616A (en) * | 1972-11-15 | 1975-09-23 | Texas Instruments Inc | Method of forming doped dielectric layers utilizing reactive plasma deposition |
CH611938A5 (ko) * | 1976-05-19 | 1979-06-29 | Battelle Memorial Institute | |
DE3118785A1 (de) * | 1981-05-12 | 1982-12-02 | Siemens AG, 1000 Berlin und 8000 München | Verfahren und vorrichtung zum dotieren von halbleitermaterial |
US4465529A (en) * | 1981-06-05 | 1984-08-14 | Mitsubishi Denki Kabushiki Kaisha | Method of producing semiconductor device |
US4385946A (en) * | 1981-06-19 | 1983-05-31 | Bell Telephone Laboratories, Incorporated | Rapid alteration of ion implant dopant species to create regions of opposite conductivity |
US4382099A (en) * | 1981-10-26 | 1983-05-03 | Motorola, Inc. | Dopant predeposition from high pressure plasma source |
US4500563A (en) * | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
US4581118A (en) * | 1983-01-26 | 1986-04-08 | Materials Research Corporation | Shaped field magnetron electrode |
US4521441A (en) * | 1983-12-19 | 1985-06-04 | Motorola, Inc. | Plasma enhanced diffusion process |
JPS60153119A (ja) * | 1984-01-20 | 1985-08-12 | Fuji Electric Corp Res & Dev Ltd | 不純物拡散方法 |
US4539217A (en) * | 1984-06-27 | 1985-09-03 | Eaton Corporation | Dose control method |
US4698104A (en) * | 1984-12-06 | 1987-10-06 | Xerox Corporation | Controlled isotropic doping of semiconductor materials |
JPH0763056B2 (ja) * | 1986-08-06 | 1995-07-05 | 三菱電機株式会社 | 薄膜形成装置 |
US4764394A (en) * | 1987-01-20 | 1988-08-16 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma source ion implantation |
US4912065A (en) * | 1987-05-28 | 1990-03-27 | Matsushita Electric Industrial Co., Ltd. | Plasma doping method |
KR930003857B1 (ko) * | 1987-08-05 | 1993-05-14 | 마쯔시다덴기산교 가부시기가이샤 | 플라즈마 도우핑방법 |
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5106827A (en) * | 1989-09-18 | 1992-04-21 | The Perkin Elmer Corporation | Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges |
US5312778A (en) * | 1989-10-03 | 1994-05-17 | Applied Materials, Inc. | Method for plasma processing using magnetically enhanced plasma chemical vapor deposition |
US5107201A (en) * | 1990-12-11 | 1992-04-21 | Ogle John S | High voltage oscilloscope probe with wide frequency response |
US5288650A (en) * | 1991-01-25 | 1994-02-22 | Ibis Technology Corporation | Prenucleation process for simox device fabrication |
US5290382A (en) * | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5423945A (en) * | 1992-09-08 | 1995-06-13 | Applied Materials, Inc. | Selectivity for etching an oxide over a nitride |
US5505780A (en) * | 1992-03-18 | 1996-04-09 | International Business Machines Corporation | High-density plasma-processing tool with toroidal magnetic field |
US5277751A (en) * | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
WO1994006263A1 (en) * | 1992-09-01 | 1994-03-17 | The University Of North Carolina At Chapel Hill | High pressure magnetically assisted inductively coupled plasma |
US5510011A (en) * | 1992-11-09 | 1996-04-23 | Canon Kabushiki Kaisha | Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature |
US5542559A (en) * | 1993-02-16 | 1996-08-06 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
JP3430552B2 (ja) * | 1993-05-07 | 2003-07-28 | ソニー株式会社 | ダイヤモンド半導体の製造方法 |
IT1263372B (it) * | 1993-05-26 | 1996-08-05 | Deregibus A & A Spa | Macchina perfezionata per la produzione di tubi in gomma vulcanizzata. |
EP0634778A1 (en) * | 1993-07-12 | 1995-01-18 | The Boc Group, Inc. | Hollow cathode array |
US5520209A (en) * | 1993-12-03 | 1996-05-28 | The Dow Chemical Company | Fluid relief device |
US5435881A (en) * | 1994-03-17 | 1995-07-25 | Ogle; John S. | Apparatus for producing planar plasma using varying magnetic poles |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5711812A (en) * | 1995-06-06 | 1998-01-27 | Varian Associates, Inc. | Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes |
US5874014A (en) * | 1995-06-07 | 1999-02-23 | Berkeley Scholars, Inc. | Durable plasma treatment apparatus and method |
US5702530A (en) * | 1995-06-23 | 1997-12-30 | Applied Materials, Inc. | Distributed microwave plasma reactor for semiconductor processing |
US5653811A (en) * | 1995-07-19 | 1997-08-05 | Chan; Chung | System for the plasma treatment of large area substrates |
US5911832A (en) * | 1996-10-10 | 1999-06-15 | Eaton Corporation | Plasma immersion implantation with pulsed anode |
US5654043A (en) * | 1996-10-10 | 1997-08-05 | Eaton Corporation | Pulsed plate plasma implantation system and method |
US5770982A (en) * | 1996-10-29 | 1998-06-23 | Sematech, Inc. | Self isolating high frequency saturable reactor |
US6051286A (en) * | 1997-02-12 | 2000-04-18 | Applied Materials, Inc. | High temperature, high deposition rate process and apparatus for depositing titanium layers |
JPH10270428A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
US6155909A (en) * | 1997-05-12 | 2000-12-05 | Silicon Genesis Corporation | Controlled cleavage system using pressurized fluid |
US6582999B2 (en) * | 1997-05-12 | 2003-06-24 | Silicon Genesis Corporation | Controlled cleavage process using pressurized fluid |
US6291313B1 (en) * | 1997-05-12 | 2001-09-18 | Silicon Genesis Corporation | Method and device for controlled cleaving process |
US5897752A (en) * | 1997-05-20 | 1999-04-27 | Applied Materials, Inc. | Wafer bias ring in a sustained self-sputtering reactor |
US6150628A (en) * | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6103599A (en) * | 1997-07-25 | 2000-08-15 | Silicon Genesis Corporation | Planarizing technique for multilayered substrates |
US6207005B1 (en) * | 1997-07-29 | 2001-03-27 | Silicon Genesis Corporation | Cluster tool apparatus using plasma immersion ion implantation |
US5935077A (en) * | 1997-08-14 | 1999-08-10 | Ogle; John Seldon | Noninvasive blood flow sensor using magnetic field parallel to skin |
US6041735A (en) * | 1998-03-02 | 2000-03-28 | Ball Semiconductor, Inc. | Inductively coupled plasma powder vaporization for fabricating integrated circuits |
US6265328B1 (en) * | 1998-01-30 | 2001-07-24 | Silicon Genesis Corporation | Wafer edge engineering method and device |
US6274459B1 (en) * | 1998-02-17 | 2001-08-14 | Silicon Genesis Corporation | Method for non mass selected ion implant profile control |
US5944942A (en) * | 1998-03-04 | 1999-08-31 | Ogle; John Seldon | Varying multipole plasma source |
US6395150B1 (en) * | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US6101971A (en) * | 1998-05-13 | 2000-08-15 | Axcelis Technologies, Inc. | Ion implantation control using charge collection, optical emission spectroscopy and mass analysis |
JP3497092B2 (ja) * | 1998-07-23 | 2004-02-16 | 名古屋大学長 | プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置 |
US6050218A (en) * | 1998-09-28 | 2000-04-18 | Eaton Corporation | Dosimetry cup charge collection in plasma immersion ion implantation |
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US6239553B1 (en) * | 1999-04-22 | 2001-05-29 | Applied Materials, Inc. | RF plasma source for material processing |
US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
US6248642B1 (en) * | 1999-06-24 | 2001-06-19 | Ibis Technology Corporation | SIMOX using controlled water vapor for oxygen implants |
US6237527B1 (en) * | 1999-08-06 | 2001-05-29 | Axcelis Technologies, Inc. | System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate |
US6182604B1 (en) * | 1999-10-27 | 2001-02-06 | Varian Semiconductor Equipment Associates, Inc. | Hollow cathode for plasma doping system |
US6433553B1 (en) * | 1999-10-27 | 2002-08-13 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for eliminating displacement current from current measurements in a plasma processing system |
US6335536B1 (en) * | 1999-10-27 | 2002-01-01 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for low voltage plasma doping using dual pulses |
US6341574B1 (en) * | 1999-11-15 | 2002-01-29 | Lam Research Corporation | Plasma processing systems |
SE522531C2 (sv) * | 1999-11-24 | 2004-02-17 | Micronic Laser Systems Ab | Metod och anordning för märkning av halvledare |
US6350697B1 (en) * | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US6291938B1 (en) * | 1999-12-31 | 2001-09-18 | Litmas, Inc. | Methods and apparatus for igniting and sustaining inductively coupled plasma |
US6391146B1 (en) * | 2000-04-11 | 2002-05-21 | Applied Materials, Inc. | Erosion resistant gas energizer |
US6417078B1 (en) * | 2000-05-03 | 2002-07-09 | Ibis Technology Corporation | Implantation process using sub-stoichiometric, oxygen doses at different energies |
US6679981B1 (en) * | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6418874B1 (en) * | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
KR100366623B1 (ko) * | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
US6403453B1 (en) * | 2000-07-27 | 2002-06-11 | Sharp Laboratories Of America, Inc. | Dose control technique for plasma doping in ultra-shallow junction formations |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US6893907B2 (en) * | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US6551446B1 (en) * | 2000-08-11 | 2003-04-22 | Applied Materials Inc. | Externally excited torroidal plasma source with a gas distribution plate |
US7094316B1 (en) * | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6453842B1 (en) * | 2000-08-11 | 2002-09-24 | Applied Materials Inc. | Externally excited torroidal plasma source using a gas distribution plate |
US6348126B1 (en) * | 2000-08-11 | 2002-02-19 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US6410449B1 (en) * | 2000-08-11 | 2002-06-25 | Applied Materials, Inc. | Method of processing a workpiece using an externally excited torroidal plasma source |
US6593173B1 (en) * | 2000-11-28 | 2003-07-15 | Ibis Technology Corporation | Low defect density, thin-layer, SOI substrates |
US6413321B1 (en) * | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
US20030013314A1 (en) * | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
US6632728B2 (en) * | 2001-07-16 | 2003-10-14 | Agere Systems Inc. | Increasing the electrical activation of ion-implanted dopants |
US6838695B2 (en) * | 2002-11-25 | 2005-01-04 | International Business Machines Corporation | CMOS device structure with improved PFET gate electrode |
US20070206716A1 (en) * | 2003-03-21 | 2007-09-06 | Edwards W F | Plasma containment method |
-
2008
- 2008-01-15 KR KR1020097017324A patent/KR20090106617A/ko not_active Application Discontinuation
- 2008-01-15 CN CNA2008800025637A patent/CN101583736A/zh active Pending
- 2008-01-15 WO PCT/US2008/051051 patent/WO2008089168A2/en active Application Filing
- 2008-01-18 US US12/016,810 patent/US20080173237A1/en not_active Abandoned
- 2008-01-18 TW TW097102055A patent/TW200840425A/zh unknown
-
2012
- 2012-04-13 US US13/446,732 patent/US20120199071A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
WO2008089168A3 (en) | 2008-11-13 |
CN101583736A (zh) | 2009-11-18 |
TW200840425A (en) | 2008-10-01 |
WO2008089168A2 (en) | 2008-07-24 |
US20120199071A1 (en) | 2012-08-09 |
US20080173237A1 (en) | 2008-07-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20090106617A (ko) | 플라스마 함침 챔버 | |
KR100561848B1 (ko) | 헬리컬 공진기형 플라즈마 처리 장치 | |
TWI383468B (zh) | 半導體設備中的射頻功率傳輸系統 | |
US6415736B1 (en) | Gas distribution apparatus for semiconductor processing | |
KR100557273B1 (ko) | 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기 | |
KR100697158B1 (ko) | 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법 | |
KR100639076B1 (ko) | 플라즈마 처리 장치 | |
US8636872B2 (en) | Upper electrode and plasma processing apparatus | |
CN206877967U (zh) | 处理套件和等离子体腔室 | |
JP7551765B2 (ja) | 基板処理チャンバにおける処理キットのシース及び温度制御 | |
KR102702944B1 (ko) | 프로세스 키트의 시스 및 온도 제어 | |
US7479456B2 (en) | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck | |
US8920598B2 (en) | Electrode and plasma processing apparatus | |
KR20210126131A (ko) | 플라즈마 프로세싱 챔버에서의 고 바이어스 라디오 주파수(rf) 전력 인가를 위한 정전 척 | |
US20060037702A1 (en) | Plasma processing apparatus | |
JP7508693B2 (ja) | 基板支持体内のリフトピンインターフェース | |
US20210242063A1 (en) | Helical plug for reduction or prevention of arcing in a substrate support | |
US20230197495A1 (en) | Substrate support gap pumping to prevent glow discharge and light-up | |
CN118402056A (zh) | 使用带纹理电介质的晶片到底板的电弧防范 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |