[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

KR102284479B1 - Structure and formation method of semiconductor device with stressor - Google Patents

Structure and formation method of semiconductor device with stressor Download PDF

Info

Publication number
KR102284479B1
KR102284479B1 KR1020200079496A KR20200079496A KR102284479B1 KR 102284479 B1 KR102284479 B1 KR 102284479B1 KR 1020200079496 A KR1020200079496 A KR 1020200079496A KR 20200079496 A KR20200079496 A KR 20200079496A KR 102284479 B1 KR102284479 B1 KR 102284479B1
Authority
KR
South Korea
Prior art keywords
semiconductor
layer
stressor
epitaxial
forming
Prior art date
Application number
KR1020200079496A
Other languages
Korean (ko)
Other versions
KR20210053158A (en
Inventor
쿠오-쳉 치앙
시-닝 주
구안-린 첸
치-하오 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/834,264 external-priority patent/US11201225B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210053158A publication Critical patent/KR20210053158A/en
Application granted granted Critical
Publication of KR102284479B1 publication Critical patent/KR102284479B1/en

Links

Images

Classifications

    • H01L29/78696
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6757Thin-film transistors [TFT] characterised by the structure of the channel, e.g. transverse or longitudinal shape or doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L29/0673
    • H01L29/42392
    • H01L29/66772
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/014Manufacture or treatment of FETs having zero-dimensional [0D] or one-dimensional [1D] channels, e.g. quantum wire FETs, single-electron transistors [SET] or Coulomb blockade transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/031Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/031Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
    • H10D30/0321Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] comprising silicon, e.g. amorphous silicon or polysilicon
    • H10D30/0323Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] comprising silicon, e.g. amorphous silicon or polysilicon comprising monocrystalline silicon
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/40FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels
    • H10D30/43FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels having 1D charge carrier gas channels, e.g. quantum wire FETs or transistors having 1D quantum-confined channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • H10D30/673Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
    • H10D30/6735Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes having gates fully surrounding the channels, e.g. gate-all-around
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H10D30/798Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being provided in or under the channel regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/113Isolations within a component, i.e. internal isolations
    • H10D62/115Dielectric isolations, e.g. air gaps
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/117Shapes of semiconductor bodies
    • H10D62/118Nanostructure semiconductor bodies
    • H10D62/119Nanowire, nanosheet or nanotube semiconductor bodies
    • H10D62/121Nanowire, nanosheet or nanotube semiconductor bodies oriented parallel to substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/17Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
    • H10D62/351Substrate regions of field-effect devices
    • H10D62/357Substrate regions of field-effect devices of FETs
    • H10D62/364Substrate regions of field-effect devices of FETs of IGFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/017Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/018Spacers formed inside holes at the prospective gate locations, e.g. holes left by removing dummy gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/021Manufacture or treatment using multiple gate spacer layers, e.g. bilayered sidewall spacers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/113Isolations within a component, i.e. internal isolations
    • H10D62/115Dielectric isolations, e.g. air gaps
    • H10D62/116Dielectric isolations, e.g. air gaps adjoining the input or output regions of field-effect devices, e.g. adjoining source or drain regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 디바이스 구조체 및 반도체 디바이스 구조체를 형성하기 위한 방법이 제공된다. 반도체 디바이스 구조체는 기판 위의 다수의 반도체 나노구조체 및 기판 위의 두 개의 에피택셜 구조체를 포함한다. 반도체 나노구조체의 각각은 에피택셜 구조체 사이에 있다. 반도체 디바이스 구조체는, 반도체 나노구조체 주위를 랩핑하는 게이트 스택을 또한 포함한다. 반도체 디바이스 구조체는 게이트 스택과 기판 사이에 스트레서 구조체를 더 포함한다. 에피택셜 구조체는 스트레서 구조체의 상부 표면을 넘어 연장된다.A semiconductor device structure and a method for forming the semiconductor device structure are provided. The semiconductor device structure includes a plurality of semiconductor nanostructures over a substrate and two epitaxial structures over the substrate. Each of the semiconductor nanostructures is interspersed with epitaxial structures. The semiconductor device structure also includes a gate stack that wraps around the semiconductor nanostructure. The semiconductor device structure further includes a stressor structure between the gate stack and the substrate. The epitaxial structure extends beyond the top surface of the stressor structure.

Description

스트레서를 갖는 반도체 디바이스의 구조체 및 형성 방법{STRUCTURE AND FORMATION METHOD OF SEMICONDUCTOR DEVICE WITH STRESSOR}STRUCTURE AND FORMATION METHOD OF SEMICONDUCTOR DEVICE WITH STRESSOR

우선권 주장 및 교차 참조Priority Claims and Cross-References

본 출원은 2019년 10월 31일자로 출원된 미국 가출원 제62/928,650호의 이익을 주장하는데, 상기 가출원의 전체는 참조에 의해 본원에 통합된다.This application claims the benefit of U.S. Provisional Application No. 62/928,650, filed on October 31, 2019, the entirety of which is incorporated herein by reference.

반도체 집적 회로(integrated circuit; IC) 산업은 기하 급수적 성장을 경험하여 왔다. IC 재료 및 설계에서의 기술적 진보는 IC의 세대를 생성하였다. 각각의 세대는 이전 세대보다 더 작고 더 복잡한 회로를 갖는다.The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have created generations of ICs. Each generation has smaller and more complex circuits than the previous generation.

IC 진화의 과정에 걸쳐, 기하학적 형상 사이즈(geometric size)(즉, 제조 프로세스를 사용하여 생성될 수 있는 최소 컴포넌트(또는 배선))가 감소하였지만, 기능적 밀도(즉, 칩 면적당 인터커넥트된 디바이스(interconnected device)의 수)는 일반적으로 증가하였다. 이러한 축소 프로세스는, 일반적으로, 생산 효율성을 증가시키는 것 및 관련 비용을 더 낮추는 것에 의해 이점을 제공한다.Over the course of IC evolution, the geometric size (ie, the smallest component (or wiring) that can be created using a manufacturing process) has decreased, but the functional density (ie, interconnected devices per chip area) has decreased. )) was generally increased. This reduction process generally provides advantages by increasing production efficiency and lowering associated costs.

그러나, 이들 진보는 IC를 프로세싱하고 제조하는 것의 복잡성을 증가시켰다. 피쳐 사이즈가 계속 감소하기 때문에, 제조 프로세스는 수행하기가 계속 더 어려워지고 있다. 따라서, 더 작고 더 작은 사이즈에서 신뢰 가능한 반도체 디바이스를 형성하는 것이 어렵다.However, these advances have increased the complexity of processing and manufacturing ICs. As feature sizes continue to decrease, manufacturing processes continue to become more difficult to perform. Therefore, it is difficult to form a reliable semiconductor device in a smaller and smaller size.

본 개시의 양태는, 첨부의 도면과 함께 판독될 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 산업 분야에서의 표준 관행에 따라, 다양한 피쳐는 일정한 축척으로 묘사되지 않는다는 것을 유의해야 한다. 실제, 다양한 피쳐의 치수는 논의의 명확화를 위해 임의적으로 증가되거나 또는 감소될 수도 있다.
도 1a 및 도 1b는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 상면도이다.
도 2a 내지 도 2j는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 3a 내지 도 3n은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 4a 및 도 4b는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 5는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 6은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 7a 내지 도 7c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 8a 내지 도 8c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 9는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 10은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 11은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 12는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 13은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 14a 내지 도 14c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
도 15는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 16은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다.
도 17a 내지 도 17c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
1A and 1B are top views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
2A-2J are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
3A-3N are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
4A and 4B are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
5 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
6 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
7A-7C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
8A-8C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
9 is a cross-sectional view of a semiconductor device structure in accordance with some embodiments.
10 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
11 is a cross-sectional view of a semiconductor device structure in accordance with some embodiments.
12 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
13 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
14A-14C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.
15 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
16 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments.
17A-17C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments.

하기의 개시는, 제공되는 주제의 상이한 피쳐를 구현하기 위한 많은 상이한 실시형태, 또는 예를 제공한다. 본 개시를 단순화하기 위해, 컴포넌트 및 배열(arrangement)의 특정한 예가 하기에서 설명된다. 이들은, 물론, 예에 불과하며 제한하도록 의도되는 것은 아니다. 예를 들면, 후속하는 설명에서 제2 피쳐 위에 또는 상에 제1 피쳐를 형성하는 것은, 제1 및 제2 피쳐가 직접적으로 접촉하여 형성되는 실시형태를 포함할 수도 있고, 또한 제1 피쳐와 제2 피쳐 사이에 추가 피쳐가 형성될 수도 있어서, 결과적으로 제1 및 제2 피쳐가 직접적으로 접촉하지 않을 수도 있는 실시형태도 또한 포함할 수도 있다. 게다가, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수도 있다. 이 반복은 간략화 및 명확화를 위한 것이며, 그 자체로는, 논의되는 다양한 실시형태 및/또는 구성 사이의 관계를 지시하는 것은 아니다.The following disclosure provides many different embodiments, or examples, for implementing different features of the presented subject matter. To simplify the present disclosure, specific examples of components and arrangements are described below. These are, of course, examples only and are not intended to be limiting. For example, in the description that follows, forming a first feature on or on a second feature may include embodiments in which the first and second features are formed in direct contact, and also include the first feature and the second feature. Embodiments may also include embodiments in which additional features may be formed between the two features, such that the first and second features may not be in direct contact as a result. In addition, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for simplicity and clarity, and, in itself, does not indicate a relationship between the various embodiments and/or configurations being discussed.

또한, 도면에서 예시되는 바와 같은 다른 엘리먼트(들) 또는 피쳐(들)에 대한 하나의 엘리먼트 또는 피쳐의 관계를 설명하는 설명의 용이성을 위해, "밑에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 및 등등과 같은 공간적으로 상대적인 용어가 본원에서 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 묘사되는 방위 외에, 사용 또는 동작에서 디바이스의 상이한 방위를 포괄하도록 의도된다. 장치는 다르게 배향될 수도 있고(90 도 회전되거나 또는 다른 방위에 있을 수도 있고), 본원에서 사용되는 공간적으로 상대적인 서술어(descriptor)는 마찬가지로 그에 따라 해석될 수도 있다.Also, for ease of explanation describing the relationship of one element or feature to another element(s) or feature(s) as illustrated in the figures, “beneath”, “below” Spatially relative terms such as , "lower", "above", "upper" and the like may be used herein. The spatially relative terms are intended to encompass different orientations of the device in use or operation, in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may likewise be interpreted accordingly.

"실질적으로 평탄한"에서 또는 "실질적으로 동일 평면 상의"에서, 등등에서와 같은, 설명에서의 용어 "실질적으로"는 기술 분야의 숙련된 자에 의해 이해될 것이다. 몇몇 실시형태에서, 형용사는 실질적으로 제거될 수도 있다. 적용 가능한 경우, 용어 "실질적으로"는 "전체적으로", "완전히", "모두", 등등을 갖는 실시형태를 또한 포함할 수도 있다. 적용 가능한 경우, 용어 "실질적으로"는, 100 %를 비롯하여, 90 % 이상, 예컨대 95 % 이상, 특히 99 % 이상에 또한 관련될 수도 있다. 더구나, "실질적으로 평행한" 또는 "실질적으로 수직인"과 같은 용어는 명시된 배열로부터의 사소한 편차를 배제하지 않는 것으로 해석되어야 하며, 예를 들면, 최대 10°의 편차를 포함할 수도 있다. 단어 "실질적으로"는 "완전히"를 배제하지 않으며, 예를 들면, Y가 "실질적으로 없는" 조성물은 Y가 완전히 없을 수도 있다.The term “substantially” in the description, as in “substantially flat” or “substantially coplanar,” and the like, will be understood by those skilled in the art. In some embodiments, the adjective may be substantially removed. Where applicable, the term “substantially” may also include embodiments having “totally”, “completely”, “all”, and the like. Where applicable, the term “substantially” may also relate to at least 90%, including at least 100%, such as at least 95%, in particular at least 99%. Moreover, terms such as "substantially parallel" or "substantially perpendicular" are to be construed as not excluding minor deviations from the specified arrangement, which may include, for example, deviations of up to 10°. The word "substantially" does not exclude "completely", for example, a composition "substantially free" of Y may be completely free of Y.

특정한 거리 또는 사이즈와 연계한 "약(about)"과 같은 용어는, 명시된 거리 또는 사이즈로부터의 사소한 편차를 배제하지 않는 것으로 해석되어야 하며, 예를 들면, 최대 10 %의 편차를 포함할 수도 있다. 수치 값 x와 관련한 용어 "약"은 x ± 5 또는 10 %를 의미할 수도 있다.Terms such as “about” in connection with a particular distance or size are to be construed as not excluding minor deviations from the specified distance or size, which may include, for example, deviations of up to 10%. The term “about” in reference to a numerical value x may mean x±5 or 10%.

본 개시의 실시형태는 핀(fin)을 갖는 FinFET 구조체에 관한 것일 수도 있다. 핀은 임의의 적절한 방법을 사용하여 패턴화될 수도 있다. 예를 들면, 핀은, 이중 패턴화 프로세스(double-patterning process) 또는 다중 패턴화 프로세스(multi-patterning process)를 비롯한, 하나 이상의 포토리소그래피 프로세스를 사용하여 패턴화될 수도 있다. 일반적으로, 이중 패턴화 또는 다중 패턴화 프로세스는 포토리소그래피 및 자기 정렬 프로세스(self-aligned process)를 결합하여, 예를 들면, 다르게는, 단일의 직접 포토리소그래피 프로세스를 사용하여 획득 가능한 것보다 더 작은 피치를 갖는 패턴이 생성되는 것을 허용한다. 예를 들면, 몇몇 실시형태에서, 희생 층(sacrificial layer)이 기판 위에 형성되고 포토리소그래피 프로세스를 사용하여 패턴화된다. 스페이서는 자기 정렬 프로세스를 사용하여 패턴화된 희생 층과 나란히 형성된다. 그 다음, 희생 층은 제거되고, 그 다음, 남아 있는 스페이서는 핀을 패턴화하기 위해 사용될 수도 있다. 그러나, 핀은 하나 이상의 다른 적용 가능한 프로세스를 사용하여 형성될 수도 있다.Embodiments of the present disclosure may relate to FinFET structures having fins. The pins may be patterned using any suitable method. For example, the fins may be patterned using one or more photolithography processes, including a double-patterning process or a multi-patterning process. In general, double patterning or multiple patterning processes combine photolithography and self-aligned processes, for example, in smaller sizes than otherwise obtainable using a single direct photolithography process. Allows a pattern with a pitch to be created. For example, in some embodiments, a sacrificial layer is formed over a substrate and patterned using a photolithography process. The spacers are formed alongside the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers may then be used to pattern the fins. However, the fins may be formed using one or more other applicable processes.

본 개시의 실시형태는 게이트 올 어라운드(gate all around; GAA) 트랜지스터 구조체에 관한 것일 수도 있다. GAA 구조체는 임의의 적절한 방법을 사용하여 패턴화될 수도 있다. 예를 들면, 구조체는, 이중 패턴화 또는 다중 패턴화 프로세스를 비롯한, 하나 이상의 포토리소그래피 프로세스를 사용하여 패턴화될 수도 있다. 몇몇 실시형태에서, 이중 패턴화 또는 다중 패턴화 프로세스는 포토리소그래피 및 자기 정렬 프로세스를 결합하여, 예를 들면, 다르게는, 단일의 직접 포토리소그래피 프로세스를 사용하여 획득 가능한 것보다 더 작은 피치를 갖는 패턴이 생성되는 것을 허용한다. 예를 들면, 몇몇 실시형태에서, 희생 층이 기판 위에 형성되고 포토리소그래피 프로세스를 사용하여 패턴화된다. 스페이서는 자기 정렬 프로세스를 사용하여 패턴화된 희생 층과 나란히 형성된다. 그 다음, 희생 층은 제거되고, 그 다음, 남아 있는 스페이서는 GAA 구조체를 패턴화하기 위해 사용될 수도 있다.Embodiments of the present disclosure may relate to gate all around (GAA) transistor structures. The GAA structure may be patterned using any suitable method. For example, the structure may be patterned using one or more photolithographic processes, including dual patterning or multiple patterning processes. In some embodiments, the double patterning or multiple patterning process combines a photolithography and self-alignment process, eg, a pattern having a smaller pitch than would otherwise be obtainable using a single direct photolithography process. allow it to be created. For example, in some embodiments, a sacrificial layer is formed over a substrate and patterned using a photolithography process. The spacers are formed alongside the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers may then be used to pattern the GAA structure.

본 개시의 몇몇 실시형태가 설명된다. 이들 실시형태에서 설명되는 단계 이전에, 동안에, 및/또는 이후에 추가적인 동작이 제공될 수 있다. 설명되는 단계 중 일부는 상이한 실시형태의 경우 대체되거나 또는 제거될 수 있다. 반도체 디바이스 구조체에 추가적인 피쳐가 추가될 수 있다. 이하에서 설명되는 피쳐 중 일부는 상이한 실시형태의 경우 대체되거나 또는 제거될 수 있다. 비록 몇몇 실시형태가 특정한 순서로 수행되는 동작과 함께 논의되지만, 이들 동작은 다른 논리적 순서로 수행될 수도 있다.Several embodiments of the present disclosure are described. Additional actions may be provided before, during, and/or after the steps described in these embodiments. Some of the steps described may be replaced or eliminated for different embodiments. Additional features may be added to the semiconductor device structure. Some of the features described below may be replaced or eliminated for different embodiments. Although some embodiments are discussed with operations performed in a particular order, these operations may be performed in other logical orders.

도 2a 내지 도 2j는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 2a에서 도시되는 바와 같이, 반도체 기판(100)이 수용되거나 또는 제공된다. 몇몇 실시형태에서, 반도체 기판(100)은 반도체 웨이퍼와 같은 벌크 반도체 기판이다. 반도체 기판(100)은 실리콘 또는 게르마늄과 같은 다른 기본 반도체 재료를 포함할 수도 있다. 반도체 기판(100)은 도핑되지 않을 수도 있거나 또는 도핑될 수도 있다(예를 들면, p 타입, n 타입, 또는 이들의 조합). 몇몇 실시형태에서, 반도체 기판(100)은 유전체 층 상에 에피택셜하게(epitaxially) 성장되는 반도체 층을 포함한다. 에피택셜하게 성장된 반도체 층은 실리콘 게르마늄, 실리콘, 게르마늄, 하나 이상의 다른 적절한 재료 또는 이들의 조합으로 만들어질 수도 있다.2A-2J are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 2A , the semiconductor substrate 100 is accommodated or provided. In some embodiments, the semiconductor substrate 100 is a bulk semiconductor substrate, such as a semiconductor wafer. The semiconductor substrate 100 may include other basic semiconductor materials such as silicon or germanium. The semiconductor substrate 100 may be undoped or doped (eg, p-type, n-type, or a combination thereof). In some embodiments, the semiconductor substrate 100 includes a semiconductor layer that is epitaxially grown on a dielectric layer. The epitaxially grown semiconductor layer may be made of silicon germanium, silicon, germanium, one or more other suitable materials, or combinations thereof.

몇몇 다른 실시형태에서, 반도체 기판(100)은 화합물 반도체를 포함한다. 예를 들면, 화합물 반도체는, 화학식 AlX1GaX2InX3AsY1PY2NY3SbY4에 의해 정의되는 조성을 갖는 하나 이상의 III-V족 화합물 반도체를 포함하는데, 여기서 X1, X2, X3, Y1, Y2, Y3 및 Y4는 상대 비율을 나타낸다. 그들의 각각은 제로보다 더 크거나 또는 동일하고, 함께 더해지면 그들은 1과 동일하다. 화합물 반도체는 실리콘 탄화물(silicon carbide), 갈륨 비화물(gallium arsenide), 인듐 비화물(indium arsenide), 인듐 인화물(indium phosphide), 하나 이상의 다른 적절한 화합물 반도체, 또는 이들의 조합을 포함할 수도 있다. II-VI족 화합물 반도체를 포함하는 다른 적절한 기판이 또한 사용될 수도 있다.In some other embodiments, the semiconductor substrate 100 includes a compound semiconductor. For example, compound semiconductors include one or more Group III-V compound semiconductors having a composition defined by the formula Al X1 Ga X2 In X3 As Y1 P Y2 N Y3 Sb Y4 , wherein X1, X2, X3, Y1, Y2, Y3 and Y4 represent relative proportions. each of them is greater than or equal to zero, and added together they are equal to one. The compound semiconductor may include silicon carbide, gallium arsenide, indium arsenide, indium phosphide, one or more other suitable compound semiconductors, or combinations thereof. Other suitable substrates comprising II-VI compound semiconductors may also be used.

몇몇 실시형태에서, 반도체 기판(100)은 반도체 온 인슐레이터(semiconductor-on-insulator; SOI) 기판의 활성 층이다. SOI 기판은 산소 주입에 의한 분리(separation by implantation of oxygen; SIMOX) 프로세스, 웨이퍼 본딩 프로세스, 다른 적용 가능한 방법, 또는 이들의 조합을 사용하여 제조될 수도 있다. 몇몇 다른 실시형태에서, 반도체 기판(100)은 다층 구조체를 포함한다. 예를 들면, 반도체 기판(100)은 벌크 실리콘 층 상에 형성되는 실리콘-게르마늄 층을 포함한다.In some embodiments, the semiconductor substrate 100 is an active layer of a semiconductor-on-insulator (SOI) substrate. The SOI substrate may be fabricated using a separation by implantation of oxygen (SIMIOX) process, a wafer bonding process, other applicable methods, or a combination thereof. In some other embodiments, the semiconductor substrate 100 includes a multilayer structure. For example, the semiconductor substrate 100 includes a silicon-germanium layer formed on a bulk silicon layer.

몇몇 실시형태에 따르면, 도 2a에서 도시되는 바와 같이, 다수의 반도체 층을 갖는 반도체 스택이 반도체 기판(100) 위에 형성된다. 몇몇 실시형태에서, 반도체 스택은 다수의 반도체 층(102a, 102b, 102c 및 102d)을 포함하고, 반도체 스택은 다수의 반도체 층(104a, 104b, 104c 및 104d)을 또한 포함한다. 몇몇 실시형태에서, 반도체 층(102a-102d) 및 반도체 층(104a-104d)은, 도 2a에서 도시되는 바와 같이, 교대로 배치된다.In accordance with some embodiments, as shown in FIG. 2A , a semiconductor stack having multiple semiconductor layers is formed over a semiconductor substrate 100 . In some embodiments, the semiconductor stack includes multiple semiconductor layers 102a, 102b, 102c, and 102d, and the semiconductor stack also includes multiple semiconductor layers 104a, 104b, 104c, and 104d. In some embodiments, semiconductor layers 102a - 102d and semiconductor layers 104a - 104d are alternately disposed, as shown in FIG. 2A .

몇몇 실시형태에서, 반도체 층(102a)은, 나중에 스트레서 구조체(stressor structure)로 부분적으로 또는 완전히 변환될 베이스 층으로서 사용된다. 몇몇 실시형태에서, 반도체 층(104a)은 후속하는 제조 프로세스 동안 반도체 층(102a)이 손상되는 것을 방지하는 보호 층으로서 기능한다. 몇몇 실시형태에서, 반도체 층(104a)은 반도체 층(104b, 104c 또는 104d)보다 더 얇다. 몇몇 실시형태에서, 반도체 층(102b-102d)은 반도체 층(104b-104d)을 분리하기 위한 후속하는 프로세스에서 제거될 희생 층으로서 기능한다. 반도체 층(104b-104d)은 하나 이상의 트랜지스터의 채널 구조체로서 기능할 수도 있다.In some embodiments, the semiconductor layer 102a is used as a base layer that will later be partially or fully converted into a stressor structure. In some embodiments, the semiconductor layer 104a functions as a protective layer that prevents the semiconductor layer 102a from being damaged during a subsequent manufacturing process. In some embodiments, the semiconductor layer 104a is thinner than the semiconductor layer 104b , 104c or 104d . In some embodiments, the semiconductor layers 102b - 102d serve as a sacrificial layer to be removed in a subsequent process to separate the semiconductor layers 104b - 104d. The semiconductor layers 104b - 104d may function as a channel structure for one or more transistors.

도 2a에서 도시되는 바와 같이, 반도체 층(104a)은 두께(T1)을 가지며, 반도체 층(104b)은 두께(T2)를 갖는다. 몇몇 실시형태에서, 두께(T2)는 두께(T1)보다 더 크다. 두께(T1)는 약 2 nm에서부터 약 6 nm까지의 범위 내에 있을 수도 있다. 예를 들면, 두께(T1)는 약 4 nm이다. 두께(T1) 대 두께(T2)의 비율(T1/T2)은 약 2/5에서부터 약 2/3까지의 범위 내에 있을 수도 있다.As shown in FIG. 2A , the semiconductor layer 104a has a thickness T 1 , and the semiconductor layer 104b has a thickness T 2 . In some embodiments, thickness T 2 is greater than thickness T 1 . The thickness T 1 may be in a range from about 2 nm to about 6 nm. For example, the thickness T 1 is about 4 nm. The ratio of thickness T 1 to thickness T 2 , T 1 /T 2 , may range from about 2/5 to about 2/3.

몇몇 실시형태에서, 반도체 층(102a-102d 및 104b-104d)의 각각은 실질적으로 동일한 두께를 갖는다. 몇몇 실시형태에서, 반도체 층(104b-104d)의 각각은 반도체 층(102a-102d)의 각각보다 더 두껍다. 몇몇 다른 실시형태에서, 반도체 층(102a-102d)의 각각은 반도체 층(104b-104d)의 각각보다 더 두껍다.In some embodiments, each of the semiconductor layers 102a - 102d and 104b - 104d have substantially the same thickness. In some embodiments, each of the semiconductor layers 104b - 104d is thicker than each of the semiconductor layers 102a - 102d. In some other embodiments, each of the semiconductor layers 102a - 102d is thicker than each of the semiconductor layers 104b - 104d.

몇몇 실시형태에서, 반도체 층(102a-102d) 및 반도체 층(104a-104d)은 상이한 재료로 만들어진다. 몇몇 실시형태에서, 반도체 층(102a-102d)은 실리콘 게르마늄 또는 게르마늄으로 만들어지거나 또는 이들을 포함하고, 반도체 층(104a-104d)은 실리콘으로 만들어지거나 또는 이들을 포함한다.In some embodiments, semiconductor layers 102a - 102d and semiconductor layers 104a - 104d are made of different materials. In some embodiments, semiconductor layers 102a - 102d are made of or include silicon germanium or germanium, and semiconductor layers 104a - 104d are made of or include silicon.

몇몇 실시형태에서, 반도체 층(102a-102d) 및 반도체 층(104a-104d)은 다수의 에피택셜 성장 동작(epitaxial growth operation)을 사용하여 형성된다. 반도체 층(102a-102d) 및 반도체 층(104a-104d)의 각각은 선택적 에피택셜 성장(selective epitaxial growth; SEG) 프로세스, CVD 프로세스(예를 들면, 기상 에피택시(vapor-phase epitaxy; VPE) 프로세스, 저압 화학적 기상 증착(low-pressure chemical vapor deposition; LPCVD) 프로세스, 및/또는 초고진공 CVD(ultra-high vacuum CVD; UHV-CVD) 프로세스), 분자 빔 에피택시 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 형성될 수도 있다. 몇몇 실시형태에서, 반도체 층(102a-102d) 및 반도체 층(104a-104d)은 동일한 프로세스 챔버에서 인 시튜(in-situ) 성장된다. 몇몇 실시형태에서, 반도체 층(102a-102d)의 성장 및 반도체 층(104a-104d)의 성장은 동일한 프로세스 챔버에서 교대로 그리고 순차적으로 수행되어 반도체 스택의 형성을 완료한다. 몇몇 실시형태에서, 프로세스 챔버의 진공은 반도체 스택의 에피택셜 성장이 달성되기 이전에 파괴되지 않는다.In some embodiments, semiconductor layers 102a - 102d and semiconductor layers 104a - 104d are formed using multiple epitaxial growth operations. Each of the semiconductor layers 102a-102d and 104a-104d is a selective epitaxial growth (SEG) process, a CVD process (eg, vapor-phase epitaxy (VPE) process). , a low-pressure chemical vapor deposition (LPCVD) process, and/or an ultra-high vacuum CVD (UHV-CVD) process), a molecular beam epitaxy process, one or more other applicable processes, Or it may be formed using a combination thereof. In some embodiments, semiconductor layers 102a - 102d and semiconductor layers 104a - 104d are grown in-situ in the same process chamber. In some embodiments, growth of semiconductor layers 102a - 102d and growth of semiconductor layers 104a - 104d are performed alternately and sequentially in the same process chamber to complete formation of the semiconductor stack. In some embodiments, the vacuum in the process chamber is not broken before epitaxial growth of the semiconductor stack is achieved.

그 후, 반도체 스택의 후속하는 패턴화를 보조하기 위해 하드 마스크 엘리먼트가 반도체 스택 위에 형성된다. 몇몇 실시형태에 따르면, 도 2b에서 도시되는 바와 같이, 반도체 스택을 핀 구조체(106A 및 106B)로 패턴화하기 위해, 하나 이상의 에칭 프로세스가 사용된다. 도 2b에서 도시되는 바와 같이, 반도체 스택은 트렌치(112)를 형성하기 위해 부분적으로 제거된다. 핀 구조체(106A 및 106B)의 각각은 반도체 층(102a-102d 및 104a-104d) 및 반도체 핀(101A 및 101B)의 부분을 포함할 수도 있다. 반도체 기판(100)은 또한 핀 구조체(106A 및 106B)를 형성하기 위한 에칭 프로세스 동안 부분적으로 제거될 수도 있다. 남아 있는 반도체 기판(100)의 돌출 부분은 반도체 핀(101A 및 101B)을 형성한다.A hard mask element is then formed over the semiconductor stack to assist in subsequent patterning of the semiconductor stack. According to some embodiments, as shown in FIG. 2B , one or more etching processes are used to pattern the semiconductor stack into fin structures 106A and 106B. As shown in FIG. 2B , the semiconductor stack is partially removed to form a trench 112 . Each of the fin structures 106A and 106B may include semiconductor layers 102a - 102d and 104a - 104d and portions of the semiconductor fins 101A and 101B. The semiconductor substrate 100 may also be partially removed during an etching process to form the fin structures 106A and 106B. The remaining protruding portions of the semiconductor substrate 100 form semiconductor fins 101A and 101B.

하드 마스크 엘리먼트의 각각은 제1 마스크 층(108) 및 제2 마스크 층(110)을 포함할 수도 있다. 제1 마스크 층(108) 및 제2 마스크 층(110)은 상이한 재료로 만들어질 수도 있다. 몇몇 실시형태에서, 제1 마스크 층(108)은 반도체 층(104d)에 대해 우수한 접착성을 갖는 재료로 만들어진다. 제1 마스크 층(108)은, 실리콘 산화물(silicon oxide), 게르마늄 산화물(germanium oxide), 실리콘 게르마늄 산화물(silicon germanium oxide), 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있다. 몇몇 실시형태에서, 제2 마스크 층(110)은 반도체 층(102a-102d 및 104a-104d)에 대해 우수한 에칭 선택도를 갖는 재료로 만들어진다. 제2 층(108)은 실리콘 질화물(silicon nitride), 실리콘 산질화물(silicon oxynitride), 실리콘 탄화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있다.Each of the hard mask elements may include a first mask layer 108 and a second mask layer 110 . The first mask layer 108 and the second mask layer 110 may be made of different materials. In some embodiments, the first mask layer 108 is made of a material that has good adhesion to the semiconductor layer 104d. The first mask layer 108 may be made of silicon oxide, germanium oxide, silicon germanium oxide, one or more other suitable materials, or combinations thereof. In some embodiments, the second mask layer 110 is made of a material that has good etch selectivity to the semiconductor layers 102a-102d and 104a-104d. The second layer 108 may be made of silicon nitride, silicon oxynitride, silicon carbide, one or more other suitable materials, or combinations thereof.

도 1a 내지 도 1b는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 상면도이다. 몇몇 실시형태에서, 도 2b는 도 1a의 라인 2B-2B를 따라 취해지는 구조체의 단면도이다. 몇몇 실시형태에서, 핀 구조체(106A 및 106B)의 연장 방향은, 도 1a에서 도시되는 바와 같이, 서로에게 실질적으로 평행하다.1A-1B are top views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. In some embodiments, FIG. 2B is a cross-sectional view of the structure taken along line 2B-2B of FIG. 1A . In some embodiments, the extending directions of the fin structures 106A and 106B are substantially parallel to each other, as shown in FIG. 1A .

도 2c에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 격리 구조체(114)가 핀 구조체(106A 및 106B)의 하부 부분(lower portion)을 둘러싸도록 형성된다. 몇몇 실시형태에서, 트렌치(112)를 과충전하기(overfill) 위해 하나 이상의 유전체 층이 핀 구조체(106A 및 106B) 및 반도체 기판(100) 위에 퇴적된다. 유전체 층은, 실리콘 산화물, 실리콘 산질화물, 보로실리케이트 글래스(borosilicate glass; BSG), 포스포릭 실리케이트 글래스(phosphoric silicate glass; PSG), 보로포스포실리케이트 글래스(borophosphosilicate glass; BPSG), 플루오르화 실리케이트 글래스(fluorinated silicate glass; FSG), 저유전율(low-k) 재료, 다공성 유전체 재료, 또는 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있다. 유전체 층은 유동 가능 화학적 기상 증착(flowable chemical vapor deposition; FCVD) 프로세스, 원자 층 퇴적(atomic layer deposition; ALD) 프로세스, 화학적 기상 증착(chemical vapor deposition; CVD) 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.As shown in FIG. 2C , an isolation structure 114 is formed to surround a lower portion of the fin structures 106A and 106B, in accordance with some embodiments. In some embodiments, one or more dielectric layers are deposited over the fin structures 106A and 106B and the semiconductor substrate 100 to overfill the trench 112 . The dielectric layer is made of silicon oxide, silicon oxynitride, borosilicate glass (BSG), phosphoric silicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (BSG). It may be made of fluorinated silicate glass (FSG), a low-k material, a porous dielectric material, or one or more other suitable materials, or combinations thereof. The dielectric layer may be formed by a flowable chemical vapor deposition (FCVD) process, an atomic layer deposition (ALD) process, a chemical vapor deposition (CVD) process, one or more other applicable processes, or It may also be deposited using a combination of these.

그 후, 유전체 층을 부분적으로 제거하기 위해 평탄화 프로세스가 사용된다. 하드 마스크 엘리먼트(제1 마스크 층(108) 및 제2 마스크 층(110)을 포함함)는 평탄화 프로세스의 정지 층으로서 또한 기능할 수도 있다. 평탄화 프로세스는 화학적 기계적 연마(chemical mechanical polishing; CMP) 프로세스, 연삭 프로세스, 건식 연마 프로세스, 에칭 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 포함할 수도 있다. 그 후, 유전체 층을 부분적으로 제거하기 위해 하나 이상의 에칭 백 프로세스가 사용된다. 결과적으로, 유전체 층의 나머지 부분은 격리 구조체(114)를 형성한다. 핀 구조체(106A 및 106B)의 상부 부분(upper portion)은, 도 2c에서 도시되는 바와 같이, 격리 구조체(114)의 상부 표면(top surface)으로부터 돌출된다.A planarization process is then used to partially remove the dielectric layer. The hard mask element (including the first mask layer 108 and the second mask layer 110 ) may also function as a stop layer of the planarization process. The planarization process may include a chemical mechanical polishing (CMP) process, a grinding process, a dry polishing process, an etching process, one or more other applicable processes, or combinations thereof. One or more etch back processes are then used to partially remove the dielectric layer. As a result, the remainder of the dielectric layer forms the isolation structure 114 . An upper portion of the fin structures 106A and 106B protrudes from a top surface of the isolation structure 114 , as shown in FIG. 2C .

몇몇 실시형태에서, 격리 구조체(114)를 형성하기 위한 에칭 백 프로세스는, 도 2c에서 도시되는 바와 같이, 격리 구조체(114)의 상부 표면이 반도체 층(102a)의 상부 표면보다 더 높다는 것을 보장하도록 신중하게 제어된다. 따라서, 반도체 층(102)의 측벽은 격리 구조체(114)에 의해 보호된다. 격리 구조체(114) 및 반도체 층(104a)은, 후속하는 프로세스 동안, 반도체 층(102a)이 손상되는 것을 방지하기 위해, 반도체 층(102a)을 함께 보호한다.In some embodiments, the etch back process for forming the isolation structure 114 is performed to ensure that the upper surface of the isolation structure 114 is higher than the upper surface of the semiconductor layer 102a, as shown in FIG. 2C . carefully controlled. Accordingly, the sidewalls of the semiconductor layer 102 are protected by the isolation structure 114 . The isolation structure 114 and the semiconductor layer 104a together protect the semiconductor layer 102a to prevent the semiconductor layer 102a from being damaged during subsequent processes.

그 후, 몇몇 실시형태에 따라, 도 1b에서 도시되는 바와 같이, 더미 게이트 스택(120A 및 120B)이 핀 구조체(106A 및 106B)를 가로질러 연장하도록 형성된다. 몇몇 실시형태에서, 도 2d는, 도 1b의 라인 2D-2D를 따라 취해지는 구조체의 단면도이다. 도 3a 내지 도 3n은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 몇몇 실시형태에서, 도 3a는 도 1b의 라인 3A-3A를 따라 취해지는 구조체의 단면도이다.Thereafter, as shown in FIG. 1B , dummy gate stacks 120A and 120B are formed to extend across the fin structures 106A and 106B, in accordance with some embodiments. In some embodiments, FIG. 2D is a cross-sectional view of the structure taken along line 2D-2D of FIG. 1B . 3A-3N are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. In some embodiments, FIG. 3A is a cross-sectional view of a structure taken along line 3A-3A of FIG. 1B .

도 1b, 도 2d 및 도 3a에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 더미 게이트 스택(120A 및 120B)은 핀 구조체(106A 및 106B)를 부분적으로 덮도록 그리고 그들을 가로질러 연장하도록 형성된다. 몇몇 실시형태에서, 더미 게이트 스택(120A 및 120B)은 핀 구조체(106A 및 106B) 주위를 랩핑한다. 도 2d에서 도시되는 바와 같이, 더미 게이트 스택(120B)은 핀 구조체(106A 및 106B)를 가로질러 연장되고 그들 주위를 랩핑한다.1B , 2D and 3A , dummy gate stacks 120A and 120B are formed to partially cover and extend across fin structures 106A and 106B, in accordance with some embodiments. In some embodiments, dummy gate stacks 120A and 120B wrap around fin structures 106A and 106B. As shown in FIG. 2D , dummy gate stack 120B extends across and wraps around fin structures 106A and 106B.

도 2d 및 도 3a에서 도시되는 바와 같이, 더미 게이트 스택(120A 및 120B)의 각각은 더미 게이트 유전체 층(116) 및 더미 게이트 전극(118)을 포함한다. 더미 게이트 유전체 층(116)은 실리콘 산화물로 만들어질 수도 있거나 또는 실리콘 산화물을 포함할 수도 있다. 더미 게이트 전극(118)은 폴리실리콘으로 만들어질 수도 있거나 또는 이것을 포함할 수도 있다. 몇몇 실시형태에서, 더미 게이트 유전체 재료 층 및 더미 게이트 전극 층은 격리 구조체(114) 및 핀 구조체(106A 및 106B) 위에 순차적으로 퇴적된다. 그 후, 더미 게이트 유전체 재료 층 및 더미 게이트 전극 층은 더미 게이트 스택(120A 및 120B)을 형성하도록 패턴화된다.2D and 3A , each of dummy gate stacks 120A and 120B includes a dummy gate dielectric layer 116 and a dummy gate electrode 118 . The dummy gate dielectric layer 116 may be made of or include silicon oxide. The dummy gate electrode 118 may be made of or include polysilicon. In some embodiments, a dummy gate dielectric material layer and a dummy gate electrode layer are sequentially deposited over the isolation structure 114 and the fin structures 106A and 106B. The dummy gate dielectric material layer and dummy gate electrode layer are then patterned to form dummy gate stacks 120A and 120B.

몇몇 실시형태에서, 마스크 층(122 및 124)을 포함하는 하드 마스크 엘리먼트는 더미 게이트 스택(120A 및 120B)을 형성하기 위한 패턴화 프로세스를 보조하기 위해 사용된다. 하드 마스크 엘리먼트를 에칭 마스크로서 가지면서, 하나 이상의 에칭 프로세스가 더미 게이트 유전체 재료 층 및 더미 게이트 전극 층을 부분적으로 제거하기 위해 사용된다. 결과적으로, 더미 게이트 유전체 재료 층 및 더미 게이트 전극 층의 나머지 부분은 더미 게이트 스택(120A 및 120B)의 더미 게이트 유전체 층(116) 및 더미 게이트 전극(118)을 각각 형성한다.In some embodiments, hard mask elements including mask layers 122 and 124 are used to assist in the patterning process to form dummy gate stacks 120A and 120B. One or more etching processes are used to partially remove the dummy gate dielectric material layer and the dummy gate electrode layer, with the hard mask element as an etch mask. As a result, the remaining portions of the dummy gate dielectric material layer and the dummy gate electrode layer form the dummy gate dielectric layer 116 and the dummy gate electrode 118 of the dummy gate stacks 120A and 120B, respectively.

도 3b에서 도시되는 바와 같이, 그 후, 몇몇 실시형태에 따라, 스페이서 층(126 및 128)이 도 3a에서 도시되는 구조체 위에 퇴적된다. 스페이서 층(126 및 128)은 더미 게이트 스택(120A 및 120B)의 측벽을 따라 연장된다. 스페이서 층(126 및 128)은 상이한 재료로 만들어진다. 스페이서 층(126)은 낮은 유전 상수를 갖는 유전체 재료로 만들어질 수도 있다. 스페이서 층(126)은 실리콘 탄화물, 실리콘 산탄화물(silicon oxycarbide), 실리콘 산화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 스페이서 층(128)은 후속하는 프로세스 동안 게이트 스택에 더 많은 보호를 제공할 수 있는 유전체 재료로 만들어질 수도 있다. 스페이서 층(128)은 스페이서 층(126)의 유전 상수보다 더 큰 유전 상수를 가질 수도 있다. 스페이서 층(128)은 실리콘 질화물, 실리콘 산질화물, 탄소 함유 실리콘 질화물, 탄소 함유 실리콘 산질화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있다. 스페이서 층(126 및 128)은 CVD 프로세스, ALD 프로세스, 물리적 기상 증착(physical vapor deposition; PVD) 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 순차적으로 퇴적될 수도 있다.As shown in FIG. 3B , spacer layers 126 and 128 are then deposited over the structure shown in FIG. 3A , in accordance with some embodiments. Spacer layers 126 and 128 extend along sidewalls of dummy gate stacks 120A and 120B. Spacer layers 126 and 128 are made of different materials. The spacer layer 126 may be made of a dielectric material having a low dielectric constant. The spacer layer 126 may be made of or include silicon carbide, silicon oxycarbide, silicon oxide, one or more other suitable materials, or combinations thereof. The spacer layer 128 may be made of a dielectric material that can provide more protection to the gate stack during subsequent processes. Spacer layer 128 may have a dielectric constant greater than that of spacer layer 126 . The spacer layer 128 may be made of silicon nitride, silicon oxynitride, carbon-containing silicon nitride, carbon-containing silicon oxynitride, one or more other suitable materials, or combinations thereof. The spacer layers 126 and 128 may be deposited sequentially using a CVD process, an ALD process, a physical vapor deposition (PVD) process, one or more other applicable processes, or a combination thereof.

도 3c에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 스페이서 층(126 및 128)은 부분적으로 제거된다. 스페이서 층(126 및 128)을 부분적으로 제거하기 위해 하나 이상의 이방성 에칭 프로세스(anisotropic etching process)가 사용될 수도 있다. 결과적으로, 스페이서 층(126 및 128)의 나머지 부분은 스페이서 엘리먼트(126' 및 128')를 각각 형성한다. 스페이서 엘리먼트(126' 및 128')는, 도 3c에서 도시되는 바와 같이, 더미 게이트 스택(120A 및 120B)의 측벽을 따라 연장된다.As shown in FIG. 3C , spacer layers 126 and 128 are partially removed, in accordance with some embodiments. One or more anisotropic etching processes may be used to partially remove the spacer layers 126 and 128 . Consequently, the remaining portions of spacer layers 126 and 128 form spacer elements 126' and 128', respectively. Spacer elements 126 ′ and 128 ′ extend along sidewalls of dummy gate stacks 120A and 120B, as shown in FIG. 3C .

그 후, 핀 구조체(106A, 106B)는 부분적으로 제거되어, 나중에 형성될 에피택셜 구조체(예컨대 소스/드레인 구조체)를 포함하기 위해 사용되는 리세스(130)를 형성한다. 도 3c에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 핀 구조체(106A)는 부분적으로 제거되어 리세스(130)를 형성한다. 리세스(130)를 형성하기 위해 하나 이상의 에칭 프로세스가 사용될 수도 있다. 몇몇 실시형태에서, 리세스(130)를 형성하기 위해 건식 에칭 프로세스(dry etching process)가 사용된다. 대안적으로, 리세스(130)를 형성하기 위해 습식 에칭 프로세스(wet etching process)가 사용될 수도 있다. 몇몇 실시형태에서, 리세스(130)의 각각은 핀 구조체(106A)를 관통한다. 몇몇 실시형태에서, 리세스(130)는, 도 3c에서 도시되는 바와 같이, 반도체 핀(101A) 안으로 추가로 연장된다.Thereafter, the fin structures 106A and 106B are partially removed to form a recess 130 used to contain an epitaxial structure (eg, a source/drain structure) to be formed later. As shown in FIG. 3C , the fin structure 106A is partially removed to form the recess 130 , in accordance with some embodiments. One or more etching processes may be used to form the recess 130 . In some embodiments, a dry etching process is used to form the recess 130 . Alternatively, a wet etching process may be used to form the recess 130 . In some embodiments, each of the recesses 130 passes through the fin structures 106A. In some embodiments, the recess 130 further extends into the semiconductor fin 101A, as shown in FIG. 3C .

몇몇 실시형태에서, 리세스(130)의 각각은 경사진 측벽을 갖는다. 리세스(130)의 상부 부분은 리세스(130)의 하부 부분보다 더 크다(또는 더 넓다). 이들 경우에, 리세스(예컨대, 130)의 프로파일에 기인하여, 상부 반도체 층(예컨대 반도체 층(104b))은 하부 반도체 층(예컨대 반도체 층(104d))보다 더 짧다.In some embodiments, each of the recesses 130 has a beveled sidewall. The upper portion of the recess 130 is larger (or wider) than the lower portion of the recess 130 . In these cases, due to the profile of the recess (eg, 130 ), the upper semiconductor layer (eg, semiconductor layer 104b ) is shorter than the lower semiconductor layer (eg, semiconductor layer 104d ).

그러나, 본 개시의 실시형태는 많은 변형예를 갖는다. 몇몇 다른 실시형태에서, 리세스(130)는 실질적으로 수직 측벽을 갖는다. 이들 경우에, 리세스(130)의 프로파일에 기인하여, 상부 반도체 층(예컨대 반도체 층(104d))은 하부 반도체 층(예컨대, 반도체 층(104b))만큼 실질적으로 넓다.However, embodiments of the present disclosure have many modifications. In some other embodiments, the recess 130 has substantially vertical sidewalls. In these cases, due to the profile of the recess 130 , the upper semiconductor layer (eg, semiconductor layer 104d) is substantially as wide as the lower semiconductor layer (eg, semiconductor layer 104b).

도 3d에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 반도체 층(102a-102d)이 횡방향에서(laterally) 에칭된다. 결과적으로, 반도체 층(102a-102d)의 에지는 반도체 층(104a-104d)의 에지로부터 후퇴된다. 도 3d에서 도시되는 바와 같이, 리세스(132)는 반도체 층(102a-102d)의 횡방향 에칭에 기인하여 형성된다. 리세스(132)는 나중에 형성될 내부 스페이서를 포함하기 위해 사용될 수도 있다. 반도체 층(102a-102d)은 습식 에칭 프로세스, 건식 에칭 프로세스, 또는 이들의 조합을 사용하여 횡방향에서 에칭될 수도 있다.As shown in FIG. 3D , the semiconductor layers 102a - 102d are etched laterally, in accordance with some embodiments. As a result, the edges of the semiconductor layers 102a-102d are retreated from the edges of the semiconductor layers 104a-104d. As shown in FIG. 3D , recess 132 is formed due to lateral etching of semiconductor layers 102a - 102d . The recess 132 may be used to contain an inner spacer to be formed later. The semiconductor layers 102a - 102d may be etched in the transverse direction using a wet etch process, a dry etch process, or a combination thereof.

반도체 층(102a-102d)의 횡방향 에칭 동안, 반도체 층(104a-104d)도 또한 약간 에칭될 수도 있다. 결과적으로, 반도체 층(104a-104d)의 에지 부분은 부분적으로 에칭되고 따라서, 도 3d에서 도시되는 바와 같이, 축소되어 에지 엘리먼트(105a-105d)가 된다. 도 3d에서 도시되는 바와 같이, 반도체 층(104a-104d)의 에지 엘리먼트(105a-105d)의 각각은 대응하는 내부 부분보다 더 얇다. 몇몇 실시형태에서, 에지 엘리먼트(105a)의 각각은 에지 엘리먼트(105b-105d)와 같은 다른 상부 에지 엘리먼트보다 더 얇다.During the lateral etching of the semiconductor layers 102a-102d, the semiconductor layers 104a-104d may also be slightly etched. As a result, the edge portions of the semiconductor layers 104a - 104d are partially etched and thus contracted to become edge elements 105a - 105d, as shown in FIG. 3D . As shown in FIG. 3D , each of the edge elements 105a - 105d of the semiconductor layer 104a - 104d is thinner than a corresponding inner portion. In some embodiments, each of the edge elements 105a is thinner than other upper edge elements, such as edge elements 105b - 105d.

도 3e에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 스페이서 층(134)이 도 3d에서 도시되는 구조체 위에 퇴적된다. 스페이서 층(134)은 더미 게이트 스택(120A 및 120B)을 덮고 리세스(132)를 과충전한다. 스페이서 층(134)은 탄소 함유 실리콘 질화물(SiCN), 탄소 함유 실리콘 산질화물(SiOCN), 탄소 함유 실리콘 산화물(SiOC), 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 스페이서 층(134)은 CVD 프로세스, ALD 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.As shown in FIG. 3E , a spacer layer 134 is deposited over the structure shown in FIG. 3D , in accordance with some embodiments. Spacer layer 134 covers dummy gate stacks 120A and 120B and overfills recess 132 . The spacer layer 134 may be made of or include carbon-containing silicon nitride (SiCN), carbon-containing silicon oxynitride (SiOCN), carbon-containing silicon oxide (SiOC), one or more other suitable materials, or combinations thereof. may be The spacer layer 134 may be deposited using a CVD process, an ALD process, one or more other applicable processes, or a combination thereof.

도 3f에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 스페이서 층(134)을 부분적으로 제거하기 위해 에칭 프로세스가 사용된다. 스페이서 층(134)의 나머지 부분은, 도 3f에서 도시되는 바와 같이, 내부 스페이서(136)를 형성한다. 에칭 프로세스는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 이들의 조합을 포함할 수도 있다.As shown in FIG. 3F , an etching process is used to partially remove the spacer layer 134 , in accordance with some embodiments. The remainder of the spacer layer 134 forms inner spacers 136 , as shown in FIG. 3F . The etching process may include a dry etching process, a wet etching process, or a combination thereof.

내부 스페이서(136)는, 리세스(132)에 의해 원래 노출되는 반도체 층(102a-102d)의 에지를 덮는다. 몇몇 실시형태에서, 내부 스페이서(136)를 형성하기 위한 에칭 프로세스 이후, 스페이서 층(134)에 의해 원래 덮히는 반도체 핀(101A)의 부분은, 도 3f에서 도시되는 바와 같이, 리세스(130)에 의해 노출된다. 내부 스페이서(136)는 후속하는 게이트 교체 프로세스 동안 (예를 들면, 소스/드레인 구조체로서 기능하는) 후속하여 형성되는 에피택셜 구조체가 손상되는 것을 방지하기 위해 사용될 수도 있다. 내부 스페이서(136)는 후속하여 형성되는 소스/드레인 구조체와 게이트 스택 사이의 기생 용량을 감소시키기 위해 또한 사용될 수도 있다.The inner spacers 136 cover the edges of the semiconductor layers 102a - 102d originally exposed by the recesses 132 . In some embodiments, after the etching process to form the inner spacers 136 , the portion of the semiconductor fin 101A that is originally covered by the spacer layer 134 is recessed 130 , as shown in FIG. 3F . exposed by The inner spacers 136 may be used to prevent damage to subsequently formed epitaxial structures (eg, functioning as source/drain structures) during a subsequent gate replacement process. The inner spacers 136 may also be used to reduce parasitic capacitance between the subsequently formed source/drain structures and the gate stack.

도 3g에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 에피택셜 구조체(138)가 더미 게이트 스택(120A 및 120B) 옆에 형성된다. 몇몇 실시형태에서, 에피택셜 구조체(138)는, 도 3g에서 도시되는 바와 같이, 리세스(130)를 충전한다. 몇몇 다른 실시형태에서, 에피택셜 구조체(138)는 리세스(130)를 과충전한다. 이들 경우에, 에피택셜 구조체(138)의 상부 표면은 더미 게이트 유전체 층(116)의 상부 표면보다 더 높을 수도 있다. 몇몇 다른 실시형태에서, 에피택셜 구조체(138)는 리세스(130)를 부분적으로 충전한다.As shown in FIG. 3G , an epitaxial structure 138 is formed next to the dummy gate stacks 120A and 120B, in accordance with some embodiments. In some embodiments, epitaxial structure 138 fills recess 130 , as shown in FIG. 3G . In some other embodiments, the epitaxial structure 138 overfills the recess 130 . In these cases, the top surface of the epitaxial structure 138 may be higher than the top surface of the dummy gate dielectric layer 116 . In some other embodiments, epitaxial structure 138 partially fills recess 130 .

몇몇 실시형태에서, 에피택셜 구조체(138)는 반도체 층(104a-104d)에 연결된다. 반도체 층(104a-104d)의 각각은 에피택셜 구조체(138) 중 두 개 사이에 끼인다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 소스/드레인 구조체로서 기능한다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 n 타입 영역이다. 에피택셜 구조체(138)는 에피택셜하게 성장된 실리콘, 에피택셜하게 성장된 실리콘 탄화물(SiC), 에피택셜하게 성장된 실리콘 인화물(silicon phosphide)(SiP), 또는 다른 적절한 에피택셜하게 성장된 반도체 재료를 포함할 수도 있다.In some embodiments, epitaxial structure 138 is coupled to semiconductor layers 104a - 104d. Each of the semiconductor layers 104a - 104d is sandwiched between two of the epitaxial structures 138 . In some embodiments, epitaxial structure 138 functions as a source/drain structure. In some embodiments, epitaxial structure 138 is an n-type region. The epitaxial structure 138 may be formed of epitaxially grown silicon, epitaxially grown silicon carbide (SiC), epitaxially grown silicon phosphide (SiP), or other suitable epitaxially grown semiconductor material. may include.

몇몇 실시형태에서, 에피택셜 구조체(138)는 선택적 에피택셜 성장(selective epitaxial growth; SEG) 프로세스, CVD 프로세스(예를 들면, 기상 에피택시(VPE) 프로세스, 저압 화학적 기상 증착(LPCVD) 프로세스, 및/또는 초고진공 CVD(UHV-CVD) 프로세스), 분자 빔 에피택시 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 형성된다.In some embodiments, the epitaxial structure 138 is formed from a selective epitaxial growth (SEG) process, a CVD process (eg, a vapor phase epitaxy (VPE) process, a low pressure chemical vapor deposition (LPCVD) process, and and/or an ultra-high vacuum CVD (UHV-CVD) process), a molecular beam epitaxy process, one or more other applicable processes, or a combination thereof.

몇몇 실시형태에서, 에피택셜 구조체(138)는 하나 이상의 적절한 도펀트로 도핑된다. 예를 들면, 에피택셜 구조체(138)는 인광체(phosphor)(P), 안티몬(Sb), 또는 다른 적절한 도펀트로 도핑되는 Si 소스/드레인 피쳐이다.In some embodiments, epitaxial structure 138 is doped with one or more suitable dopants. For example, epitaxial structure 138 is a Si source/drain feature doped with phosphor (P), antimony (Sb), or other suitable dopant.

몇몇 실시형태에서, 에피택셜 구조체(138)는 그들의 에피택셜 성장 동안 인 시튜 도핑된다. 몇몇 다른 실시형태에서, 에피택셜 구조체(138)는 에피택셜 구조체(138)의 성장 동안 도핑되지 않는다. 대신, 에피택셜 구조체(138)의 형성 이후, 에피택셜 구조체(138)는 후속하는 프로세스에서 도핑된다. 몇몇 실시형태에서, 도핑은 이온 주입 프로세스, 플라즈마 침지 이온 주입 프로세스, 가스 및/또는 고체 소스 확산 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하는 것에 의해 달성된다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 도펀트를 활성화시키기 위해 하나 이상의 어닐링 프로세스에 추가로 노출된다. 예를 들면, 급속 열 어닐링 프로세스(rapid thermal annealing process)가 사용된다.In some embodiments, epitaxial structures 138 are doped in situ during their epitaxial growth. In some other embodiments, epitaxial structure 138 is undoped during growth of epitaxial structure 138 . Instead, after formation of the epitaxial structure 138 , the epitaxial structure 138 is doped in a subsequent process. In some embodiments, doping is accomplished by using an ion implantation process, a plasma immersion ion implantation process, a gas and/or solid source diffusion process, one or more other applicable processes, or combinations thereof. In some embodiments, epitaxial structure 138 is further exposed to one or more annealing processes to activate dopants. For example, a rapid thermal annealing process is used.

도 3h에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 에피택셜 구조체(138)를 덮도록 그리고 더미 게이트 스택(120A 및 120B)을 둘러싸도록 콘택 에칭 정지 층(139) 및 유전체 층(140)이 형성된다. 콘택 에칭 정지 층(139)은 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 알루미늄 산화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 유전체 층(140)은 실리콘 산화물, 실리콘 산질화물, 보로실리케이트 글래스(BSG), 포스포릭 실리케이트 유리(PSG), 보로포스포실리케이트 글래스(BPSG), 플루오르화 실리케이트 글래스(FSG), 저유전율 재료, 다공성 유전체 재료, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다.As shown in FIG. 3H , a contact etch stop layer 139 and a dielectric layer 140 are formed to cover the epitaxial structure 138 and to surround the dummy gate stacks 120A and 120B, in accordance with some embodiments. do. The contact etch stop layer 139 may be made of or include silicon nitride, silicon oxynitride, silicon carbide, aluminum oxide, one or more other suitable materials, or combinations thereof. Dielectric layer 140 is formed of silicon oxide, silicon oxynitride, borosilicate glass (BSG), phosphoric silicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), a low-k material, porous It may be made of or include a dielectric material, one or more other suitable materials, or combinations thereof.

몇몇 실시형태에서, 에칭 정지 재료 층 및 유전체 재료 층은 도 3g에서 도시되는 구조체 위에 순차적으로 퇴적된다. 에칭 정지 재료 층은 CVD 프로세스, ALD 프로세스, PVD 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다. 유전체 재료 층은 FCVD 프로세스, CVD 프로세스, ALD 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.In some embodiments, an etch stop material layer and a dielectric material layer are sequentially deposited over the structure shown in FIG. 3G . The etch stop material layer may be deposited using a CVD process, an ALD process, a PVD process, one or more other applicable processes, or a combination thereof. The dielectric material layer may be deposited using a FCVD process, a CVD process, an ALD process, one or more other applicable processes, or a combination thereof.

그 후, 에칭 정지 재료 층 및 유전체 재료 층을 부분적으로 제거하기 위해 평탄화 프로세스가 사용된다. 결과적으로, 에칭 정지 재료 층 및 유전체 재료 층의 나머지 부분은 콘택 에칭 정지 층(139) 및 유전체 층(140)을 각각 형성한다. 평탄화 프로세스는 CMP 프로세스, 연삭 프로세스, 에칭 프로세스, 건식 연마 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 포함할 수도 있다. 몇몇 실시형태에서, 마스크 층(122 및 124)은 평탄화 프로세스 동안 제거된다. 몇몇 실시형태에서, 평탄화 프로세스 이후, 콘택 에칭 정지 층(139), 유전체 층(140), 및 더미 게이트 전극(118)의 상부 표면은 실질적으로 동일 평면 상에 있다.A planarization process is then used to partially remove the etch stop material layer and the dielectric material layer. As a result, the remaining portions of the etch stop material layer and the dielectric material layer form the contact etch stop layer 139 and the dielectric layer 140 , respectively. The planarization process may include a CMP process, a grinding process, an etching process, a dry polishing process, one or more other applicable processes, or a combination thereof. In some embodiments, mask layers 122 and 124 are removed during the planarization process. In some embodiments, after the planarization process, the top surfaces of the contact etch stop layer 139 , the dielectric layer 140 , and the dummy gate electrode 118 are substantially coplanar.

도 2e 및 도 3i에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 더미 게이트 스택(120A 및 120B)을 제거하여 트렌치(142)를 형성하기 위해 하나 이상의 에칭 프로세스가 사용된다. 도 2e에서 도시되는 바와 같이, 트렌치(142)는 더미 게이트 스택(120A 및 120B)에 의해 원래 덮히는 반도체 층(102b-102d 및 104b-104d)을 노출시킨다. 몇몇 실시형태에서, 반도체 층(102a 및 104a)은, 도 2e에서 도시되는 바와 같이, 트렌치(142)에 의해 노출되지 않고 반도체 층(102b) 및 격리 구조체(114)에 의해 덮힌 상태로 유지된다.2E and 3I , one or more etching processes are used to remove the dummy gate stacks 120A and 120B to form the trench 142 , in accordance with some embodiments. As shown in FIG. 2E , trench 142 exposes semiconductor layers 102b - 102d and 104b - 104d that are originally covered by dummy gate stacks 120A and 120B. In some embodiments, semiconductor layers 102a and 104a remain covered by semiconductor layer 102b and isolation structure 114 without being exposed by trench 142 , as shown in FIG. 2E .

도 2f 및 도 3j에서 도시되는 바와 같이, 몇몇 실시형태에 따라, (희생 층으로서 기능하는) 반도체 층(102b-102d)은 제거되어 리세스(144)를 형성한다. 몇몇 실시형태에서, 반도체 층(102b-102d)을 제거하기 위해 에칭 프로세스가 사용된다. 높은 에칭 선택도에 기인하여, 반도체 층(104a-104d)은 실질적으로 에칭되지 않는다(또는 약간 에칭된다). 반도체 층(104a-104d)의 나머지 부분은, 도 2f 및 도 3j에서 도시되는 바와 같이, 핀 구조체(106A 및 106B)의 다수의 반도체 나노구조체(semiconductor nanostructure)(104a'-104d')를 형성한다. 반도체 나노구조체(104a'-104d')는 반도체 층(104a-104d)의 나머지 부분에 의해 구성된다.As shown in FIGS. 2F and 3J , semiconductor layers 102b - 102d (functioning as a sacrificial layer) are removed to form recesses 144 , in accordance with some embodiments. In some embodiments, an etching process is used to remove the semiconductor layers 102b - 102d. Due to the high etch selectivity, the semiconductor layers 104a - 104d are substantially unetched (or slightly etched). The remainder of the semiconductor layers 104a - 104d form a number of semiconductor nanostructures 104a' - 104d' of the fin structures 106A and 106B, as shown in FIGS. 2F and 3J . . The semiconductor nanostructures 104a'-104d' are constituted by the remainder of the semiconductor layers 104a-104d.

몇몇 실시형태에서, 반도체 층(102b-102d)을 제거하기 위해 사용되는 에천트도 또한 반도체 나노구조체(104a'-104d')를 형성하는 반도체 층(104a-104d)을 약간 제거한다. 결과적으로, 획득된 반도체 나노구조체(104a'-104d')는 반도체 층(102b-102d)의 제거 이후에 더 얇아지게 된다. 몇몇 실시형태에서, 반도체 나노구조체(104b'-104d')의 각각은, 에지 부분(105b-105d)이 다른 엘리먼트에 의해 둘러싸이고 따라서 에천트에 의해 도달되어 에칭되는 것이 방지되기 때문에, 에지 부분(105b-105d)보다 더 얇다.In some embodiments, the etchant used to remove the semiconductor layers 102b - 102d also slightly removes the semiconductor layers 104a - 104d forming the semiconductor nanostructures 104a ′ - 104d ′. As a result, the obtained semiconductor nanostructures 104a'-104d' become thinner after removal of the semiconductor layers 102b-102d. In some embodiments, each of the semiconductor nanostructures 104b' - 104d' has an edge portion ( 105b-105d).

(희생 층으로서 기능하는) 반도체 층(102b-102d)의 제거 이후, 리세스(144)가 형성된다. 리세스(144)는 트렌치(142)에 연결되고 반도체 나노구조체(104b'-104d')의 각각을 둘러싼다. 도 3j에서 도시되는 바와 같이, 심지어 반도체 나노구조체(104a'-104d') 사이의 리세스(144)가 형성되더라도, 반도체 나노구조체(104b'-104d')는 에피택셜 구조체(138)에 의해 유지되는 상태를 유지한다. 따라서, (희생 층으로서 기능하는) 반도체 층(102b-102d)의 제거 이후, 분리된 반도체 나노구조체(104b'-104d')가 떨어지는 것이 방지된다.After removal of the semiconductor layers 102b - 102d (which serve as sacrificial layers), a recess 144 is formed. Recess 144 connects to trench 142 and surrounds each of semiconductor nanostructures 104b'-104d'. As shown in FIG. 3J , even though recesses 144 are formed between semiconductor nanostructures 104a ′ - 104d ′, semiconductor nanostructures 104b ′ - 104d ′ are retained by epitaxial structures 138 . maintain a state of being Thus, after removal of the semiconductor layer 102b-102d (which functions as a sacrificial layer), the detached semiconductor nanostructures 104b'-104d' are prevented from falling off.

(희생 층으로서 기능하는) 반도체 층(102b-102d)의 제거 동안, 내부 스페이서(136)는 에피택셜 구조체(138)가 에칭되거나 또는 손상되는 것을 방지한다. 반도체 디바이스 구조체의 품질 및 신뢰성이 개선된다.During removal of semiconductor layers 102b - 102d (which serve as sacrificial layers), inner spacers 136 prevent epitaxial structures 138 from being etched or damaged. The quality and reliability of semiconductor device structures are improved.

(희생 층으로서 기능하는) 반도체 층(102b-102d)의 제거 동안, (베이스 층으로서 기능하는) 반도체 층(102a)은, 도 2f 및 도 3j에서 도시되는 바와 같이, (보호 층으로서 기능하는) 나노구조체(104a') 및 격리 구조체(114)에 의해 덮힌다. 결과적으로, 반도체 층(102a)은 에칭되거나 또는 손상되는 것이 방지된다.During the removal of the semiconductor layer 102b - 102d (functioning as a sacrificial layer), the semiconductor layer 102a (functioning as a base layer), as shown in FIGS. 2F and 3J , (functioning as a protective layer) covered by nanostructures 104a ′ and isolation structures 114 . As a result, the semiconductor layer 102a is prevented from being etched or damaged.

도 2g 및 도 3k에서 도시되는 바와 같이, 몇몇 실시형태에 따라, (보호 층으로서 기능하는) 나노구조체(104a')는 부분적으로 제거되어 (베이스 층으로서 기능하는) 반도체 층(102a)을 노출시킨다. 나노구조체(104a')는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 이들의 조합을 사용하여 제거될 수도 있다. 반도체 나노구조체(104b'-104d')는 또한 나노구조체(104a')의 제거 동안 약간 에칭될 수도 있다.As shown in FIGS. 2G and 3K , the nanostructures 104a ′ (functioning as a protective layer) are partially removed to expose the semiconductor layer 102a (functioning as a base layer), in accordance with some embodiments. . The nanostructures 104a ′ may be removed using a dry etch process, a wet etch process, or a combination thereof. The semiconductor nanostructures 104b'-104d' may also be slightly etched during removal of the nanostructures 104a'.

나노구조체(104a')의 부분적인 제거 이후, 에지 엘리먼트(105a)의 각각은 내부 스페이서(136) 중 두 개 사이에서 여전히 유지된다. 몇몇 실시형태에서, 나머지 에지 엘리먼트(105a)의 각각은, 도 3k에서 도시되는 바와 같이, 반도체 나노구조체(104b'-104d')의 각각보다 더 얇다. 몇몇 실시형태에서, 나머지 에지 엘리먼트(105a)의 각각은, 도 3k에서 도시되는 바와 같이, 반도체 나노구조체(104b'-104d')의 각각의 길이보다 더 짧은 길이를 갖는다.After partial removal of the nanostructures 104a ′, each of the edge elements 105a is still held between two of the inner spacers 136 . In some embodiments, each of the remaining edge elements 105a is thinner than each of the semiconductor nanostructures 104b′-104d′, as shown in FIG. 3K . In some embodiments, each of the remaining edge elements 105a has a length that is shorter than a respective length of the semiconductor nanostructures 104b′-104d′, as shown in FIG. 3K .

상기에서 언급되는 바와 같이, 도 2a에서 예시되는 바와 같은 몇몇 실시형태에서, (나중에 반도체 층(102a)에 대한 보호 층으로서 기능하는) 반도체 층(104a)의 두께(T1) 대 (나중에 나노구조체(104b')가 되는) 반도체 층(104b)의 두께(T2)의 비율(T1/T2)은 약 2/5에서부터 약 2/3까지의 범위 내에 있을 수도 있다. 몇몇 경우에, 두께 비율(T1/T2)이 약 2/5보다 더 낮으면, 두께(T1)를 갖는 반도체 층(104a)은 너무 얇을 수도 있다. 결과적으로, 도 2f 및 도 3j에서 예시되는 바와 같은 (희생 층으로서 기능하는) 반도체 층(102b-102d)의 제거 동안, 반도체 층(104a)은, 그 아래의 반도체 층(102a)이 에천트에 노출되도록 완전히 제거되거나 또는 파괴될 수도 있다. 반도체 층(102a)은 손상될 수도 있다. 몇몇 다른 경우에, 두께 비율(T1/T2)이 약 2/3보다 더 크면, 두께(T1)를 갖는 반도체 층(104a)은 너무 두꺼울 수도 있다. 결과적으로, 도 2g 및 도 3k에서 예시되는 바와 같은 반도체 층(102a)을 노출시키기 위한 (보호 층으로서 기능하는) 나노구조체(104a')의 부분적인 제거 동안, 반도체 나노구조체(104b'-104d')는, 두꺼운 나노구조체(104a')의 부분적인 제거를 위해 더 과중한 에칭 프로세스가 사용될 수도 있기 때문에, 너무 많이 소비될 수도 있다. 반도체 디바이스 구조체의 성능은 부정적인 영향을 받을 수도 있을 것이다. As noted above, in some embodiments as illustrated in FIG. 2A , the thickness T 1 of the semiconductor layer 104a (which later serves as a protective layer for the semiconductor layer 102a ) versus the (later nanostructures) The ratio (T 1 /T 2 ) of the thickness T 2 of the semiconductor layer 104b (becoming 104b′) may range from about 2/5 to about 2/3. In some cases, if the thickness ratio (T 1 /T 2 ) is lower than about 2/5, the semiconductor layer 104a with the thickness (T 1 ) may be too thin. As a result, during the removal of the semiconductor layers 102b - 102d (which serve as sacrificial layers) as illustrated in FIGS. 2F and 3J , the semiconductor layer 104a is such that the underlying semiconductor layer 102a is etched away. It may be completely removed or destroyed to expose it. The semiconductor layer 102a may be damaged. In some other cases, if the thickness ratio (T 1 /T 2 ) is greater than about 2/3, the semiconductor layer 104a having the thickness (T 1 ) may be too thick. Consequently, during the partial removal of the nanostructures 104a' (functioning as a protective layer) to expose the semiconductor layer 102a as illustrated in FIGS. 2G and 3K, the semiconductor nanostructures 104b'-104d' ) may be consumed too much, as a more intensive etching process may be used for partial removal of the thick nanostructures 104a'. The performance of the semiconductor device structure may be adversely affected.

도 2h 및 도 3l에서 도시되는 바와 같이, 몇몇 실시형태에 따르면, (베이스 층으로서 기능하는) 반도체 층(102a)은 스트레서 구조체(146)로 변환된다. 몇몇 실시형태에서, 반도체 층(102a)의 전체가 스트레서 구조체(146)로 변환된다. 도 2h에서 도시되는 바와 같이, 스트레서 구조체(146)는 격리 구조체(114)에 의해 둘러싸여 있다. 몇몇 실시형태에서, 내부 스페이서(136) 중 하나(예컨대, 최저부(bottommost) 내부 스페이서(136))는, 도 3l에서 도시되는 바와 같이, 근처의 스트레서 구조체(146) 및 에피택셜 구조체(138)와 직접적으로 접촉한다.As shown in FIGS. 2H and 3L , the semiconductor layer 102a (which serves as a base layer) is converted to a stressor structure 146 , according to some embodiments. In some embodiments, the entirety of semiconductor layer 102a is converted to stressor structure 146 . As shown in FIG. 2H , the stressor structure 146 is surrounded by an isolation structure 114 . In some embodiments, one of the inner spacers 136 (eg, the bottommost inner spacer 136 ) has a nearby stressor structure 146 and an epitaxial structure 138 , as shown in FIG. 3L . in direct contact with

몇몇 실시형태에서, 스트레서 구조체(146)는 반도체 층(102a)을 산화시키는 것에 의해 형성된다. 스트레서 구조체(146)를 형성하기 위해 열 동작이 사용될 수도 있다. 열 동작은 약 400 도씨에서부터 약 850 도씨까지의 범위 내에 있는 온도에서 수행될 수도 있다. 열 동작 시간은 약 0.5 시간에서부터 약 4 시간까지의 범위 내에 있을 수도 있다. 열 동작은 산소 함유 분위기 하에서 수행될 수도 있다. 산소 함유 분위기는, 산소 가스 또는 산소 가스 및 수소 가스를 포함하는 가스 혼합물을 포함할 수도 있다.In some embodiments, the stressor structure 146 is formed by oxidizing the semiconductor layer 102a. Thermal operation may be used to form the stressor structure 146 . The thermal operation may be performed at a temperature ranging from about 400 degrees Celsius to about 850 degrees Celsius. The thermal operating time may range from about 0.5 hours to about 4 hours. The thermal operation may be performed under an oxygen containing atmosphere. The oxygen-containing atmosphere may include oxygen gas or a gas mixture including oxygen gas and hydrogen gas.

열 동작 이후, 반도체 층(102a)은 "팽창"하여 반도체 산화물 재료로 만들어지는 스트레서 구조체(146)로 변환될 수도 있다. 스트레서 구조체(146)는 산소 및 실리콘 이외의 반도체 재료(예컨대, 게르마늄)를 포함할 수도 있다. 스트레서 구조체(146)는, 실리콘 게르마늄 산화물, 게르마늄 산화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시형태에서, 스트레서 구조체(146)의 각각은, 스트레서 구조체(146)로 아직 변환되지 않은 원래의 반도체 층(102a)보다 더 두껍게 된다. 몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은 반도체 층(102a)의 것보다 더 높은 레벨에 있다. 몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은 에지 엘리먼트(105a)의 상부 표면만큼 실질적으로 높다.After a thermal operation, the semiconductor layer 102a may "expand" and transform into a stressor structure 146 made of a semiconductor oxide material. The stressor structure 146 may include a semiconductor material other than oxygen and silicon (eg, germanium). The stressor structure 146 may be made of or include silicon germanium oxide, germanium oxide, one or more other suitable materials, or combinations thereof. In some embodiments, each of the stressor structures 146 is made thicker than the original semiconductor layer 102a that has not yet been converted to the stressor structures 146 . In some embodiments, the top surface of the stressor structure 146 is at a higher level than that of the semiconductor layer 102a. In some embodiments, the top surface of the stressor structure 146 is substantially as high as the top surface of the edge element 105a.

반도체 층(102a)으로부터 스트레서 구조체(146)로의 변환 동안 발생되는 팽창에 기인하여, 에피택셜 구조체(138)가 약간 밀려 날 수도 있도록, 스트레서 구조체(146)로부터 에피택셜 구조체(138)로 압축 응력이 인가될 수도 있다. 응답에서, 에피택셜 구조체(138)로부터, 채널 구조체로서 기능하는 반도체 나노구조체(104b'-104d')로 인장 응력(tensile stress)이 인가될 수도 있다. 결과적으로, 전자 캐리어 이동성이 증가될 수도 있다. 따라서, 반도체 디바이스 구조체의 성능이 크게 개선된다. 몇몇 실시형태에서, 반도체 나노구조체(104b'-104d')는 n 타입 MOSFET의 채널 구조체로서 기능한다.The compressive stress from the stressor structure 146 to the epitaxial structure 138 is such that due to the expansion generated during the transition from the semiconductor layer 102a to the stressor structure 146 , the epitaxial structure 138 may be slightly pushed. may be authorized. In response, a tensile stress may be applied from the epitaxial structure 138 to the semiconductor nanostructures 104b ′ - 104d ′ that function as channel structures. As a result, electron carrier mobility may be increased. Accordingly, the performance of the semiconductor device structure is greatly improved. In some embodiments, the semiconductor nanostructures 104b'-104d' function as a channel structure of an n-type MOSFET.

스트레서 구조체(146)를 형성하기 위한 열 동작 동안, 반도체 나노구조체(104b'-104d')의 표면 부분은 또한, 몇몇 실시형태에 따라 도 2h 및 도 3L에서 도시되는 바와 같이, 산화되어 산화물 엘리먼트(148)를 형성할 수도 있다. 산화물 엘리먼트(148)는 스트레서 구조체(146)의 것과는 상이한 재료로 만들어질 수도 있다. 산화물 엘리먼트(148)는 실리콘 산화물, 게르마늄 산화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다.During the thermal operation to form the stressor structure 146 , surface portions of the semiconductor nanostructures 104b′-104d′ are also oxidized to oxide elements, as shown in FIGS. 2H and 3L in accordance with some embodiments. 148) may be formed. Oxide element 148 may be made of a different material than that of stressor structure 146 . Oxide element 148 may be made of or include silicon oxide, germanium oxide, one or more other suitable materials, or combinations thereof.

도 2i 및 도 3m에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 산화물 엘리먼트(148)가 제거된다. 산화물 엘리먼트(148)의 제거 이후, 반도체 나노구조체(104b'-104d')는 더 얇아지게 될 수도 있다. 산화물 엘리먼트(148)는 에칭 프로세스를 사용하여 제거될 수도 있다. 에칭 프로세스는 또한 스트레서 구조체(146)를 부분적으로 제거할 수도 있다. 결과적으로, 몇몇 실시형태에 따라 도 3m에서 도시되는 바와 같이, 스트레서 구조체(146)의 상부 표면은 에지 엘리먼트(105a)의 상부 표면과 저부 표면(bottom surface) 사이에 있는 높이 레벨에 있다. 몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은, 도 2i에서 도시되는 바와 같이, 격리 구조체(114)의 상부 표면보다 더 낮은 높이 레벨에 있다. 스트레서 구조체(146)는 약 10 nm에서부터 약 40 nm까지의 범위 내에 있는 두께를 가질 수도 있다.As shown in FIGS. 2I and 3M , oxide element 148 is removed, in accordance with some embodiments. After removal of oxide element 148 , semiconductor nanostructures 104b′ - 104d′ may become thinner. Oxide element 148 may be removed using an etching process. The etching process may also partially remove the stressor structure 146 . Consequently, as shown in FIG. 3M in accordance with some embodiments, the top surface of the stressor structure 146 is at a height level that is between the top and bottom surfaces of the edge element 105a. In some embodiments, the top surface of the stressor structure 146 is at a lower elevation level than the top surface of the isolation structure 114 , as shown in FIG. 2I . The stressor structure 146 may have a thickness ranging from about 10 nm to about 40 nm.

도 2j 및 도 3n에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 금속 게이트 스택(156A 및 156B)이 트렌치(142)를 충전하도록 형성된다. 금속 게이트 스택(156A 및 156B)은 반도체 나노구조체(104b'-104d')의 각각의 주위를 랩핑하기 위해 리세스(144) 안으로 연장된다. 몇몇 실시형태에서, 도 2j 및 도 3n에서 도시되는 바와 같이, 스트레서 구조체(146)의 각각은 대응하는 반도체 핀(101A 또는 101B), 격리 구조체(114), 및 대응하는 금속 게이트 스택(156A 또는 156B)과 직접적으로 접촉한다.2J and 3N , metal gate stacks 156A and 156B are formed to fill trench 142 , in accordance with some embodiments. Metal gate stacks 156A and 156B extend into recess 144 to wrap around each of semiconductor nanostructures 104b'-104d'. In some embodiments, as shown in FIGS. 2J and 3N , each of the stressor structures 146 includes a corresponding semiconductor fin 101A or 101B, an isolation structure 114 , and a corresponding metal gate stack 156A or 156B. ) in direct contact with

금속 게이트 스택(156A 및 156B)의 각각은 다수의 금속 게이트 스택 층을 포함한다. 금속 게이트 스택(156A 및 156B)의 각각은 게이트 유전체 층(150), 일 함수 층(work function layer)(152), 및 전도성 충전물(conductive filling)(154)을 포함할 수도 있다. 몇몇 실시형태에서, 금속 게이트 스택(156A 및 156B)의 형성은 트렌치(142)를 충전하기 위한 유전체 층(140) 위의 다수의 금속 게이트 스택 층의 퇴적을 수반한다. 금속 게이트 스택 층은 반도체 나노구조체(104b'-104d')의 각각의 주위를 랩핑하도록 리세스(144) 안으로 연장된다.Each of the metal gate stacks 156A and 156B includes a plurality of metal gate stack layers. Each of the metal gate stacks 156A and 156B may include a gate dielectric layer 150 , a work function layer 152 , and a conductive filling 154 . In some embodiments, the formation of the metal gate stacks 156A and 156B involves the deposition of multiple metal gate stack layers over the dielectric layer 140 to fill the trenches 142 . A metal gate stack layer extends into the recess 144 to wrap around each of the semiconductor nanostructures 104b'-104d'.

몇몇 실시형태에서, 게이트 유전체 층(150)은 높은 유전 상수(high-K)를 갖는 유전체 재료로 만들어지거나 또는 그것을 포함한다. 게이트 유전체 층(150)은, 하프늄 산화물(hafnium oxide), 지르코늄 산화물(zirconium oxide), 알루미늄 산화물(aluminum oxide), 하프늄 이산화물-알루미늄 합금(hafnium dioxide-alumina alloy), 하프늄 실리콘 산화물(hafnium silicon oxide), 하프늄 실리콘 산질화물(hafnium silicon oxynitride), 하프늄 탄탈룸 산화물(hafnium tantalum oxide), 하프늄 티타늄 산화물(hafnium titanium oxide), 하프늄 지르코늄 산화물(hafnium zirconium oxide), 하나 이상의 다른 적절한 고유전율 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 게이트 유전체 층(150)은 ALD 프로세스, CVD 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.In some embodiments, the gate dielectric layer 150 is made of or includes a dielectric material having a high-K dielectric constant. The gate dielectric layer 150 is made of hafnium oxide, zirconium oxide, aluminum oxide, hafnium dioxide-alumina alloy, hafnium silicon oxide. , hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, one or more other suitable high-k materials, or combinations thereof may be made of or may include them. The gate dielectric layer 150 may be deposited using an ALD process, a CVD process, one or more other applicable processes, or a combination thereof.

몇몇 실시형태에서, 게이트 유전체 층(150)의 형성 이전에, 반도체 나노구조체(104b'-104d')의 표면 상에 계면 층(interfacial layer)이 형성된다. 계면 층은 매우 얇고, 예를 들면, 실리콘 산화물 또는 게르마늄 산화물로 만들어진다. 몇몇 실시형태에서, 계면 층은 반도체 나노구조체(104b'-104d')의 표면 상에 산화제를 도포하는 것에 의해 형성된다. 예를 들면, 과산화수소 함유 액체는 계면 층을 형성하기 위해 반도체 나노구조체(104b'-104d')의 표면 상에 도포되거나 또는 제공될 수도 있다.In some embodiments, prior to the formation of the gate dielectric layer 150 , an interfacial layer is formed on the surface of the semiconductor nanostructures 104b ′ - 104d ′. The interfacial layer is very thin and is made of, for example, silicon oxide or germanium oxide. In some embodiments, the interfacial layer is formed by applying an oxidizing agent on the surface of the semiconductor nanostructures 104b'-104d'. For example, a hydrogen peroxide containing liquid may be applied or provided on the surface of the semiconductor nanostructures 104b'-104d' to form an interfacial layer.

일 함수 층(152)은 트랜지스터에 소망되는 일 함수를 제공하여 개선된 임계 전압을 포함하는 디바이스 성능을 향상시키기 위해 사용될 수도 있다. 몇몇 실시형태에서, 일 함수 층(152)은 NMOS 디바이스를 형성하기 위해 사용된다. 일 함수 층(152)은 n 타입 일 함수 층이다. n 타입 일 함수 층은, 약 4.5 eV와 동일한 또는 더 작은, 디바이스에 적절한 일 함수 값을 제공할 수 있다.The work function layer 152 may be used to provide a desired work function to the transistor to improve device performance including improved threshold voltage. In some embodiments, the work function layer 152 is used to form an NMOS device. The work function layer 152 is an n-type work function layer. An n-type work function layer may provide a work function value suitable for the device, equal to or less than about 4.5 eV.

n 타입 일 함수 층은 금속, 금속 탄화물, 금속 질화물, 또는 이들의 조합을 포함할 수도 있다. 예를 들면, n 타입 일 함수 층은 티타늄 질화물, 탄탈룸, 탄탈룸 질화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합을 포함한다. 몇몇 실시형태에서, n 타입 일 함수는 알루미늄 함유 층이다. 알루미늄 함유 층은 TiAlC, TiAlO, TiAlN, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다.The n-type work function layer may include a metal, a metal carbide, a metal nitride, or a combination thereof. For example, the n-type work function layer comprises titanium nitride, tantalum, tantalum nitride, one or more other suitable materials, or combinations thereof. In some embodiments, the n-type work function is an aluminum-containing layer. The aluminum-containing layer may be made of or include TiAlC, TiAlO, TiAlN, one or more other suitable materials, or combinations thereof.

일 함수 층(152)은 또한, 하프늄, 지르코늄, 티타늄, 탄탈룸, 알루미늄, 금속 탄화물(예를 들면, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 알루미늄 탄화물), 알루미나이드, 루테늄, 팔라듐, 백금, 코발트, 니켈, 전도성 금속 산화물, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 일 함수 층(152)의 두께 및/또는 조성은 일 함수 레벨을 조정하도록 미세 조정될 수도 있다.The work function layer 152 may also include hafnium, zirconium, titanium, tantalum, aluminum, metal carbide (eg, hafnium carbide, zirconium carbide, titanium carbide, aluminum carbide), aluminide, ruthenium, palladium, platinum, cobalt, It may be made of or include nickel, a conductive metal oxide, or a combination thereof. The thickness and/or composition of the work function layer 152 may be fine-tuned to adjust the work function level.

일 함수 층(152)은, ALD 프로세스, CVD 프로세스, PVD 프로세스, 전기 도금 프로세스, 무전해 도금 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 게이트 유전체 층(150) 위에 퇴적될 수도 있다.The work function layer 152 may be deposited over the gate dielectric layer 150 using an ALD process, a CVD process, a PVD process, an electroplating process, an electroless plating process, one or more other applicable processes, or a combination thereof. there is.

몇몇 실시형태에서, 게이트 유전체 층(150)을 후속하여 형성되는 일 함수 층(152)과 인터페이싱시키기 위해 일 함수 층(152) 이전에 배리어 층이 형성된다. 배리어 층은 또한, 게이트 유전체 층(150)과 후속하여 형성되는 일 함수 층(152) 사이의 확산을 방지하기 위해 사용될 수도 있다. 배리어 층은 금속 함유 재료로 만들어질 수도 있거나 또는 이것을 포함할 수도 있다. 금속 함유 재료는 티타늄 질화물, 탄탈룸 질화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합을 포함할 수도 있다. 배리어 층은, ALD 프로세스, CVD 프로세스, PVD 프로세스, 전기 도금 프로세스, 무전해 도금 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.In some embodiments, a barrier layer is formed prior to the work function layer 152 to interface the gate dielectric layer 150 with a subsequently formed work function layer 152 . A barrier layer may also be used to prevent diffusion between the gate dielectric layer 150 and the subsequently formed work function layer 152 . The barrier layer may be made of or include a metal containing material. The metal-containing material may include titanium nitride, tantalum nitride, one or more other suitable materials, or combinations thereof. The barrier layer may be deposited using an ALD process, a CVD process, a PVD process, an electroplating process, an electroless plating process, one or more other applicable processes, or a combination thereof.

몇몇 실시형태에서, 전도성 충전물(154)은 금속 재료로 만들어지거나 또는 이것을 포함한다. 금속 재료는 텅스텐, 알루미늄, 구리, 코발트, 하나 이상의 다른 적절한 재료, 또는 이들의 조합을 포함할 수도 있다. 전도성 충전물(154)을 형성하기 위해 사용되는 전도성 층은, CVD 프로세스, ALD 프로세스, PVD 프로세스, 전기 도금 프로세스, 무전해 도금 프로세스, 스핀 코팅 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 일 함수 층(152) 위에 퇴적될 수도 있다.In some embodiments, the conductive filler 154 is made of or includes a metallic material. The metallic material may include tungsten, aluminum, copper, cobalt, one or more other suitable materials, or combinations thereof. The conductive layer used to form the conductive fill 154 may be formed using a CVD process, an ALD process, a PVD process, an electroplating process, an electroless plating process, a spin coating process, one or more other applicable processes, or combinations thereof. Thus, it may be deposited on the work function layer 152 .

몇몇 실시형태에서, 전도성 충전물(154)을 형성하기 위해 사용되는 전도성 층의 형성 이전에 일 함수 층(152) 위에 차단용 층(blocking layer)이 형성된다. 차단용 층은, 후속하여 형성되는 전도성 층이 일 함수 층(152) 안으로 확산 또는 침투하는 것을 방지하기 위해 사용될 수도 있다. 차단용 층은, 탄탈룸 질화물, 티타늄 질화물, 하나 이상의 다른 적절한 재료, 또는 이들의 조합으로 만들어질 수도 있거나 또는 이들을 포함할 수도 있다. 차단용 층은, ALD 프로세스, PVD 프로세스, 전기 도금 프로세스, 무전해 도금 프로세스, 하나 이상의 다른 적용 가능한 프로세스, 또는 이들의 조합을 사용하여 퇴적될 수도 있다.In some embodiments, a blocking layer is formed over the work function layer 152 prior to formation of the conductive layer used to form the conductive fill 154 . A barrier layer may be used to prevent a subsequently formed conductive layer from diffusing or penetrating into the work function layer 152 . The barrier layer may be made of or include tantalum nitride, titanium nitride, one or more other suitable materials, or combinations thereof. The barrier layer may be deposited using an ALD process, a PVD process, an electroplating process, an electroless plating process, one or more other applicable processes, or a combination thereof.

그 후, 몇몇 실시형태에 따라, 트렌치(142) 외부의 금속 게이트 스택 층의 부분을 제거하기 위해 평탄화 프로세스가 수행된다. 결과적으로, 금속 게이트 스택 층의 나머지 부분은, 도 2j 및 도 3n에서 도시되는 바와 같이, 금속 게이트 스택(156A 및 156B)을 형성한다. 몇몇 실시형태에서, 리세스(144)가 작고 게이트 유전체 층(150) 및 일 함수 층(152)과 같은 다른 엘리먼트로 채워져 있기 때문에, 전도성 충전물(154)은 리세스(144) 안으로 연장되지 않는다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 몇몇 다른 실시형태에서, 전도성 충전물(154)의 일부는, 특히 더 큰 공간을 가질 수도 있는 하부 리세스(144)의 경우, 리세스(144) 안으로 연장된다.A planarization process is then performed to remove portions of the metal gate stack layer outside the trench 142 , in accordance with some embodiments. As a result, the remainder of the metal gate stack layer forms metal gate stacks 156A and 156B, as shown in FIGS. 2J and 3N . In some embodiments, the conductive fill 154 does not extend into the recess 144 because the recess 144 is small and filled with other elements, such as the gate dielectric layer 150 and the work function layer 152 . However, embodiments of the present disclosure are not limited thereto. In some other embodiments, a portion of the conductive fill 154 extends into the recess 144 , particularly in the case of the lower recess 144 , which may have a larger space.

몇몇 실시형태에서, 에피택셜 구조체(138)는 스트레서 구조체(146)의 상부 표면을 넘어 연장된다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 스트레서 구조체(146)와 금속 게이트 스택(156A 또는 156B) 사이의 계면을 넘어 연장된다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 스트레서 구조체(146)의 저부 표면을 초과하여 추가로 연장된다. 따라서, 스트레서 구조체(146)는 에피택셜 구조체(138)에 대해 압축 응력을 더 쉽게 인가할 수도 있다. 따라서, 에피택셜 구조체(138)는, 채널 구조체로서 기능할 수도 있는 반도체 나노구조체(104b'-104d')에 인장 응력을 상응하게 인가할 수도 있다. 반도체 디바이스 구조체의 성능은 크게 개선된다.In some embodiments, epitaxial structure 138 extends beyond a top surface of stressor structure 146 . In some embodiments, epitaxial structure 138 extends beyond the interface between stressor structure 146 and metal gate stack 156A or 156B. In some embodiments, the epitaxial structure 138 further extends beyond the bottom surface of the stressor structure 146 . Accordingly, the stressor structure 146 may more readily apply a compressive stress to the epitaxial structure 138 . Accordingly, the epitaxial structure 138 may correspondingly apply a tensile stress to the semiconductor nanostructures 104b'-104d', which may function as channel structures. The performance of the semiconductor device structure is greatly improved.

몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은, 도 3n에서 도시되는 바와 같이, 에지 엘리먼트(105a)의 상부 표면과 저부 표면 사이에 있다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다.In some embodiments, the top surface of the stressor structure 146 is between the top surface and the bottom surface of the edge element 105a , as shown in FIG. 3N . However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure.

도 4a 및 도 4b는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 4a에서 도시되는 바와 같이, 도 3l에서 도시되는 것과 유사한 구조체가 형성되거나 또는 수용된다. 몇몇 실시형태에서, 스트레서 구조체(146)는, 도 4a에서 도시되는 바와 같이, 에지 엘리먼트(105a)의 상부 표면을 초과하도록 확장된다. 그 후, 도 3m 및 도 3n에서 예시되는 것과 유사한 프로세스가 수행된다. 결과적으로, 몇몇 실시형태에 따라, 도 4b에서 도시되는 바와 같은 반도체 디바이스 구조체가 형성된다. 도 4b에서 도시되는 바와 같이, 스트레서 구조체(146)의 상부 표면은 에지 엘리먼트(105a)의 상부 표면보다 더 높다.4A and 4B are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 4A , a structure similar to that shown in FIG. 3L is formed or accommodated. In some embodiments, the stressor structure 146 extends beyond the top surface of the edge element 105a, as shown in FIG. 4A . A process similar to that illustrated in FIGS. 3M and 3N is then performed. As a result, a semiconductor device structure as shown in FIG. 4B is formed, in accordance with some embodiments. As shown in FIG. 4B , the top surface of the stressor structure 146 is higher than the top surface of the edge element 105a.

본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 도 5는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은 에지 엘리먼트(105a)의 상부 표면과 실질적으로 수평이다.Many variations and/or modifications may be made to embodiments of the present disclosure. 5 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. In some embodiments, the top surface of the stressor structure 146 is substantially level with the top surface of the edge element 105a.

본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 도 6은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 스트레서 구조체(146)의 상부 표면은 에지 엘리먼트(105a)의 저부 표면보다 더 낮다.Many variations and/or modifications may be made to embodiments of the present disclosure. 6 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. In some embodiments, the top surface of the stressor structure 146 is lower than the bottom surface of the edge element 105a.

몇몇 실시형태에서, 에지 엘리먼트(105a)의 각각은 내부 스페이서(136) 중 두 개 사이에 끼인다. 몇몇 실시형태에서, 에지 엘리먼트(105a)의 각각은, 도 3n에서 도시되는 바와 같이, 채널 구조체에 연결되지 않는다. 에지 엘리먼트(105a)의 각각은 에지 엘리먼트(105b, 105c 또는 105d)보다 더 얇다.In some embodiments, each of the edge elements 105a is sandwiched between two of the inner spacers 136 . In some embodiments, each of the edge elements 105a is not connected to a channel structure, as shown in FIG. 3N . Each of the edge elements 105a is thinner than the edge elements 105b, 105c or 105d.

그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 몇몇 다른 실시형태에서, 반도체 디바이스 구조체는 에지 엘리먼트(105a)를 포함하지 않는다.However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure. In some other embodiments, the semiconductor device structure does not include an edge element 105a.

도 7a 내지 도 7c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 7a에서 도시되는 바와 같이, 도 3c에서 도시되는 구조체와 동일한 또는 유사한 구조체가 형성되거나 또는 수용된다.7A-7C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 7A , a structure identical or similar to the structure shown in FIG. 3C is formed or accommodated.

도 7b에서 도시되는 바와 같이, 몇몇 실시형태에 따라, 리세스(132)를 형성하기 위해, 도 3d에서 예시되는 프로세스와 동일한 또는 유사한 프로세스가 수행되어 반도체 층(102a-102d)을 횡방향에서 에칭한다. 상기에서 언급되는 바와 같이, 반도체 층(102a-102d)의 횡방향 에칭 동안, 반도체 층(104a)의 에지 부분도 또한 에칭될 수도 있다. 몇몇 실시형태에서, 반도체 층(104a)은 매우 얇다. 결과적으로, 반도체 층(102a-102d)의 횡방향 에칭 동안 반도체 층(104)의 에지 부분은 완전히 제거(또는 소비)된다. 반도체 층(104)의 어떠한 에지 부분도 반도체 층(102a 및 102b)의 측벽으로부터 돌출되어 에지 엘리먼트를 형성하지는 않는다. 도 7b 및 도 3d에서 도시되는 실시형태를 비교하면, 차이점 중 하나는, 도 7b에서 예시되는 실시형태가 에지 엘리먼트(105a)를 갖지 않는다는 것이다.As shown in FIG. 7B , a process the same or similar to the process illustrated in FIG. 3D is performed to etch the semiconductor layers 102a - 102d in the transverse direction to form the recess 132 , in accordance with some embodiments. do. As noted above, during the lateral etching of semiconductor layers 102a - 102d, edge portions of semiconductor layer 104a may also be etched. In some embodiments, the semiconductor layer 104a is very thin. As a result, the edge portion of the semiconductor layer 104 is completely removed (or consumed) during the lateral etching of the semiconductor layers 102a - 102d . No edge portion of the semiconductor layer 104 protrudes from the sidewalls of the semiconductor layers 102a and 102b to form an edge element. Comparing the embodiment shown in FIGS. 7B and 3D , one of the differences is that the embodiment illustrated in FIG. 7B does not have an edge element 105a .

그 후, 도 3e 내지 도 3n에서 예시되는 것과 동일한 또는 유사한 프로세스가 수행된다. 결과적으로, 몇몇 실시형태에 따라, 도 7c에서 도시되는 구조체가 형성된다.Thereafter, the same or similar process as illustrated in FIGS. 3E to 3N is performed. As a result, the structure shown in FIG. 7C is formed, in accordance with some embodiments.

몇몇 실시형태에서, 도 3k 및 도 3l에서 도시되는 바와 같이, 반도체 층(102a)의 전체가 산화되어 스트레서 구조체(146)로 변환된다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 몇몇 다른 실시형태에서, 반도체 층(102a)은 부분적으로 산화되고 및/또는 스트레서 구조체(146)로 변환된다. 몇몇 실시형태에서, 반도체 층(102a)의 상부 부분은 산화되고 및/또는 스트레서 구조체(146)로 변환되고, 한편, 반도체 층(102a)의 하부 부분은 산화 및/또는 변환되지 않고 남아 있다.In some embodiments, as shown in FIGS. 3K and 3L , the entirety of semiconductor layer 102a is oxidized and converted to stressor structure 146 . However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure. In some other embodiments, the semiconductor layer 102a is partially oxidized and/or converted to the stressor structure 146 . In some embodiments, an upper portion of the semiconductor layer 102a is oxidized and/or converted to the stressor structure 146 , while a lower portion of the semiconductor layer 102a remains unoxidized and/or converted.

도 8a 내지 도 8c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 8a에서 도시되는 바와 같이, 도 3k에서 도시되는 구조체와 동일한 또는 유사한 구조체가 형성되거나 또는 수용된다.8A-8C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 8A , a structure identical or similar to the structure shown in FIG. 3K is formed or accommodated.

그 후, 도 3l에서 예시되는 프로세스와 유사하게, 몇몇 실시형태에 따라 도 8b에서 도시되는 바와 같이, 반도체 층(102a)은 산화된다. 몇몇 실시형태에서, 반도체 층(102a)의 상부 부분은 산화되고 및/또는 스트레서 구조체(146)로 변환된다. 반도체 층(102a)의 하부 부분은 산화되지 않고 및/또는 스트레서 구조체(146)로 변환되지 않는다. 열 동작 온도, 열 동작 시간, 및/또는 열 동작 분위기를 조정하는 것에 의해 반도체 층(102a)의 부분적인 산화는 미세 조정될 수도 있다. 산화되지 않고 남아 있는 반도체 층(102a)의 하부 부분은, 도 8b에서 도시되는 바와 같이, 반도체 엘리먼트(102a')를 형성한다. 몇몇 실시형태에서, 반도체 엘리먼트(102a')의 각각은, 그 상의 대응하는 스트레서 구조체(146)와 직접적으로 접촉한다. 몇몇 실시형태에서, 반도체 엘리먼트(102a') 중 하나와 스트레서 구조체(146) 중 하나 사이의 계면은 실질적으로 평면이다. 반도체 엘리먼트(102a')는 약 2 nm에서부터 약 20 nm까지의 범위 내에 있는 두께를 가질 수도 있다. 그 상의 스트레서 구조체(146)는 약 5 nm에서부터 약 20 nm까지의 범위 내에 있는 두께를 가질 수도 있다.Thereafter, similar to the process illustrated in FIG. 3L , the semiconductor layer 102a is oxidized, as shown in FIG. 8B in accordance with some embodiments. In some embodiments, an upper portion of semiconductor layer 102a is oxidized and/or converted to stressor structure 146 . The lower portion of the semiconductor layer 102a is not oxidized and/or is not converted to the stressor structure 146 . The partial oxidation of the semiconductor layer 102a may be fine-tuned by adjusting the thermal operating temperature, thermal operating time, and/or thermal operating atmosphere. The lower portion of the semiconductor layer 102a that remains unoxidized forms the semiconductor element 102a', as shown in FIG. 8B . In some embodiments, each of the semiconductor elements 102a ′ is in direct contact with a corresponding stressor structure 146 thereon. In some embodiments, the interface between one of the semiconductor elements 102a ′ and one of the stressor structures 146 is substantially planar. The semiconductor element 102a ′ may have a thickness in a range from about 2 nm to about 20 nm. The stressor structure 146 thereon may have a thickness in the range from about 5 nm to about 20 nm.

그 후, 도 3m 및 도 3n에서 예시되는 것과 동일한 또는 유사한 프로세스가 수행된다. 결과적으로, 몇몇 실시형태에 따라, 도 8c에서 도시되는 구조체가 형성된다.Thereafter, the same or similar process as illustrated in FIGS. 3M and 3N is performed. As a result, the structure shown in FIG. 8C is formed, in accordance with some embodiments.

도 9는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 도 9는, 다른 방향을 따라 절단되는 경우의 도 8c에서 도시되는 구조체의 다른 단면도이다. 몇몇 실시형태에서, 도 9는 더 긴 게이트 연장 방향을 따라 취해지는 단면도이다. 몇몇 실시형태에서, 반도체 엘리먼트(102a')의 각각은, 도 9에서 도시되는 바와 같이, 대응하는 스트레서 구조체(146)와 반도체 기판(100) 사이에 배치된다. 몇몇 실시형태에서, 반도체 엘리먼트(102a')의 각각은, 그 상의 대응하는 스트레서 구조체(146), 격리 구조체(114), 및/또는 그 아래의 대응하는 반도체 핀(101A 또는 101B)과 직접적으로 접촉한다.9 is a cross-sectional view of a semiconductor device structure in accordance with some embodiments. In some embodiments, FIG. 9 is another cross-sectional view of the structure shown in FIG. 8C when cut along a different direction. In some embodiments, FIG. 9 is a cross-sectional view taken along a longer gate extension direction. In some embodiments, each of the semiconductor elements 102a ′ is disposed between a corresponding stressor structure 146 and the semiconductor substrate 100 , as shown in FIG. 9 . In some embodiments, each of the semiconductor elements 102a ′ is in direct contact with a corresponding stressor structure 146 thereon, an isolation structure 114 , and/or a corresponding semiconductor fin 101A or 101B below it. do.

몇몇 실시형태에서, 스트레서 구조체(146)의 각각과 그 아래의 대응하는 반도체 엘리먼트(102a') 사이의 계면은, 도 8c 및 도 9에서 도시되는 바와 같이, 실질적으로 평면이다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 몇몇 다른 실시형태에서, 스트레서 구조체(146)의 각각과 그 아래의 대응하는 반도체 엘리먼트(102a') 사이의 계면은 만곡되거나 또는 만곡된 부분을 갖는다.In some embodiments, the interface between each of the stressor structures 146 and the corresponding semiconductor element 102a ′ beneath it is substantially planar, as shown in FIGS. 8C and 9 . However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure. In some other embodiments, the interface between each of the stressor structures 146 and the corresponding semiconductor element 102a' below it is curved or has a curved portion.

도 10은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 스트레서 구조체(146) 및 그 아래의 반도체 엘리먼트(102a")의 프로파일은 열 동작을 미세 조정하는 것에 의해 수정될 수도 있다. 예를 들면, 열 동작 온도, 열 동작 시간, 및/또는 열 동작 분위기는 스트레서 구조체(146)의 프로파일을 수정하도록 조정될 수도 있다.10 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. The profile of the stressor structure 146 and the semiconductor element 102a ″ below it may be modified by fine-tuning the thermal operation. For example, the thermal operating temperature, thermal operating time, and/or thermal operating atmosphere may be It may be adjusted to modify the profile of the stressor structure 146 .

몇몇 실시형태에서, 스트레서 구조체(146)의 저부는, 도 10에서 도시되는 바와 같이, 만곡되어 있다. 몇몇 실시형태에서, 스트레서 구조체(146)와 그 아래의 반도체 엘리먼트(102a") 사이의 계면은 반도체 엘리먼트(102a")를 향하는 볼록한 표면이다.In some embodiments, the bottom of the stressor structure 146 is curved, as shown in FIG. 10 . In some embodiments, the interface between the stressor structure 146 and the semiconductor element 102a ″ below it is a convex surface facing the semiconductor element 102a ″.

도 11은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 도 11은, 다른 방향을 따라 절단되는 경우의 도 10에서 도시되는 구조체의 다른 단면도이다. 몇몇 실시형태에서, 도 11은 더 긴 게이트 연장 방향을 따라 취해지는 단면도이다. 몇몇 실시형태에서, 반도체 엘리먼트(102a")의 각각은, 도 11에서 도시되는 바와 같이, 대응하는 스트레서 구조체(146)와 반도체 기판(100) 사이에 배치된다. 몇몇 실시형태에서, 반도체 엘리먼트(102a")의 각각은, 그 상의 대응하는 스트레서 구조체(146), 격리 구조체(114), 및/또는 그 아래의 대응하는 반도체 핀(101A 또는 101B)과 직접적으로 접촉한다.11 is a cross-sectional view of a semiconductor device structure in accordance with some embodiments. In some embodiments, FIG. 11 is another cross-sectional view of the structure shown in FIG. 10 when cut along a different direction. In some embodiments, FIG. 11 is a cross-sectional view taken along a longer direction of gate extension. In some embodiments, each of the semiconductor elements 102a″ is disposed between a corresponding stressor structure 146 and the semiconductor substrate 100, as shown in FIG. 11. In some embodiments, the semiconductor element 102a ") directly contact the corresponding stressor structure 146 thereon, the isolation structure 114, and/or the corresponding semiconductor fin 101A or 101B below it.

도 12는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 도 13은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 도 13은 다른 방향을 따라 절단되는 경우의 도 12에서 도시되는 구조체의 다른 단면도이다. 예를 들면, 도 12는 반도체 디바이스 구조체의 "핀 컷(fin cut)" 단면도를 도시하고, 도 13은 반도체 디바이스 구조체의 "게이트 컷(gate cut)" 단면도를 도시한다. 몇몇 실시형태에서, 다수의 반도체 엘리먼트(102a''')가 존재한다. 반도체 엘리먼트(102a''')의 각각은 대응하는 스트레서 구조체(146) 및 대응하는 내부 스페이서(136)에 의해 둘러싸일 수도 있다. 몇몇 실시형태에서, 스트레서 구조체(146)는, 도 12 및 도 13에서 도시되는 바와 같이, 그 아래의 대응하는 반도체 핀(101A 또는 101B)과 직접적으로 접촉한다. 몇몇 실시형태에서, 스트레서 구조체(146) 및 반도체 엘리먼트(102a''')의 저부 표면은, 도 12 및 도 13에서 도시되는 바와 같이, 실질적으로 서로 수평이다.12 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. 13 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. In some embodiments, FIG. 13 is another cross-sectional view of the structure shown in FIG. 12 when cut along a different direction. For example, FIG. 12 shows a “fin cut” cross-sectional view of a semiconductor device structure, and FIG. 13 shows a “gate cut” cross-sectional view of a semiconductor device structure. In some embodiments, there are multiple semiconductor elements 102a″″. Each of the semiconductor elements 102a ″″ may be surrounded by a corresponding stressor structure 146 and a corresponding inner spacer 136 . In some embodiments, the stressor structure 146 is in direct contact with the corresponding semiconductor fin 101A or 101B underneath it, as shown in FIGS. 12 and 13 . In some embodiments, the stressor structure 146 and the bottom surface of the semiconductor element 102a″″ are substantially horizontal to each other, as shown in FIGS. 12 and 13 .

몇몇 실시형태에서, 에피택셜 구조체(138)의 저부는 반도체 핀(101A 또는 101B)과 같은 반도체 재료 상에 직접적으로 형성된다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 몇몇 다른 실시형태에서, 반도체 재료로 만들어지지 않는 다른 엘리먼트가 에피택셜 구조체(138)의 저부와 반도체 기판(100) 사이에 형성된다.In some embodiments, the bottom of epitaxial structure 138 is formed directly on a semiconductor material, such as semiconductor fin 101A or 101B. However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure. In some other embodiments, another element not made of a semiconductor material is formed between the bottom of the epitaxial structure 138 and the semiconductor substrate 100 .

도 14a 내지 도 14c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 14a에서 도시되는 바와 같이, 도 3d에서 도시되는 구조체와 동일한 또는 유사한 구조체가 형성되거나 또는 수용된다. 그 후, 몇몇 실시형태에 따라, 도 14a에서 도시되는 바와 같이, 스페이서 층(134')이 퇴적된다. 스페이서 층(134')의 재료 및 형성 방법은, 도 3e에서 예시되는 바와 같이, 스페이서 층(134)과 동일할 수도 있거나 또는 유사할 수도 있다. 몇몇 실시형태에서, 도 14a에서 도시되는 스페이서 층(134')의 저부 부분은 도 3e에서 도시되는 스페이서 층(134)의 저부 부분보다 더 두껍다. 리세스(130)의 프로파일 및/또는 스페이서 층(134')의 퇴적 프로세스는 스페이서 층(134')으로 하여금 더 두꺼운 저부 부분을 가지게 할 수도 있다.14A-14C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 14A , a structure identical or similar to the structure shown in FIG. 3D is formed or accommodated. A spacer layer 134 ′ is then deposited, as shown in FIG. 14A , in accordance with some embodiments. The material and method of forming the spacer layer 134 ′ may be the same as or similar to the spacer layer 134 , as illustrated in FIG. 3E . In some embodiments, the bottom portion of the spacer layer 134 ′ shown in FIG. 14A is thicker than the bottom portion of the spacer layer 134 shown in FIG. 3E . The profile of the recess 130 and/or the deposition process of the spacer layer 134' may cause the spacer layer 134' to have a thicker bottom portion.

그 후, 도 3f에서 예시되는 프로세스와 동일한 또는 유사한 프로세스가 수행된다. 결과적으로, 몇몇 실시형태에 따라, 도 14b에서 도시되는 구조체가 형성된다. 도 14b에서 도시되는 바와 같이, 도 3f와 유사하게, 내부 스페이서(136) 및 저부 내부 스페이서(136')가 형성된다. 몇몇 실시형태에서, 저부 내부 스페이서(136')는, 도 14b에서 도시되는 바와 같이, 리세스(130)의 저부 부분을 덮는다. 대응하는 리세스(130)의 저부에서 저부 내부 스페이서(136') 중 하나의 부분은 약 2 nm에서부터 약 10 nm까지의 범위 내에 있는 두께를 가질 수도 있다.Thereafter, the same or similar process to the process illustrated in FIG. 3F is performed. As a result, the structure shown in FIG. 14B is formed, in accordance with some embodiments. As shown in FIG. 14B, similar to FIG. 3F, an inner spacer 136 and a bottom inner spacer 136' are formed. In some embodiments, the bottom inner spacer 136 ′ covers the bottom portion of the recess 130 , as shown in FIG. 14B . A portion of one of the bottom inner spacers 136 ′ at the bottom of the corresponding recess 130 may have a thickness ranging from about 2 nm to about 10 nm.

그 후, 도 3g 내지 도 3n에서 예시되는 프로세스와 동일한 또는 유사한 프로세스가 수행된다. 결과적으로, 몇몇 실시형태에 따라, 도 14c에서 도시되는 구조체가 형성된다. 저부 스페이서(136')는 에피택셜 구조체(138)로부터의 전류 누출을 감소시키는 것 또는 방지하는 것을 도울 수도 있다. 몇몇 실시형태에서, 저부 내부 스페이서(136')의 각각은 그 상의 대응하는 에피택셜 구조체(138)와 직접적으로 접촉한다. 몇몇 실시형태에서, 저부 내부 스페이서(136')의 각각은, 도 14c에서 도시되는 바와 같이, 대응하는 에피택셜 구조체(138)의 전체가 저부 내부 스페이서(136') 위에 있도록, 그 상의 대응하는 에피택셜 구조체(138)의 저부를 둘러싼다.Thereafter, the same or similar process to the process illustrated in FIGS. 3G to 3N is performed. As a result, the structure shown in FIG. 14C is formed, in accordance with some embodiments. The bottom spacer 136 ′ may help reduce or prevent current leakage from the epitaxial structure 138 . In some embodiments, each of the bottom inner spacers 136 ′ is in direct contact with a corresponding epitaxial structure 138 thereon. In some embodiments, each of the bottom inner spacers 136 ′ has a corresponding epitaxial thereon such that the entirety of the corresponding epitaxial structure 138 is over the bottom inner spacer 136 ′, as shown in FIG. 14C . It surrounds the bottom of the taxial structure 138 .

본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 도 15는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 몇몇 실시형태에서, 에피택셜 구조체(138)는 에피택셜 성장 프로세스를 사용하여 형성된다. 에피택셜 성장 프로세스에서, 반도체 재료는 반도체 재료로 만들어지는 엘리먼트의 표면 상에서 성장되는 경향이 있을 수도 있다. 에피택셜 성장 프로세스에서, 반도체 재료는 에지 엘리먼트(105a-105d)의 표면 상에서 성장되는 경향이 있을 수도 있다. 결과적으로, 몇몇 실시형태에 따라, 도 15에서 도시되는 바와 같이, 에피택셜 구조체(138)와 반도체 기판(100) 사이에 공극(V)이 형성된다.Many variations and/or modifications may be made to embodiments of the present disclosure. 15 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. In some embodiments, the epitaxial structure 138 is formed using an epitaxial growth process. In an epitaxial growth process, a semiconductor material may tend to grow on the surface of an element made of the semiconductor material. In an epitaxial growth process, semiconductor material may tend to grow on the surface of edge elements 105a - 105d. As a result, a void V is formed between the epitaxial structure 138 and the semiconductor substrate 100 , as shown in FIG. 15 , in accordance with some embodiments.

도 16은, 몇몇 실시형태에 따른, 반도체 디바이스 구조체의 단면도이다. 도 15에서 도시되는 구조체와 유사한 구조체가 형성된다. 도 8c, 도 10 및 도 12에서 예시되는 실시형태와 유사하게, 스트레서 구조체(146)로 변환되지 않고 남아 있는 반도체 엘리먼트(102a')가 존재한다. 몇몇 실시형태에서, 저부 내부 스페이서(136') 중 하나는, 도 16에서 도시되는 바와 같이, 스트레서 구조체(146) 및 반도체 엘리먼트(102a')와 직접적으로 접촉한다.16 is a cross-sectional view of a semiconductor device structure, in accordance with some embodiments. A structure similar to the structure shown in FIG. 15 is formed. Similar to the embodiment illustrated in FIGS. 8C , 10 and 12 , there is a semiconductor element 102a ′ that remains unconverted to the stressor structure 146 . In some embodiments, one of the bottom inner spacers 136 ′ is in direct contact with the stressor structure 146 and the semiconductor element 102a ′, as shown in FIG. 16 .

본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 도 17a 내지 도 17c는, 몇몇 실시형태에 따른, 반도체 디바이스 구조체를 형성하기 위한 프로세스의 다양한 단계의 단면도이다. 도 17a에서 도시되는 바와 같이, 도 3k에서 도시되는 것과 동일한 또는 유사한 구조체가 형성된다.Many variations and/or modifications may be made to embodiments of the present disclosure. 17A-17C are cross-sectional views of various steps of a process for forming a semiconductor device structure, in accordance with some embodiments. As shown in FIG. 17A , the same or similar structure as shown in FIG. 3K is formed.

그 후, 도 3l에서 예시되는 실시형태와 유사하게, 몇몇 실시형태에 따라, (베이스 층으로서 기능하는) 반도체 층(102a)이 스트레서 구조체(146)로 변환된다. 몇몇 실시형태에서, 반도체 층(102a)은 스트레서 구조체(146)를 형성하도록 어닐링된다. 몇몇 실시형태에서, 어닐링 동작 이후, 반도체 층(102a)은 팽창하고 스트레서 구조체(146)로 변환된다. 스트레서 구조체(146)의 상부 표면은 돌출되고 메사형(mesa-like) 프로파일을 가질 수도 있다. 몇몇 실시형태에서, 스트레서 구조체(146)는, 도 17b에서 도시되는 바와 같이, 만곡된 상부 표면을 갖는다.Then, similar to the embodiment illustrated in FIG. 3L , the semiconductor layer 102a (functioning as a base layer) is converted into a stressor structure 146 , according to some embodiments. In some embodiments, the semiconductor layer 102a is annealed to form the stressor structure 146 . In some embodiments, after the annealing operation, the semiconductor layer 102a expands and transforms into the stressor structure 146 . The top surface of the stressor structure 146 may protrude and have a mesa-like profile. In some embodiments, the stressor structure 146 has a curved top surface, as shown in FIG. 17B .

그 후, 몇몇 실시형태에 따라, 도 3m 및 도 3n에서 예시되는 것과 동일한 또는 유사한 프로세스가 수행된다. 결과적으로, 도 17c에서 도시되는 구조체가 형성된다.Thereafter, the same or similar process as illustrated in FIGS. 3M and 3N is performed, according to some embodiments. As a result, the structure shown in Fig. 17C is formed.

몇몇 실시형태에서, 세 개의 반도체 나노구조체(104b'-104d')가 형성된다. 그러나, 본 개시의 실시형태는 이것으로 제한되지는 않는다. 본 개시의 실시형태에 대해 많은 변형 및/또는 수정이 이루어질 수 있다. 몇몇 실시형태에서, 반도체 나노구조체의 총 개수는 3보다 더 크다. 몇몇 다른 실시형태에서, 반도체 나노구조체의 총 수는 3보다 더 작다. 각각의 반도체 디바이스 구조체의 반도체 나노구조체(또는 채널 구조체)의 총 수는 요건에 따라 조정될 수도 있다.In some embodiments, three semiconductor nanostructures 104b'-104d' are formed. However, embodiments of the present disclosure are not limited thereto. Many variations and/or modifications may be made to embodiments of the present disclosure. In some embodiments, the total number of semiconductor nanostructures is greater than three. In some other embodiments, the total number of semiconductor nanostructures is less than three. The total number of semiconductor nanostructures (or channel structures) in each semiconductor device structure may be adjusted according to requirements.

본 개시의 실시형태는 채널 구조체 하에서 스트레서 구조체를 갖는 반도체 디바이스 구조체를 형성한다. 채널 구조체는 게이트 스택에 의해 랩핑된다. 예를 들면, 반도체 디바이스 구조체는, 금속 게이트 스택에 의해 랩핑되는 다수의 채널 구조체의 스택을 포함한다. 채널 구조체 아래의 반도체 엘리먼트는 게이트 스택의 형성 이전에 스트레서 구조체로 변환된다. 스트레서 구조체는 채널 구조체에 응력(예컨대, 인장 응력)을 인가하기 위해 채널 구조체 옆에 에피택셜 구조체를 포함할 수도 있다. 결과적으로, 채널 구조체에서의 캐리어 이동성이 개선될 수도 있다. 반도체 디바이스 구조체의 성능 및 신뢰성이 크게 개선된다.Embodiments of the present disclosure form a semiconductor device structure having a stressor structure under a channel structure. The channel structure is wrapped by a gate stack. For example, a semiconductor device structure includes a stack of multiple channel structures wrapped by a metal gate stack. The semiconductor element below the channel structure is converted to a stressor structure prior to formation of the gate stack. The stressor structure may include an epitaxial structure next to the channel structure to apply a stress (eg, tensile stress) to the channel structure. As a result, carrier mobility in the channel structure may be improved. The performance and reliability of semiconductor device structures are greatly improved.

몇몇 실시형태에 따르면, 반도체 디바이스 구조체가 제공된다. 반도체 디바이스 구조체는 기판 위의 다수의 반도체 나노구조체 및 기판 위의 두 개의 에피택셜 구조체를 포함한다. 반도체 나노구조체의 각각은 에피택셜 구조체 사이에 있다. 반도체 디바이스 구조체는, 반도체 나노구조체 주위를 랩핑하는 게이트 스택을 또한 포함한다. 반도체 디바이스 구조체는 게이트 스택과 기판 사이에 스트레서 구조체를 더 포함한다. 에피택셜 구조체는 스트레서 구조체의 상부 표면을 넘어 연장된다.In accordance with some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a plurality of semiconductor nanostructures over a substrate and two epitaxial structures over the substrate. Each of the semiconductor nanostructures is interspersed with epitaxial structures. The semiconductor device structure also includes a gate stack that wraps around the semiconductor nanostructure. The semiconductor device structure further includes a stressor structure between the gate stack and the substrate. The epitaxial structure extends beyond the top surface of the stressor structure.

몇몇 실시형태에 따르면, 반도체 디바이스 구조체가 제공된다. 반도체 디바이스 구조체는 기판 위의 반도체 핀 및 반도체 핀 위에 적층되는 다수의 채널 구조체를 포함한다. 반도체 디바이스 구조체는, 채널 구조체의 각각의 주위를 랩핑하는 게이트 스택 및 채널 구조체에 인접하는 에피택셜 구조체를 또한 포함한다. 반도체 디바이스 구조체는 기판과 채널 구조체 사이에 스트레서 구조체를 더 포함한다. 스트레서 구조체는 산소 및 실리콘 이외의 반도체 재료를 함유한다.In accordance with some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a semiconductor fin over a substrate and a plurality of channel structures stacked over the semiconductor fin. The semiconductor device structure also includes an epitaxial structure adjacent the channel structure and a gate stack that wraps around each of the channel structures. The semiconductor device structure further includes a stressor structure between the substrate and the channel structure. The stressor structure contains a semiconductor material other than oxygen and silicon.

몇몇 실시형태에 따르면, 반도체 디바이스 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 베이스 층을 형성하는 것 및 베이스 층 위에 반도체 스택을 형성하는 것을 포함한다. 반도체 스택은, 교대로 배치되는 다수의 희생 층 및 다수의 반도체 층을 갖는다. 방법은 또한, 핀 구조체를 형성하도록 반도체 스택 및 베이스 층을 패턴화하는 것 및 핀 구조체의 하부 부분을 둘러싸도록 격리 구조체를 형성하는 것을 포함한다. 격리 구조체의 상부 표면은 베이스 층의 상부 표면보다 더 높다. 방법은, 희생 층을 제거하여, 반도체 층의 나머지 부분에 의해 구성되는 다수의 반도체 나노구조체를 분리하는 것을 더 포함한다. 또한, 방법은 베이스 층의 상부 부분 또는 전체를 스트레서 구조체로 변환하는 것을 포함한다. 방법은 또한 반도체 나노구조체의 각각의 주위를 랩핑하도록 금속 게이트 스택을 형성하는 것을 포함한다.In accordance with some embodiments, a method for forming a semiconductor device structure is provided. The method includes forming a base layer over a semiconductor substrate and forming a semiconductor stack over the base layer. The semiconductor stack has multiple sacrificial layers and multiple semiconductor layers arranged alternately. The method also includes patterning the semiconductor stack and the base layer to form the fin structure and forming the isolation structure to surround a lower portion of the fin structure. The upper surface of the isolation structure is higher than the upper surface of the base layer. The method further includes removing the sacrificial layer to isolate the plurality of semiconductor nanostructures constituted by the remainder of the semiconductor layer. The method also includes converting an upper portion or all of the base layer into a stressor structure. The method also includes forming a metal gate stack to wrap around each of the semiconductor nanostructures.

상기의 내용은, 기술 분야의 숙련된 자가 본 개시의 양태를 더 잘 이해할 수도 있도록 하는 여러 가지 실시형태의 피쳐를 개략적으로 나타낸다(outline). 기술 분야의 숙련된 자는, 그들이 동일한 목적을 수행하기 위해 및/또는 본원에서 소개되는 실시형태의 동일한 이점을 달성하기 위해 다른 프로세스 및 구조체를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 사용할 수도 있다는 것을 인식해야 한다. 기술 분야의 숙련된 자는 또한, 그러한 등가적 구성이 본 개시의 취지와 범위를 벗어나지 않는다는 것, 및 그들이 본 개시의 취지와 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 및 수정을 가할 수도 있다는 것을 인식해야 한다.The foregoing outlines features of various embodiments that may enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art will recognize that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. have to recognize Those skilled in the art will also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and modifications herein without departing from the spirit and scope of the present disclosure. have to recognize

실시예Example

1. 반도체 디바이스 구조체로서,1. A semiconductor device structure comprising:

기판 위의 복수의 반도체 나노구조체;a plurality of semiconductor nanostructures on the substrate;

상기 기판 위의 두 개의 에피택셜 구조체 - 상기 반도체 나노구조체의 각각은 상기 에피택셜 구조체 사이에 있음 - ;two epitaxial structures on the substrate, each of the semiconductor nanostructures between the epitaxial structures;

상기 반도체 나노구조체 주위를 랩핑하는 게이트 스택; 및a gate stack wrapping around the semiconductor nanostructure; and

상기 게이트 스택과 상기 기판 사이의 스트레서 구조체(stressor structure) - 상기 에피택셜 구조체는 상기 스트레서 구조체의 상부 표면(top surface)을 넘어 연장됨 - 를 포함하는, 반도체 디바이스 구조체.and a stressor structure between the gate stack and the substrate, the epitaxial structure extending beyond a top surface of the stressor structure.

2. 제1항에 있어서,2. according to clause 1,

상기 게이트 스택은 상기 반도체 나노구조체의 각각의 주위를 랩핑하는 것인, 반도체 디바이스 구조체.and the gate stack wraps around each of the semiconductor nanostructures.

3. 제1항에 있어서,3. according to clause 1,

상기 스트레서 구조체는 반도체 산화물 재료로 만들어지는 것인, 반도체 디바이스 구조체.wherein the stressor structure is made of a semiconductor oxide material.

4. 제1항에 있어서,4. Clause 1,

상기 에피택셜 구조체는 상기 스트레서 구조체의 저부 표면(bottom surface)을 넘어 연장되는 것인, 반도체 디바이스 구조체.and the epitaxial structure extends beyond a bottom surface of the stressor structure.

5. 제1항에 있어서,5. according to clause 1,

복수의 내부 스페이서를 더 포함하되, 상기 내부 스페이서의 각각은 상기 게이트 스택과 상기 에피택셜 구조체 중 하나의 에피택셜 구조체 사이에 있는 것인, 반도체 디바이스 구조체.and a plurality of inner spacers, each of the inner spacers between the gate stack and the epitaxial structure of one of the epitaxial structures.

6. 제5항에 있어서,6. according to claim 5,

상기 내부 스페이서 중 하나는 상기 스트레서 구조체 및 상기 에피택셜 구조체 중 하나와 직접적으로 접촉하는 것인, 반도체 디바이스 구조체.and one of the inner spacers is in direct contact with one of the stressor structure and the epitaxial structure.

7. 제5항에 있어서,7. according to item 5,

상기 에피택셜 구조체의 전체가 저부 내부 스페이서 위에 있도록, 상기 내부 스페이서의 상기 저부 내부 스페이서가 상기 에피택셜 구조체 중 하나의 저부를 둘러싸는 것인, 반도체 디바이스 구조체.and the bottom inner spacer of the inner spacer surrounds the bottom of one of the epitaxial structures such that the entirety of the epitaxial structure is over the bottom inner spacer.

8. 제5항에 있어서,8. Item 5,

상기 내부 스페이서 중 두 개 사이의 에지 엘리먼트를 더 포함하되, 상기 에지 엘리먼트 및 상기 반도체 나노구조체는 동일한 재료로 만들어지고, 상기 에지 엘리먼트는 상기 반도체 나노구조체의 각각보다 더 얇은 것인, 반도체 디바이스 구조체.and an edge element between two of the inner spacers, wherein the edge element and the semiconductor nanostructure are made of the same material, and wherein the edge element is thinner than each of the semiconductor nanostructures.

9. 제1항에 있어서,9. Item 1,

상기 스트레서 구조체와 상기 기판 사이의 반도체 엘리먼트를 더 포함하되, 상기 반도체 엘리먼트는 반도체 재료로 만들어지고, 상기 스트레서 구조체는 상기 반도체 재료의 산화물 재료로 만들어지는 것인, 반도체 디바이스 구조체.and a semiconductor element between the stressor structure and the substrate, wherein the semiconductor element is made of a semiconductor material, and wherein the stressor structure is made of an oxide material of the semiconductor material.

10. 제9항에 있어서,10. Item 9,

상기 반도체 엘리먼트는 상기 스트레서 구조체와 직접적으로 접촉하는 것인, 반도체 디바이스 구조체.and the semiconductor element is in direct contact with the stressor structure.

11. 반도체 디바이스 구조체로서,11. A semiconductor device structure comprising:

기판 위의 반도체 핀;semiconductor fins on the substrate;

상기 반도체 핀 위에 적층되는 복수의 채널 구조체;a plurality of channel structures stacked on the semiconductor fins;

상기 채널 구조체의 각각의 주위를 랩핑하는 게이트 스택;a gate stack wrapping around each of the channel structures;

상기 채널 구조체와 접하는 에피택셜 구조체; 및an epitaxial structure in contact with the channel structure; and

상기 기판과 상기 채널 구조체 사이의 스트레서 구조체 - 상기 스트레서 구조체는 산소 및 실리콘 이외의 반도체 재료를 함유함 - 를 포함하는, 반도체 디바이스 구조체.and a stressor structure between the substrate and the channel structure, wherein the stressor structure contains a semiconductor material other than oxygen and silicon.

12. 제11항에 있어서,12. Clause 11,

상기 반도체 핀 및 상기 스트레서 구조체를 둘러싸는 격리 구조체를 더 포함하는, 반도체 디바이스 구조체.and an isolation structure surrounding the semiconductor fin and the stressor structure.

13. 제12항에 있어서,13. Clause 12,

상기 스트레서 구조체는 상기 반도체 핀, 상기 격리 구조체, 및 상기 게이트 스택과 직접적으로 접촉하는 것인, 반도체 디바이스 구조체.and the stressor structure is in direct contact with the semiconductor fin, the isolation structure, and the gate stack.

14. 제11항에 있어서,14. Clause 11,

상기 스트레서 구조체와 상기 기판 사이의 반도체 엘리먼트를 더 포함하되, 상기 반도체 엘리먼트와 상기 스트레서 구조체 사이의 계면은 상기 반도체 엘리먼트를 향하는 볼록한 표면인 것인, 반도체 디바이스 구조체.A semiconductor device structure, further comprising a semiconductor element between the stressor structure and the substrate, wherein an interface between the semiconductor element and the stressor structure is a convex surface facing the semiconductor element.

15. 반도체 디바이스 구조체를 형성하기 위한 방법으로서,15. A method for forming a semiconductor device structure comprising:

반도체 기판 위에 베이스 층을 형성하는 단계;forming a base layer over the semiconductor substrate;

상기 베이스 층 위에 반도체 스택 - 상기 반도체 스택은, 교대로 배치되는 복수의 희생 층 및 복수의 반도체 층을 가짐 - 을 형성하는 단계;forming a semiconductor stack over the base layer, the semiconductor stack having a plurality of alternating sacrificial layers and a plurality of semiconductor layers;

핀 구조체를 형성하도록 상기 반도체 스택 및 상기 베이스 층을 패턴화하는 단계;patterning the semiconductor stack and the base layer to form a fin structure;

상기 핀 구조체의 하부 부분(lower portion)을 둘러싸도록 격리 구조체 - 상기 격리 구조체의 상부 표면은 상기 베이스 층의 상부 표면보다 더 높음 - 를 형성하는 단계;forming an isolation structure to surround a lower portion of the fin structure, the upper surface of the isolation structure being higher than the upper surface of the base layer;

상기 희생 층을 제거하여, 상기 반도체 층의 나머지 부분에 의해 구성되는 복수의 반도체 나노구조체를 분리(release)하는 단계;removing the sacrificial layer to release a plurality of semiconductor nanostructures constituted by the remainder of the semiconductor layer;

상기 베이스 층의 적어도 상부 부분(upper portion)을 스트레서 구조체로 변환하는 단계; 및converting at least an upper portion of the base layer into a stressor structure; and

상기 반도체 나노구조체의 각각의 주위를 랩핑하도록 금속 게이트 스택을 형성하는 단계를 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.and forming a metal gate stack to wrap around each of the semiconductor nanostructures.

16. 제15항에 있어서,16. Clause 15,

상기 반도체 스택이 형성되기 이전에 상기 베이스 층 위에 보호 층을 형성하는 단계; 및forming a protective layer over the base layer before the semiconductor stack is formed; and

상기 베이스 층이 노출되도록 상기 반도체 나노구조체가 형성된 이후 상기 보호 층을 부분적으로 제거하는 단계를 더 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.and partially removing the protective layer after the semiconductor nanostructure is formed such that the base layer is exposed.

17. 제16항에 있어서,17. Clause 16,

상기 보호 층 및 상기 반도체 층의 재료는 동일하고, 상기 베이스 층 및 상기 희생 층의 재료는 동일한 것인, 반도체 디바이스 구조체를 형성하기 위한 방법.wherein the material of the protective layer and the semiconductor layer is the same, and the material of the base layer and the sacrificial layer are the same.

18. 제15항에 있어서,18. Clause 15,

상기 스트레서 구조체는 상기 베이스 층을 적어도 부분적으로 산화시키는 것에 의해 형성되는 것인, 반도체 디바이스 구조체를 형성하기 위한 방법.wherein the stressor structure is formed by at least partially oxidizing the base layer.

19. 제18항에 있어서,19. Item 18,

상기 베이스 층의 하부 부분은 상기 스트레서 구조체로 변환되지 않는 것인, 반도체 디바이스 구조체를 형성하기 위한 방법.and a lower portion of the base layer is not converted to the stressor structure.

20. 제15항에 있어서,20. Clause 15,

상기 핀 구조체를 부분적으로 제거하여 상기 반도체 층 및 상기 희생 층의 측면을 노출시키는 리세스를 형성하는 단계;forming a recess exposing side surfaces of the semiconductor layer and the sacrificial layer by partially removing the fin structure;

상기 희생 층의 상기 측면을 덮도록 내부 스페이서를 형성하는 단계; 및forming an inner spacer to cover the side surface of the sacrificial layer; and

상기 내부 스페이서가 형성된 이후 상기 리세스를 적어도 부분적으로 충전하도록 소스/드레인 구조체를 형성하는 단계를 더 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.and forming a source/drain structure to at least partially fill the recess after the inner spacer is formed.

Claims (10)

반도체 디바이스 구조체로서,
기판 위의 복수의 반도체 나노구조체;
상기 기판 위의 두 개의 에피택셜 구조체 - 상기 반도체 나노구조체의 각각은 상기 에피택셜 구조체 사이에 있음 - ;
상기 반도체 나노구조체 주위를 랩핑하는 게이트 스택; 및
상기 게이트 스택과 상기 기판 사이의 스트레서 구조체(stressor structure) - 상기 에피택셜 구조체는 상기 스트레서 구조체의 상부 표면(top surface)을 넘어 연장됨 - 를 포함하는, 반도체 디바이스 구조체.
A semiconductor device structure comprising:
a plurality of semiconductor nanostructures on the substrate;
two epitaxial structures on the substrate, each of the semiconductor nanostructures between the epitaxial structures;
a gate stack wrapping around the semiconductor nanostructure; and
and a stressor structure between the gate stack and the substrate, the epitaxial structure extending beyond a top surface of the stressor structure.
제1항에 있어서,
상기 게이트 스택은 상기 반도체 나노구조체의 각각의 주위를 랩핑하는 것인, 반도체 디바이스 구조체.
According to claim 1,
and the gate stack wraps around each of the semiconductor nanostructures.
제1항에 있어서,
상기 스트레서 구조체는 반도체 산화물 재료로 만들어지는 것인, 반도체 디바이스 구조체.
According to claim 1,
wherein the stressor structure is made of a semiconductor oxide material.
제1항에 있어서,
상기 에피택셜 구조체는 상기 스트레서 구조체의 저부 표면(bottom surface)을 넘어 연장되는 것인, 반도체 디바이스 구조체.
According to claim 1,
and the epitaxial structure extends beyond a bottom surface of the stressor structure.
제1항에 있어서,
복수의 내부 스페이서를 더 포함하되, 상기 내부 스페이서의 각각은 상기 게이트 스택과 상기 에피택셜 구조체 중 하나의 에피택셜 구조체 사이에 있는 것인, 반도체 디바이스 구조체.
According to claim 1,
and a plurality of inner spacers, each of the inner spacers between the gate stack and the epitaxial structure of one of the epitaxial structures.
제1항에 있어서,
상기 스트레서 구조체와 상기 기판 사이의 반도체 엘리먼트를 더 포함하되, 상기 반도체 엘리먼트는 반도체 재료로 만들어지고, 상기 스트레서 구조체는 상기 반도체 재료의 산화물 재료로 만들어지는 것인, 반도체 디바이스 구조체.
According to claim 1,
and a semiconductor element between the stressor structure and the substrate, wherein the semiconductor element is made of a semiconductor material, and wherein the stressor structure is made of an oxide material of the semiconductor material.
반도체 디바이스 구조체로서,
기판 위의 반도체 핀;
상기 반도체 핀 위에 적층되는 복수의 채널 구조체;
상기 채널 구조체의 각각의 주위를 랩핑하는 게이트 스택;
상기 채널 구조체와 접하는 에피택셜 구조체; 및
상기 기판과 상기 채널 구조체 사이의 스트레서 구조체 - 상기 스트레서 구조체는 산소 및 실리콘 이외의 반도체 재료를 함유함 - 를 포함하는, 반도체 디바이스 구조체.
A semiconductor device structure comprising:
semiconductor fins on the substrate;
a plurality of channel structures stacked on the semiconductor fins;
a gate stack wrapping around each of the channel structures;
an epitaxial structure in contact with the channel structure; and
and a stressor structure between the substrate and the channel structure, wherein the stressor structure contains a semiconductor material other than oxygen and silicon.
반도체 디바이스 구조체를 형성하기 위한 방법으로서,
반도체 기판 위에 베이스 층을 형성하는 단계;
상기 베이스 층 위에 반도체 스택 - 상기 반도체 스택은, 교대로 배치되는 복수의 희생 층 및 복수의 반도체 층을 가짐 - 을 형성하는 단계;
핀 구조체를 형성하도록 상기 반도체 스택 및 상기 베이스 층을 패턴화하는 단계;
상기 핀 구조체의 하부 부분(lower portion)을 둘러싸도록 격리 구조체 - 상기 격리 구조체의 상부 표면은 상기 베이스 층의 상부 표면보다 더 높음 - 를 형성하는 단계;
상기 희생 층을 제거하여, 상기 반도체 층의 나머지 부분에 의해 구성되는 복수의 반도체 나노구조체를 분리(release)하는 단계;
상기 베이스 층의 적어도 상부 부분(upper portion)을 스트레서 구조체로 변환하는 단계; 및
상기 반도체 나노구조체의 각각의 주위를 랩핑하도록 금속 게이트 스택을 형성하는 단계를 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.
A method for forming a semiconductor device structure comprising:
forming a base layer over the semiconductor substrate;
forming a semiconductor stack over the base layer, the semiconductor stack having a plurality of alternating sacrificial layers and a plurality of semiconductor layers;
patterning the semiconductor stack and the base layer to form a fin structure;
forming an isolation structure to surround a lower portion of the fin structure, the upper surface of the isolation structure being higher than the upper surface of the base layer;
removing the sacrificial layer to release a plurality of semiconductor nanostructures constituted by the remainder of the semiconductor layer;
converting at least an upper portion of the base layer into a stressor structure; and
and forming a metal gate stack to wrap around each of the semiconductor nanostructures.
제8항에 있어서,
상기 반도체 스택이 형성되기 이전에 상기 베이스 층 위에 보호 층을 형성하는 단계; 및
상기 베이스 층이 노출되도록 상기 반도체 나노구조체가 형성된 이후 상기 보호 층을 부분적으로 제거하는 단계를 더 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.
9. The method of claim 8,
forming a protective layer over the base layer before the semiconductor stack is formed; and
and partially removing the protective layer after the semiconductor nanostructure is formed such that the base layer is exposed.
제8항에 있어서,
상기 핀 구조체를 부분적으로 제거하여 상기 반도체 층 및 상기 희생 층의 측면을 노출시키는 리세스를 형성하는 단계;
상기 희생 층의 상기 측면을 덮도록 내부 스페이서를 형성하는 단계; 및
상기 내부 스페이서가 형성된 이후 상기 리세스를 적어도 부분적으로 충전하도록 소스/드레인 구조체를 형성하는 단계를 더 포함하는, 반도체 디바이스 구조체를 형성하기 위한 방법.
9. The method of claim 8,
forming a recess exposing side surfaces of the semiconductor layer and the sacrificial layer by partially removing the fin structure;
forming an inner spacer to cover the side surface of the sacrificial layer; and
and forming a source/drain structure to at least partially fill the recess after the inner spacer is formed.
KR1020200079496A 2019-10-31 2020-06-29 Structure and formation method of semiconductor device with stressor KR102284479B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962928650P 2019-10-31 2019-10-31
US62/928,650 2019-10-31
US16/834,264 2020-03-30
US16/834,264 US11201225B2 (en) 2019-10-31 2020-03-30 Structure and formation method of semiconductor device with stressor

Publications (2)

Publication Number Publication Date
KR20210053158A KR20210053158A (en) 2021-05-11
KR102284479B1 true KR102284479B1 (en) 2021-08-03

Family

ID=75686351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200079496A KR102284479B1 (en) 2019-10-31 2020-06-29 Structure and formation method of semiconductor device with stressor

Country Status (2)

Country Link
KR (1) KR102284479B1 (en)
TW (1) TWI761980B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220416040A1 (en) * 2021-06-24 2022-12-29 Intel Corporation Released fin for advanced integrated circuit structure fabrication

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
KR102083627B1 (en) * 2013-09-24 2020-03-02 삼성전자주식회사 Semiconductor device and method for forming the same
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102574454B1 (en) * 2016-12-16 2023-09-04 삼성전자 주식회사 Semiconductor devices and method of manufacturing the same
US10403550B2 (en) * 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10325820B1 (en) * 2018-01-10 2019-06-18 International Business Machines Corporation Source and drain isolation for CMOS nanosheet with one block mask

Also Published As

Publication number Publication date
TW202119626A (en) 2021-05-16
TWI761980B (en) 2022-04-21
KR20210053158A (en) 2021-05-11

Similar Documents

Publication Publication Date Title
US12142692B2 (en) Semiconductor device with isolation structure
US11424242B2 (en) Structure and formation method of semiconductor device with isolation structure
KR102296832B1 (en) Structure and formation method of semiconductor device with stressor
US11393924B2 (en) Structure and formation method of semiconductor device with high contact area
US20240387740A1 (en) Semiconductor device structure with dielectric stressor
US20240222458A1 (en) Semiconductor device structure with metal gate stack
CN112750820B (en) Semiconductor device structure and method for forming the same
US20240194674A1 (en) Semiconductor device with isolation structure
KR102284479B1 (en) Structure and formation method of semiconductor device with stressor
US20230155035A1 (en) Structure and formation method of semiconductor device with epitaxial structures
US20220310804A1 (en) Structure and formation method of semiconductor device with backside contact
US20250031436A1 (en) Structure and formation method of semiconductor device with semiconductor nanostructures
US20230307523A1 (en) Structure and formation method of semiconductor device with gate stack
US20240379862A1 (en) Semiconductor device structure with embedded epitaxial structure
US20250072054A1 (en) Semiconductor device with dielectric structure
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
US11942329B2 (en) Formation method of semiconductor device with dielectric isolation structure
US20250056848A1 (en) Structure and formation method of semiconductor device with conductive contact
US20250022877A1 (en) Structure and formation method of semiconductor device with spacers
US20230369131A1 (en) Structure and formation method of semiconductor device with epitaxial structures

Legal Events

Date Code Title Description
PA0109 Patent application

Patent event code: PA01091R01D

Comment text: Patent Application

Patent event date: 20200629

PA0201 Request for examination
E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20210430

PG1501 Laying open of application
GRNT Written decision to grant
PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20210727

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20210728

End annual number: 3

Start annual number: 1

PG1601 Publication of registration
PR1001 Payment of annual fee

Payment date: 20240709

Start annual number: 4

End annual number: 4