JP2024510769A - 3次元nandメモリおよびその製造方法 - Google Patents
3次元nandメモリおよびその製造方法 Download PDFInfo
- Publication number
- JP2024510769A JP2024510769A JP2023557781A JP2023557781A JP2024510769A JP 2024510769 A JP2024510769 A JP 2024510769A JP 2023557781 A JP2023557781 A JP 2023557781A JP 2023557781 A JP2023557781 A JP 2023557781A JP 2024510769 A JP2024510769 A JP 2024510769A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- memory
- acs
- substrate
- dielectric
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 230000015654 memory Effects 0.000 title claims abstract description 341
- 238000004519 manufacturing process Methods 0.000 title description 10
- 238000000034 method Methods 0.000 claims abstract description 178
- 239000000758 substrate Substances 0.000 claims abstract description 136
- 230000000149 penetrating effect Effects 0.000 claims abstract description 22
- 239000010410 layer Substances 0.000 claims description 650
- 239000004020 conductor Substances 0.000 claims description 75
- 230000002093 peripheral effect Effects 0.000 claims description 72
- 229910052710 silicon Inorganic materials 0.000 claims description 43
- 239000010703 silicon Substances 0.000 claims description 43
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 35
- 239000000945 filler Substances 0.000 claims description 19
- 230000005055 memory storage Effects 0.000 claims description 18
- 125000006850 spacer group Chemical group 0.000 claims description 16
- 239000003989 dielectric material Substances 0.000 claims description 15
- 229920005591 polysilicon Polymers 0.000 claims description 15
- 239000011810 insulating material Substances 0.000 claims description 13
- 238000002955 isolation Methods 0.000 claims description 9
- 239000011229 interlayer Substances 0.000 claims description 8
- 239000010408 film Substances 0.000 description 144
- 230000008569 process Effects 0.000 description 79
- 239000004065 semiconductor Substances 0.000 description 54
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 48
- 229910052814 silicon oxide Inorganic materials 0.000 description 48
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 39
- 239000000463 material Substances 0.000 description 38
- 238000003860 storage Methods 0.000 description 38
- 229910052581 Si3N4 Inorganic materials 0.000 description 36
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 36
- 238000005229 chemical vapour deposition Methods 0.000 description 33
- 238000005530 etching Methods 0.000 description 30
- 238000000231 atomic layer deposition Methods 0.000 description 29
- 238000005240 physical vapour deposition Methods 0.000 description 28
- 239000012212 insulator Substances 0.000 description 24
- 238000004544 sputter deposition Methods 0.000 description 24
- 229910052751 metal Inorganic materials 0.000 description 22
- 239000002184 metal Substances 0.000 description 22
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 19
- 239000000872 buffer Substances 0.000 description 18
- 238000001039 wet etching Methods 0.000 description 17
- 239000010936 titanium Substances 0.000 description 15
- 235000012431 wafers Nutrition 0.000 description 15
- 238000001312 dry etching Methods 0.000 description 14
- 238000001704 evaporation Methods 0.000 description 14
- 230000008020 evaporation Effects 0.000 description 14
- 238000001020 plasma etching Methods 0.000 description 14
- 229910052715 tantalum Inorganic materials 0.000 description 14
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 14
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 13
- 229910052721 tungsten Inorganic materials 0.000 description 13
- 239000010937 tungsten Substances 0.000 description 13
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 12
- 239000010949 copper Substances 0.000 description 12
- 230000000903 blocking effect Effects 0.000 description 11
- 238000000427 thin-film deposition Methods 0.000 description 11
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 10
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 9
- 229910017052 cobalt Inorganic materials 0.000 description 9
- 239000010941 cobalt Substances 0.000 description 9
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 9
- 229910052732 germanium Inorganic materials 0.000 description 9
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 9
- 239000011521 glass Substances 0.000 description 9
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 9
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 9
- 229910052719 titanium Inorganic materials 0.000 description 9
- 238000010586 diagram Methods 0.000 description 8
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 7
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- -1 C3F6 Chemical compound 0.000 description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 description 5
- 239000003990 capacitor Substances 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 238000009413 insulation Methods 0.000 description 5
- 229910052759 nickel Inorganic materials 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 229910002601 GaN Inorganic materials 0.000 description 4
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000011049 filling Methods 0.000 description 4
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 4
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 4
- 229910001092 metal group alloy Inorganic materials 0.000 description 4
- 238000009966 trimming Methods 0.000 description 4
- 229910019044 CoSix Inorganic materials 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 229910005889 NiSix Inorganic materials 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 3
- 229910021334 nickel silicide Inorganic materials 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 3
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910001936 tantalum oxide Inorganic materials 0.000 description 3
- 238000007736 thin film deposition technique Methods 0.000 description 3
- 229910021341 titanium silicide Inorganic materials 0.000 description 3
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 3
- 229910021342 tungsten silicide Inorganic materials 0.000 description 3
- 229910001928 zirconium oxide Inorganic materials 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910017150 AlTi Inorganic materials 0.000 description 2
- 229910015844 BCl3 Inorganic materials 0.000 description 2
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 150000002736 metal compounds Chemical class 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 2
- 101000934888 Homo sapiens Succinate dehydrogenase cytochrome b560 subunit, mitochondrial Proteins 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 244000208734 Pisonia aculeata Species 0.000 description 1
- 102100025393 Succinate dehydrogenase cytochrome b560 subunit, mitochondrial Human genes 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000003190 augmentative effect Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 125000001475 halogen functional group Chemical group 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000007685 laparoscopic sleeve gastrectomy Methods 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 230000007334 memory performance Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C16/00—Erasable programmable read-only memories
- G11C16/02—Erasable programmable read-only memories electrically programmable
- G11C16/04—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
- G11C16/0483—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/10—EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/10—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
- H10B41/35—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/30—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/30—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
- H10B43/35—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/50—EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/40—EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Geometry (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
本開示は、3次元(3D)メモリデバイスを形成するための方法を提供する。方法は、交互誘電体スタックを基板の上に配設することを含み、交互誘電体スタックは基板上に交互に積層された第1の誘電体層および第2の誘電体層を含む。方法は、また、交互誘電体スタックを貫通して基板内に貫入するチャネル構造を形成することを含み、チャネル構造は、メモリフィルムの側壁上に配設されたチャネル層を含む。方法は、チャネル層の一部を露出させるために基板および基板内に貫入するメモリフィルムの一部を除去することと、チャネル層の露出部分上にアレイ共通ソース(ACS)を配設することとをさらに含む。
Description
本開示は、一般的に、半導体技術の分野、より具体的には3次元NANDフラッシュメモリおよびその製造方法に関する。
製造コストを削減し、記憶密度を高めるために、メモリデバイスがより小さいダイサイズに縮小されるにつれて、プレーナ型メモリセルのスケーリングがプロセス技術の制限および信頼性の問題に起因する問題に直面する。3次元(3D)メモリアーキテクチャは、プレーナ型メモリセルにおけるこの密度および性能の限界に対処することができる。
3次元NANDフラッシュメモリでは、メモリセルの多くの層が垂直に積層することができ、それにより単位面積当たりの記憶密度が大幅に高められ得る。垂直方向に積層される層の数を大幅に増やすことができ、それにより記憶容量をさらに高めることができる。しかしながら、高アスペクト比構造は、製造が非常に困難なものとなり得る。たとえば、エッチングにより積層構造を貫通するチャネルホールが形成され、メモリフィルムおよびチャネル層はチャネルホールの側壁上に配設され得る。チャネル層にソースコンタクトを形成するために、チャネルホールの底部にあるメモリフィルムは、除去される必要がある。しかしながら、側壁のチャネル層を損傷することなくチャネルホールの底部からメモリフィルムを除去することには問題がある。チャネル層内のピンホールは、信頼性の問題を引き起こし、製品の歩留まりを低下させ得る。したがって、チャネル層にソースコンタクトを形成するための方法を提供する必要性が存在する。
3次元(3D)メモリデバイスおよびそれを形成するための方法の実施形態が本開示において説明される。
本開示の一態様は、3次元(3D)メモリデバイスを形成するための方法を提供する。方法は、基板上に、第1のエッチストップ層、第2のエッチストップ層、および交互誘電体スタック(alternating dielectric stack)を順次形成することと、交互誘電体スタックを貫通して基板内に貫入するチャネルホールを形成することと、チャネルホールの側壁上にメモリフィルム、次いでチャネル層を配設して、チャネル構造を形成することと、基板を除去し、第1のエッチストップ層上で停止して、基板内に貫入するメモリフィルムの部分を露出させることと、第1のエッチストップ層およびメモリフィルムの露出部分を除去し、第2のエッチストップ層上で停止して、基板内に貫入するチャネル層の部分を露出させることと、交互誘電体スタックから遠く離れている第2のエッチストップ層の裏面にアレイ共通ソース(array common source)(ACS)を形成してチャネル層の露出部分を覆うこととを含む。
いくつかの実施形態において、第1のエッチストップ層は酸化ケイ素を含み、第2のエッチストップ層は多結晶シリコンを含む。
いくつかの実施形態において、基板は、ハンドルウェハ、絶縁体層(たとえば、犠牲酸化ケイ素層)、および半導体層(たとえば、犠牲多結晶シリコン層)を含む。
いくつかの実施形態において、基板を除去し第1のエッチストップ層上で停止することは、ウェットエッチングによって基板を除去することを含む。
いくつかの実施形態において、第1のエッチストップ層およびメモリフィルムの露出部分を除去することは、ウェットエッチングによって第1のエッチストップ層およびメモリフィルムの露出部分を除去することを含む。
いくつかの実施形態において、交互誘電体スタックは階段状領域を含み、本方法は、また、階段状領域内にダミーチャネルホールを形成することを含み、ダミーチャネルホールは、交互誘電体スタックの少なくとも一部を貫通し基板内に貫入する。
いくつかの実施形態において、交互誘電体スタックは、交互に積層された第1の誘電体層および第2の誘電体層(すなわち犠牲層)を含む。方法は、また、交互誘電体スタックを貫通して基板内に貫入するスリット開口部を形成することであって、スリット開口部はチャネル構造から離れた位置にある、形成することと、スリット開口部を通して犠牲層を除去し側方トンネルを形成することと、側方トンネルの内側に導電体層を形成することと、スリット開口部の内側に絶縁材料を配設してスリット構造を形成することとを含む。
いくつかの実施形態において、側方トンネルの内側に導電体層を形成することは、側方トンネルおよびスリット開口部の側壁上にゲート誘電体層を配設することと、側方トンネルの内側のゲート誘電体層上にゲート接着層を配設することとを含む。
いくつかの実施形態において、基板は、絶縁層によって覆われた周辺領域をさらに備える。方法は、また、周辺領域内に、前面絶縁層を貫通して基板内に貫入するシリコン貫通電極(TSV)を形成することを含む。
いくつかの実施形態において、TSVを形成することは、TSVの側壁を覆うTSV界面層を形成することを含む。
いくつかの実施形態において、方法は、また、ウェットエッチングプロセスを通して、基板および第1のエッチストップ層を順次除去し、基板内に貫入するダミーチャネルホールの部分、基板内に貫入するスリット構造の部分、および基板内に貫入するTSVの部分を露出させることを含む。
いくつかの実施形態において、第2のエッチストップ層の裏面にACSを形成することは、基板内に貫入するダミーチャネルホールの露出部分、基板内に貫入するスリット構造の露出部分、および基板内に貫入するTSVの露出部分を覆うようにACSを配設することを含む。
いくつかの実施形態において、ACSを形成することは、第2のエッチストップ層の裏面に第1の多結晶シリコン層を配設することと、第1の多結晶シリコン層をドーピングしアニールすることと、交互誘電体スタックから遠く離れている第1の多結晶シリコン層の裏面に第2の多結晶シリコン層を配設することと、第2の多結晶シリコン層をドーピングしアニールすることとをさらに含む。
いくつかの実施形態において、方法は、交互誘電体スタックから遠く離れているACSの裏面に層間誘電体層を形成することをさらに含む。
いくつかの実施形態において、層間誘電体層を形成することは、交互誘電体スタックから遠く離れているACSの裏面に誘電体誘電層を形成することと、誘電体充填層内に、ACSを貫通するバックサイドディープトレンチアイソレーション(BDTI)を形成することと、チャネル構造に対応する誘電体充填層の一部を除去し第1のコンタクト開口部を形成してACSを露出させることと、TSVに対応する誘電体充填層の一部を除去し第2のコンタクト開口部を形成してTSVを露出させることとを含む。
いくつかの実施形態において、方法は、また、層間誘電体層上に、交互誘電体スタックから遠く離れている裏面相互接続層を形成することも含む。
いくつかの実施形態において、裏面相互接続層を形成することは、第1のコンタクト開口部および第2のコンタクト開口部の内側に導電体材料を配設し、交互誘電体スタックから遠く離れている層間誘電体層の裏面を覆い、第1のコンタクト開口部の内側にACSコンタクト構造を形成し、第2のコンタクト開口部の内側にTSVコンタクト構造を形成することと、ACSコンタクト構造とTSVコンタクト構造との間に絶縁間隔を形成することとを含む。
本開示の別の態様は、3次元(3D)メモリデバイスを提供する。3Dメモリデバイスは、アレイ共通ソース(ACS)と、ACSの第1の側上で導電体層および誘電体層が交互に配置されるフィルムスタックと、フィルムスタックを貫通しACS内に貫入するチャネル構造とを備える。各チャネル構造は、コア充填フィルムと、コア充填フィルムの側壁を覆うチャネル層と、フィルムスタックを貫通するチャネル層の一部分に配設されたメモリフィルムとを含む。ACSは、フィルムスタックからACS内に貫入するチャネル層の一部分を囲み、それにより、ACSはフィルムスタックからACS内に貫入するチャネル層のその部分に接続する。
いくつかの実施形態において、ACSは、p型またはn型ドープ多結晶シリコン層を含む。
いくつかの実施形態において、導電体層および誘電層が交互に配置されるフィルムスタックは、階段状領域を備える。
いくつかの実施形態において、3Dメモリデバイスは、フィルムスタックの少なくとも一部を貫通し、ACS内に貫入するダミーチャネルホールも備える。ACSは、フィルムスタックからACS内に貫入するダミーチャネルホールの一部分を囲む。
いくつかの実施形態において、3Dメモリデバイスは、フィルムスタックを貫通してACS内に貫入するスリット構造(すなわち、ゲート線スリット)も含む。スリット構造は、チャネル構造から離れており、ACSは、フィルムスタックからACS内に貫入するスリット構造の一部を囲む。
いくつかの実施形態において、スリット構造は、スリット構造の側壁上に配設されたゲート誘電体層を含む。
いくつかの実施形態において、フィルムスタックは、導電体層の側壁を覆うゲート誘電体層も含む。フィルムスタックは、ゲート誘電体層と導電体層との間に配設されたゲート接着層も含む。
いくつかの実施形態において、3Dメモリデバイスは、絶縁層によって覆われている周辺領域も備える。周辺領域は、フィルムスタックにより近いACSの前面側にある。3Dメモリデバイスは、また、周辺領域内の絶縁層を貫通するシリコン貫通電極(TSV)も含む。TSVはACSと接触していない。
いくつかの実施形態において、TSVは、TSV界面層を含む。
いくつかの実施形態において、3Dメモリデバイスは、フィルムスタックから遠く離れているACSの裏面にも層間誘電体層を備える。
いくつかの実施形態において、層間誘電体層は、TSVを有する領域でACSを貫通するバックサイドディープトレンチアイソレーション(BDTI)を含む。
いくつかの実施形態において、3Dメモリデバイスは、また、フィルムスタックから遠く離れている層間誘電体層の裏面上に裏面相互接続層を備える。裏面相互接続層は、ACSに接続され、チャネル構造に対応するACSコンタクト構造、TSVに接続されたTSVコンタクト構造、およびTSVコンタクト構造とACSコンタクト構造との間に配置された絶縁間隔を含む。
本開示のさらに別の態様は、メモリストレージシステムを提供する。メモリストレージシステムは、3次元(3D)NANDメモリを備え、3D NANDメモリは、アレイ共通ソース(ACS)と、ACSの第1の側に交互に積層された導電体層および第1の誘電体層を含む、導電体層および誘電層が交互に配置されたフィルムスタックとを備える。3D NANDメモリは、また、第1の側とは反対側の、ACSの第2の側に配設された裏面相互接続層を含み、裏面相互接続層はACSコンタクト構造を含む。3D NANDメモリは、フィルムスタックを貫通するメモリストリングをさらに含み、メモリストリングは、メモリフィルムによって覆われた第1の部分と、ACSに接触し、ACSコンタクト構造に電気的に接続されている第2の部分とを有するチャネル層を含む。
本開示の他の態様は、当業者であれば、本開示の説明、請求項、および図面に照らして理解できる。
本明細書に組み込まれ、本明細書の一部を成す、添付図面は、本開示の実施形態を例示し、説明と併せて、本開示の原理を説明し、当業者が本開示を作製し、使用することを可能にするのにさらに役立つ。
本発明の特徴および利点は、以下で述べられている詳細な説明から、類似する参照文字が全体を通して対応する要素を識別している図面と併せて読んだときに、より明らかになるであろう。図中、類似の参照番号は、一般的に、同一の、機能的に類似している、および/または構造的に類似している要素を示している。要素が最初に出現する図面は、対応する参照番号の一番左の桁によって示される。
本開示の実施形態は、添付図面を参照しつつ説明される。
特定の構成および配列が説明されているが、これは、例示目的のためだけに説明されていることは理解されるであろう。当業者であれば、本開示の精神および範囲から逸脱することなく、他の構成および配置が使用され得ることを認識するであろう。本開示が、様々な他の用途でも採用され得ることは、当業者には明らかであろう。
「一実施形態」、「実施形態」、「例示的な一実施形態」、「いくつかの実施形態」などの、明細書における参照は、説明されている実施形態が、特定の特徴、構造、または特性を備え得るが、すべての実施形態が、特定の特徴、構造、または特性を必ずしも含み得ないことを示すことに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指さない。さらに、特定の特徴、構造、または特性が一実施形態に関連して説明されているときに、明示的に説明されようとされまいと他の実施形態に関連してそのような特徴、構造、または特性に影響を及ぼすことは当業者の知識の範囲内にあるであろう。
一般に、用語は、少なくとも一部は文脈中での使い方から理解できる。たとえば、少なくとも一部は文脈に応じて、本明細書において使用されているような「1つまたは(もしくは)複数」という言い回しは、単数形の意味で特徴、構造、もしくは特性を記述するために使用され得るか、または複数形の意味で特徴、構造、もしくは特性の組合せを記述するために使用され得る。同様に、ここでもまた、英語原文中の「a」、「an」、または「the」などの冠詞は、少なくとも一部は文脈に応じて単数形の使用を伝えるか、または複数形の使用を伝えるものとして理解されてよい。それに加えて、「~に基づく」という言い回しは、排他的な一連の要素を伝えることを必ずしも意図されていないと理解できるが、代わりに、ここでもまた少なくとも一部は文脈に応じて、必ずしも明示的に記述されていない追加の要素の存在を許容し得る。
本開示の英語原文における「on」、「above」、および「over」の意味は、「on」が何かの「上に直接」を意味するだけでなく、間に中間特徴または層を備える何かの「上に」という意味を含むように、最も広い意味で解釈されるべきであることは容易に理解されるべきである。さらに、英語原文中の「above」または「over」は、何かより「上」または何かの上に被さる形で「上」を意味するだけでなく、間に中間特徴または層を備えずに何かより「上」または被さる形で「上」にある(すなわち、何かの上に直接ある)という意味を含むこともできる。
英語原文中の「beneath(下)」、「below(より下)」、「lower(下側)」、「above(より上)」、「upper(上側)」、および同様の語などの空間的相対語は、図に例示されているように、一方の要素または特徴と他方の要素または特徴との関係を記述する際に記述を容易にするために本明細書で使用され得る。空間的相対語は、図に示されている配向に加えて使用またはプロセス段階におけるデバイスの異なる配向を包含することを意図されている。装置は、他の何らかの方法で配向され(90度または他の向きに回転され)てよく、本明細書で使用される空間的相対的記述子も、同様に、しかるべく解釈されるものとしてよい。
本明細書で使用されているように、「基板」という語は、その後の材料層が加えられる材料を指す。基板は、「頂」面と「底」面とを備える。基板の頂面は、典型的には、半導体デバイスが形成される場所であり、したがって、半導体デバイスは、断りのない限り基板の頂部側に形成される。底面は、頂面とは反対側にあり、したがって、基板の底部側は、基板の頂部側とは反対側にある。基板それ自体にパターンを形成することができる。基板の上に加えられる材料は、パターニングされ得るか、またはパターンが形成されないままにすることができる。さらに、基板は、ケイ素、ゲルマニウム、ガリウムヒ素、リン化インジウムなどの、広範な半導体材料を含むことができる。代替的に、基板は、ガラス、プラスチック、またはサファイアウェハなどの、電気的に非導電体材料から作ることができる。
本明細書で使用されているように、「層」という語は、厚さを有する領域を含む材料部分を指す。層は、頂部側と底部側とを有し、層の底部は基板に相対的に近く、頂部側は基板から相対的に離れている。層は、下にあるもしくは上にある構造全体にわたって延在し得るか、または下にあるもしくは上にある構造の広がりより小さい広がりを有し得る。さらに、層が、連続構造の厚さより小さい厚さを有する均質または不均質連続構造の一領域であってよい。たとえば、層が、連続構造の頂面と底面との間、または頂面および底面のところの任意の一組の水平面の間に配置され得る。層は、水平、垂直、および/またはテーパー付き表面に沿って延在し得る。基板は層であってよく、1つもしくは複数の層を中に含んでいてもよく、および/またはその上に、それより上に、および/またはそれより下に1つもしくは複数の層を有することができる。層は、複数の層を含むこともできる。たとえば、相互接続層は、1つまたは複数の導電体層およびコンタクト層(接点、相互接続線、および/または垂直相互接続アクセス(VIA)が形成される)と1つまたは複数の誘電体層を含むことができる。
本開示において、説明を容易にするために、「ティア」は、垂直方向に沿って実質的に同じ高さの要素を指すために使用される。たとえば、ワード線およびその下のゲート誘電体層は、「ティア」と称され、ワード線およびその下の絶縁層は、まとめて「ティア」と称されてよく、実質的に同じ高さのワード線は、「ワード線のティア」と称されるか、または類似のものであってよく、以下同様であるものとしてよい。
本明細書で使用されているように、「公称的/公称的に」という言い回しは、所望の値より上および/または所望の値より下の値の範囲とともに、製品またはプロセスの設計段階において設定される、コンポーネントまたはプロセス段階に対する特性またはパラメータの所望の値もしくはターゲット値を指す。値の範囲は、製造プロセスまたは製造公差のわずかな変動によるものとしてよい。本明細書において使用されているように、「約」という語は、主題の半導体デバイスに関連付けられている特定の技術ノードに基づき変化し得る所与の量の値を示す。特定の技術ノードに基づき、「約」という語は、たとえば、値の10~30%以内(たとえば、値の±10%、±20%、または±30%)で変化する所与の量の値を示すことができる。
本開示において、用語「水平の/水平に/横の/外側の/横方向に」は、基板の外側表面に公称的に平行であることを意味し、「垂直の/垂直に」という言い回しは、基板の外側表面に対して公称的に垂直であることを意味する。
本明細書で使用されているように、「3Dメモリ」という用語は、メモリストリングが基板に関して垂直方向に延在するように横配向基板上にメモリセルトランジスタの垂直配向ストリング(本明細書ではNANDストリングなど「メモリストリング」と称される)を有する3次元(3D)半導体デバイスを指す。
図1は、本開示のいくつかの実施形態による、例示的な3次元(3D)メモリデバイス100の概略トップダウン図を例示している。3D NANDフラッシュメモリなどの3Dメモリデバイス100は、メモリチップ(パッケージ)、メモリダイ、またはメモリダイの任意の部分とすることができ、1つまたは複数のメモリプレーン101を含むことができ、その各々が複数のメモリブロック103を含むことができる。同一の同時並行処理が各メモリプレーン101において行われ得る。メガバイト(MB)単位のサイズを有することができるメモリブロック103は、消去動作を実行するための最小サイズのものである。図1に示されているように、例示的な3Dメモリデバイス100は、4つのメモリプレーン101を備え、各メモリプレーン101は6つのメモリブロック103を備える。各メモリブロック103は、複数のメモリセルを含むことができ、各メモリセルは、ビット線およびワード線などの相互接続を通してアドレス指定され得る。ビット線およびワード線は、垂直に(たとえば、それぞれロウおよびカラムに)に並べられ、金属線のアレイを形成することができる。ビット線およびワード線の方向は、図1において、「BL」および「WL」としてラベル付けされている。本開示では、メモリブロック103は、「メモリアレイ」または「アレイ」とも称される。メモリアレイは、記憶機能を実行する、メモリデバイス内のコア領域である。
3Dメモリデバイス100は、また、メモリプレーン101を囲む領域である周辺領域105を含む。周辺領域105は、メモリアレイの機能、たとえば、ページバッファ、ロウおよびカラムデコーダ、およびセンスアンプをサポートするための多くのデジタル、アナログ、および/または混合信号回路を含む。周辺回路は、当業者にとっては明らかなように、トランジスタ、ダイオード、コンデンサ、抵抗器などの、能動および/または受動半導体デバイスを使用する。
図1に例示されている、3Dメモリデバイス100内のメモリプレーン101の配置構成および各メモリプレーン101内のメモリブロック103の配置構成は、例としてのみ使用されており、本開示の範囲を限定するものではないことに留意されたい。
図2を参照すると、本開示のいくつかの実施形態による、図1における領域108の拡大トップダウン図が例示されている。3Dメモリデバイス100の領域108は、階段状領域210およびチャネル構造領域211を含み得る。チャネル構造領域211は、各々複数のスタック型メモリセルを含むメモリストリング212のアレイを含むことができる。階段状領域210は、階段状構造と、階段状構造上に形成されたコンタクト構造214のアレイとを含み得る。いくつかの実施形態において、チャネル構造領域211および階段状領域210を横切るWL方向に延在する複数のスリット構造216は、メモリブロックを複数のメモリフィンガー218に分割することができる。少なくともいくつかのスリット構造216は、チャネル構造領域211内のメモリストリング212のアレイの共通ソースコンタクト(たとえば、アレイ共通ソースまたはACS)として機能することができる。頂部セレクトゲートカット(top select gate cut)220は、たとえば、各メモリフィンガー218の真ん中に配設されて、メモリフィンガー218の頂部セレクトゲート(TSG)を2つの部分に分割し、それによって、メモリフィンガーを2つのメモリスライス224に分割することができ、同じワード線を共有するメモリスライス224内のメモリセルは、プログラム可能(読み出し/書き込み)メモリページを形成する。3D NANDメモリの消去動作はメモリブロックレベルで実行され得るが、読み出しおよび書き込み動作はメモリページレベルで実行され得る。メモリページはキロバイト(KB)単位のサイズを有することができる。いくつかの実施形態において、領域108は、製造中のプロセス変動制御のため、および/または追加の機械的支持のために、ダミーメモリストリング222も含む。
図3は、本開示のいくつかの実施形態による、例示的な3次元(3D)メモリアレイ構造300の一部の斜視図を例示している。メモリアレイ構造300は、基板330と、基板330の上を覆う絶縁フィルム331と、絶縁フィルム331の上を覆う下側セレクトゲート(LSG)332のティアと、LSG332の上に積層し交互導電体および誘電体層のフィルムスタック335を形成する、「ワード線(WL)」とも称される、制御ゲート333の複数のティアとを備える。制御ゲートのティアに隣接する誘電体層は、わかりやすくするために図3に示されていない。
各ティアの制御ゲートは、フィルムスタック335を貫通するスリット構造216-1および216-2によって分離される。メモリアレイ構造300は、制御ゲート333のスタックの上を覆う頂部セレクトゲート(TSG)334のティアも含む。TSG334、制御ゲート333、およびLSG332のスタックは、「ゲート電極」とも称される。メモリアレイ構造300は、メモリストリング212と、隣接するLSG332の間の基板330の一部分の中のドープされたソース線領域344をさらに備える。各メモリストリング212は、絶縁フィルム331と交互導電体および誘電体層のフィルムスタック335とを貫通するチャネルホール336を備える。メモリストリング212は、また、チャネルホール336の側壁上のメモリフィルム337と、メモリフィルム337の上を覆うチャネル層338と、チャネル層338に囲まれているコア充填フィルム339とを含む。制御ゲート333(たとえば、333-1、333-2、333-3)とメモリストリング212との交点に、メモリセル340(たとえば、340-1、340-2、340-3)が形成され得る。チャネル層338の一部がそれぞれの制御ゲートに応答し、メモリセルのチャネル層338とも称される。メモリアレイ構造300は、TSG334の上を覆うメモリストリング212と一緒に接続されている複数のビット線(BL)341をさらに備える。メモリアレイ構造300は、複数のコンタクト構造214を通してゲート電極と一緒に接続されている複数の金属相互接続線343も備える。フィルムスタック335のエッジは、ゲート電極の各ティアへの電気的接続を可能にするように階段の形状に構成されている。
図3では、例示することを目的として、制御ゲート333-1、333-2、および333-3の3つのティアが、TSG334の1つのティアおよびLSG332の1つのティアと一緒に図示されている。この例では、各メモリストリング212は、制御ゲート333-1、333-2、333-3にそれぞれ対応する、3つのメモリセル340-1、340-2、および340-3を含むことができる。いくつかの実施形態において、制御ゲートの数およびメモリセルの数は、記憶容量を増大させるために3つより大きくすることができる。メモリアレイ構造300は、他の構造、たとえば、TSGカット、共通ソースコンタクト(すなわち、アレイ共通ソース)、およびダミーメモリストリングも含むことができる。これらの構造は、簡単のため、図3には示されていない。
図4は、本開示のいくつかの実施形態による、3次元(3D)メモリデバイスを形成するための方法400を例示している。方法400に示されているプロセスステップは網羅されておらず、例示されているステップのいずれかの前、後、または間に他のステップも同様に実行され得ることは理解されるべきである。いくつかの実施形態において、方法400のいくつかのプロセスステップは、省かれているか、または簡単のためここでは説明されていない、他のプロセスステップも含まれ得る。いくつかの実施形態において、方法400のプロセスステップは、異なる順序で実行され、および/または変化し得る。
図5、図6A~図6B、図7から図16は、方法400による特定のプロセスステップにおける3Dメモリデバイスの例示的な構造を例示する。
図4を参照すると、ステップS405において、第1のエッチストップ層および第2のエッチストップ層が、基板上に配設され得ることがわかる。プロセスステップS405による、例示的な3Dメモリ構造500の断面図が図5に示されている。
図5に示されているように、3Dメモリ構造500は、基板330上に配設された第1のエッチストップ層550および第2のエッチストップ層552を含む。
基板330は、後続の構造を形成するためのプラットフォームを提供することができる。いくつかの実施形態において、基板330は、単結晶、多結晶、または単結晶半導体などの任意の好適な半導体材料を有する任意の適切な半導体基板であり得る。たとえば、基板330は、シリコン、シリコンゲルマニウム(SiGe)、ゲルマニウム(Ge)、ガリウムヒ素(GaAs)、窒化ガリウム、炭化ケイ素、III-V化合物、II-VI化合物、またはそれらの任意の組合せを含むことができる。
いくつかの実施形態において、基板330は、複合構造を有することができ、ハンドルウェハ545上に形成された半導体層547を含む。基板330は、半導体層547とハンドルウェハ545との間に配置された絶縁体層546も含む。ハンドルウェハ545は、ガラス、プラスチック、または別の半導体基板を含むことができる。半導体層547は、任意の好適な単結晶、多結晶、または単結晶半導体、たとえば、シリコン、シリコンゲルマニウム(SiGe)、ゲルマニウム(Ge)、ガリウムヒ素(GaAs)、窒化ガリウム、炭化ケイ素、III-V化合物、II-VI化合物、またはこれらの任意の組合せを含むことができる。絶縁体層546は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、またはこれらの任意の組合せを含むことができる。いくつかの実施形態において、絶縁体層546は酸化ケイ素であり、犠牲酸化ケイ素層とも称される。いくつかの実施形態において、半導体層547は多結晶シリコンであり、犠牲多結晶シリコン層とも称される。いくつかの実施形態において、基板330は、シリコンオンインシュレータ(SOI)、ゲルマニウムオンインシュレータ(GOI)、またはシリコンゲルマニウムオンインシュレータ(SGOI)とすることができ、半導体層547は、結晶シリコン、結晶ゲルマニウム、または結晶シリコンゲルマニウムとすることができる。
いくつかの実施形態において、半導体層547は、化学気相成長法(CVD)、物理気相成長法(PVD)、プラズマ助長CVD(PECVD)、高速熱化学気相成長法(RTCVD)、低圧化学気相成長法(LPCVD)、スパッタリング、有機金属化学気相成長法(MOCVD)、原子層堆積法(ALD)、高密度プラズマCVD(HDP-CVD)、スパッタリング、蒸着、および/またはこれらの組合せなどの堆積法を使用することによって、絶縁体層546およびハンドルウェハ545上に配設され得る。いくつかの実施形態において、半導体層547は、ウェハボンディング、SIMOXなどを通じて、絶縁体層546およびハンドルウェハ545上に形成され得る。
基板330の前面330fは、本明細書では、基板の「主表面」または「頂面」とも称される。基板330の前面330f上に材料の層が配設され得る。「最上」または「上」層は、基板の前面330fから最も遠いまたはより遠い層である。「最下」または「下」層は、基板の前面330fに最も近いまたはより近い層である。
プロセスステップS405において、第1のエッチストップ層550は、基板330の前面330f上に形成され、第2のエッチストップ層552は、第1のエッチストップ層550上に形成され得る。いくつかの実施形態において、第1のエッチストップ層550および第2のエッチストップ層552は、基板330の半導体層547上に形成され得る。第1のエッチストップ層550および第2のエッチストップ層552は、基板330の前面330fに平行な横方向に延在する。
第1のエッチストップ層550および第2のエッチストップ層552は、後続のエッチングプロセスにおいてエッチストップ層として使用することができる。第1のエッチストップ層550および第2のエッチストップ層552は、CVD、PVD、ALD、スパッタリング、蒸着、および/またはこれらの任意の組合せなどの薄膜堆積プロセスによって配設され得る。いくつかの実施形態において、第1のエッチストップ層550は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOSなどを含むことができる。いくつかの実施形態において、第2のエッチストップ層552は、非晶質または多結晶シリコンを含むことができる。第1のエッチストップ層550および第2のエッチストップ層552は、エッチングされるべき材料に関して所定のエッチング選択性(すなわち、エッチング速度の比)を有する任意の好適な材料を含むことができることに留意されたい。
図4を参照すると、プロセスステップS410において、交互誘電体スタックが、基板の上を覆う第2のエッチストップ層上に配設され得ることがわかる。プロセスステップS415において、階段状構造が交互誘電体スタック内に形成され得る。プロセスステップS420において、絶縁層が基板の上に配設され、階段状構造および交互誘電体スタックを覆うことができる。プロセスステップS405~S420による、例示的な3Dメモリ構造600の断面図が図6Aに示されている。
図6Aに示されているように、3Dメモリ構造600は、第2のエッチストップ層552上に交互に積層された第1の誘電体層656および第2の誘電体層658を有する交互誘電体スタック654を含む。
いくつかの実施形態において、交互誘電体スタック654は、基板330の前面330fに垂直な垂直方向(すなわち、z方向)に沿って交互に積層された複数の誘電体対を含み、各誘電体層対は、第1の誘電体層656(「誘電体層」とも称される)と、第1の誘電体層656と異なる第2の誘電体層658(「犠牲層」とも称される)とを含む。交互誘電体スタック654は、基板330の前面330fに平行な横方向に延在する。
交互誘電体スタック654において、第1の誘電体層656および第2の誘電体層658は、基板330に垂直な垂直方向に交互に並ぶ。言い換えると、各第2の誘電体層658は、2つの第1の誘電体層656の間に挟装され、各第1の誘電体層656は、2つの第2の誘電体層658(最下層および最上層を除く)の間に挟装され得る。
交互誘電体スタック654の形成は、第1の誘電体層656を、各々同じ厚さを有するように、または異なる厚さを有するように、配設することを含むことができる。第1の誘電体層656の例示的な厚さは、10nmから500nmの範囲内、好ましくは約25nmであり得る。同様に、第2の誘電体層658は、各々同じ厚さを有するか、または異なる厚さを有することができる。第2の誘電体層658の例示的な厚さは、10nmから500nmの範囲内、好ましくは約35nmであり得る。図6Aの誘電体層対の数は例示のみを目的とする数であり、任意の好適な数の層が交互誘電体スタック654内に含まれ得ることは理解されるべきである。
いくつかの実施形態において、第1の誘電体層656は、任意の好適な絶縁材料、たとえば、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、TEOS、またはF-、C-、N-、および/またはH-が取り込まれた酸化ケイ素を含む。第1の誘電体層656は、high-k誘電体材料、たとえば、酸化ハフニウム、酸化ジルコニウム、酸化アルミニウム、酸化タンタル、または酸化ランタンフィルムも含むことができる。いくつかの実施形態において、第1の誘電体層656は、上記の材料の任意の組合せであり得る。
第1の誘電体層656の形成は、化学気相成長法(CVD)、物理気相成長法(PVD)、プラズマ助長CVD(PECVD)、高速熱化学気相成長法(RTCVD)、低圧化学気相成長法(LPCVD)、スパッタリング、有機金属化学気相成長法(MOCVD)、原子層堆積法(ALD)、高密度プラズマCVD(HDP-CVD)、スパッタリング、蒸着、熱酸化、窒化物形成、他の好適な堆積法、および/またはこれらの組合せなどの、任意の好適な堆積方法を含むことができる。
いくつかの実施形態において、第2の誘電体層658は、第1の誘電体層656と異なり、第1の誘電体層656に関して選択的に除去され得る任意の好適な材料を含む。たとえば、第2の誘電体層658は、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、TEOS、多結晶シリコン、多結晶ゲルマニウム、多結晶ゲルマニウムシリコン、およびこれらの任意の組合せを含むことができる。いくつかの実施形態において、第2の誘電体層658は、非晶質シリコンまたは非晶質ゲルマニウムなどの非晶質半導体材料も含む。第2の誘電体層658は、CVD、PVD、ALD、スパッタリング、蒸着、熱酸化もしくは窒化、またはそれらの任意の組合せなどの、第1の誘電体層656に類似する技術を使用して配設され得る。
いくつかの実施形態において、第1の誘電体層656は酸化ケイ素であってよく、第2の誘電体層658は窒化ケイ素であってよい。
いくつかの実施形態において、交互誘電体スタック654は、第1の誘電体層656および第2の誘電体層658に加わる層を含むことができ、異なる材料および/または異なる厚さで作ることができる。
図6Aに示されているように、3Dメモリ構造600は、階段状領域210内の交互誘電体スタック654に形成された複数の階段状ステップ659を有する階段状構造657も含む。階段状ステップ659、または「階段状層」は、基板表面330fに平行な表面において同じ横方向寸法を有する層スタックを指す。階段状ステップ659の各々は、図6Aに示されている横方向寸法「a」で、その下の階段状ステップよりも短い長さで終端する。
いくつかの実施形態において、階段状ステップ659の各々は、第1の誘電体層656および第2の誘電体層658の1つの対を含む。いくつかの実施形態において、階段状ステップ659の各々は、第1の誘電体層656および第2の誘電体層658の2つまたはそれ以上の対を含むことができる。図6Aに示されているように、階段状ステップ659の各々は、第1の誘電体層656および第2の誘電体層658の1つの対を含み、第2の誘電体層658は第1の誘電体層656の上にある。階段状ステップ659の各々は、交互誘電体スタック654の端部において第2の誘電体層658の一部を露出させる。
階段状構造657は、交互誘電体スタック654上に反復エッチトリムプロセスを適用することによって形成され得る。エッチトリムプロセスは、エッチングプロセスとトリミングプロセスとを含む。エッチングプロセスにおいて、表面が露出されている階段状ステップ659の一部が除去され得る。階段状ステップ659の残りの部分は、階段状ステップの上側レベルによって覆われているか、またはパターニングマスクによって覆われているかのいずれかであり、エッチングされない。エッチング深さは、階段状ステップ659の厚さである。いくつかの実施形態において、階段状ステップ659の厚さは、第1の誘電体層656および第2の誘電体層658の1つの対の厚さである。第1の誘電体層656に対するエッチングプロセスは、第2の誘電体層658の上で高い選択性を有することができ、および/またはその逆もあり得る。したがって、下にある誘電体層対は、エッチストップ層として機能することができる。各層に対してエッチングプロセスを切り替えることによって、階段状ステップ659は、1つのエッチングサイクル中にエッチングされ得る。そして、その結果、階段状ステップ659の1つが、各エッチングトリムサイクルの間に形成され得る。
いくつかの実施形態において、階段状ステップ659は、反応性イオンエッチング(RIE)または他の乾式エッチングプロセスなどの異方性エッチングを使用してエッチングされ得る。いくつかの実施形態において、第1の誘電体層656は酸化ケイ素である。この例では、酸化ケイ素のエッチングは、フッ素系ガス、たとえば、炭素-フッ素(CF4)、ヘキサフルオロエタン(C2F6)、CHF3、またはC3F6および/または他の任意の好適なガスを使用するRIEを含むことができる。いくつかの実施形態において、酸化ケイ素層は、フッ化水素酸またはフッ化水素酸とエチレングリコールとの混合物などの、湿式化学によって除去され得る。いくつかの実施形態において、時限式エッチングアプローチが使用され得る。いくつかの実施形態において、第2の誘電体層658は窒化ケイ素である。この例では、窒化ケイ素のエッチングは、O2、N2、CF4、NF3、Cl2、HBr、BCl3、および/またはこれらの組合せを使用するRIEを含むことができる。単層スタックを除去するための方法およびエッチャントは、本開示の実施形態によって制限されるべきではない。
トリミングプロセスは、パターニングマスクが横方向にプルバックされ得るようにパターニングマスク上に適切なエッチングプロセス(たとえば、等方性ドライエッチングまたはウェットエッチング)を施すことを含む。横方向プルバック寸法は、階段状構造657の各ステップの横方向の寸法「a」を決定する。パターニングマスクをトリミングした後、最上階段状ステップ659の一部分が露出され、最上階段状ステップ659の他の部分はパターニングマスクによって覆われたままになる。エッチトリムプロセスの次のサイクルは、エッチングプロセスから再開する。いくつかの実施形態において、パターニングマスクトリミングプロセスは、O2、Ar、N2などを使用するRIEなどの乾式エッチングを含むことができる。3Dメモリ構造600における階段状構造の数および誘電体層対の数は、本明細書の例に限定されないことに留意されたい。
図6Aに示されているように、3Dメモリ構造600は、基板の上に配設され、階段状構造657、交互誘電体スタック654、および第2のエッチストップ層552の一部を覆う絶縁層660も含む。絶縁層660は、任意の好適な絶縁材料、たとえば、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、TEOS、スピンオングラス、炭素ドープ酸化物(CDOまたはSiOCまたはSiOC:H)などのlow-k誘電体材料、またはフッ素ドープ酸化物(SiOF)などを含むことができる。絶縁層660は、CVD、PVD、ALD、スパッタリング、蒸着などによって配設され得る。いくつかの実施形態において、絶縁層660は、階段状構造760および交互誘電体スタック654の上に平面状頂面を有することができる。絶縁層660は、CMPおよび/またはRIEエッチバックを使用して平坦化され得る。
いくつかの実施形態において、絶縁層660を配設する前に、バリア層(図6Aに図示せず)が階段状構造および交互誘電体スタック上に配設され得る。バリア層は、階段状構造657および交互誘電体スタック654を外側表面および垂直側壁の両方の上で覆うことができる。外側表面および垂直側壁上のバリア層は、同じ厚さを有するか、または異なる厚さを有することができる。バリア層は、階段状ステップ上にコンタクト構造を形成するためのエッチストップとして機能することができる。
プロセスステップS420の完了時に、階段状構造657は、階段状領域210内に形成され、これは後続のプロセスにおいてワード線への電気接点を形成するために使用され得る。周辺領域105では、絶縁層660が第1/第2のエッチストップ層550/552および基板330を覆い、周辺回路への電気接点は、後続のプロセスにおいて形成され得る。いくつかの実施形態において、周辺デバイスは、基板330の前面330f上の周辺領域105内に形成され得る。周辺デバイスは、任意の好適な半導体デバイス、たとえば、金属酸化膜半導体電界効果トランジスタ(MOSFET)、ダイオード、抵抗器、キャパシタなどを含むことができる。周辺デバイスは、メモリコアの記憶機能をサポートするデジタル、アナログおよび/または混合信号回路、たとえば、ロウおよびカラムデコーダ、ドライバ、ページバッファ、センスアンプ、タイミング、および制御の設計において使用され得る。
図6Bは、本開示のいくつかの実施形態による、周辺領域105内の例示的な周辺回路600Bを例示している。周辺回路600Bは、図6Aに示されている3Dメモリ構造600の一部であり得る。周辺回路600Bは、交互誘電体スタック654が配設される前に形成され得る。周辺回路600Bは、階段状構造657が形成された後に形成することもできる。周辺領域105内に周辺回路600Bを形成し、階段状領域210内に階段状構造657を形成する順序は、上で説明されている例に限定されないことに留意されたい。
周辺回路600Bは、基板330(たとえば、半導体層547)の前面上に1つまたは複数の周辺デバイス50を備えることができる。周辺デバイス50は、周辺デバイス50の全体または一部が基板330内(たとえば、基板330の前面330fの下)に形成され、および/または基板330上に直接形成される、基板330「上に」形成され得る。周辺デバイス50は、任意の好適な半導体デバイス、たとえば、金属酸化膜半導体電界効果トランジスタ(MOSFET)、バイポーラ接合トランジスタ(BJT)、ダイオード、抵抗器、キャパシタ、インダクタなどを含むことができる。半導体デバイスのうち、p型および/またはn型MOSFET(すなわち、CMOS)は、論理回路設計において広く実装されており、本開示における周辺デバイス50の例として使用される。
周辺デバイス50は、pチャネルMOSFETまたはnチャネルMOSFETのいずれかであってよく、限定はしないが、シャロートレンチアイソレーション(STI)52によって囲まれた能動デバイス領域、n型またはp型ドーピングを有する能動デバイス領域内に形成されたウェル54、ゲート誘電体、ゲート導電体および/またはゲートハードマスクを含むゲートスタック56を含むことができる。周辺デバイス50は、ソース/ドレイン延長部分および/またはハロー領域(図6Bに図示されていない)、ゲートスペーサ58、およびゲートスタックの各側に配置するソース/ドレイン60も含むことができる。周辺デバイス50は、ソース/ドレインの頂部にシリサイドコンタクト領域(図示せず)をさらに含むことができる。他の知られているデバイスも基板330上に形成され得る。周辺デバイス50の構造および製造方法は、当業者に知られており、全体として本明細書に組み込まれている。
周辺デバイス50は、平面状能動デバイス領域(図6Bに示すような)を有する基板330上に形成することができ、MOSFETのチャネルおよび電流フローの方向は、基板330の前面330fに平行である。いくつかの実施形態において、周辺デバイス50は、3D能動デバイス領域、たとえば「FIN」のような形状のいわゆる「FINFET」(図示せず)は基板330上に形成されるものとしてよく、MOSFETのゲートスタックはFINの周囲に巻き付けられ、MOSFETのチャネルはFINの3つの側面(頂部およびゲート下の2つの側壁)に沿って位置する。FINFETデバイスの構造および方法は当業者に知られており、本開示ではこれ以上説明されない。
いくつかの実施形態において、周辺回路600Bは、周辺デバイス50より上に周辺相互接続層62を備え、それにより異なる周辺デバイス50と外部デバイス(たとえば、電源、別のチップ、I/Oデバイスなど)との間の電気的接続を提供することができる。いくつかの実施形態において、周辺相互接続層62は絶縁層660内に形成され得る。いくつかの実施形態において、周辺相互接続層62は、図6Aの絶縁層660とは異なる絶縁層内に形成され得る。
周辺相互接続層62は、1つまたは複数の相互接続構造、たとえば、1つまたは複数の垂直コンタクト構造64および1つまたは複数の横方向導電ライン66を含むことができる。コンタクト構造64および導電ライン66は、広義には、ミドルオブライン(MOL)相互接続およびバックエンドオブライン(BEOL)相互接続などの任意の好適なタイプの相互接続を含むことができる。周辺回路600Bのコンタクト構造64および導電ライン66は、タングステン(W)、コバルト(Co)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケル、シリサイド(WSix、CoSix、NiSix、AlSixなど)、金属合金、またはこれらの任意の組合せなどの任意の好適な導電体材料を含むことができる。導電体材料は、化学気相成長法(CVD)、プラズマ助長CVD(PECVD)、物理気相成長法(PVD)、原子層堆積法(ALD)、電気メッキ、無電解メッキ、スパッタリング、蒸着、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスによって堆積され得る。
図6Bでは、2つの導電レベル70-1および70-2(「金属レベル」とも称される)が一例として例示されており、各金属レベル70(たとえば、70-1または70-2)は、コンタクト構造64および導電ライン66を含む。同じ金属レベルの導電ライン66は、基板330から同じ距離のところに配置される。周辺回路600Bに対する金属レベル70の数は限定されず、3Dメモリの性能に対して最適化された任意の数であってよい。
周辺相互接続層62は、周辺回路600Bの底部から頂部まで金属レベル70を積層することによって形成され得る。図6Bの周辺回路600Bの例では、底部金属レベル70-1が最初に形成され、次いで上側金属レベル70-2が底部金属レベル70-1の上に形成され得る。
いくつかの実施形態において、周辺回路600Bは、1つまたは複数の基板コンタクト72も含み、基板接点72は、基板330への電気的接続を提供する。基板コンタクト72は、垂直コンタクト構造64および横方向導電ライン66の複数のティアを有する1つまたは複数の導電レベル70を含むことができる。図6Bにおいて、コンタクト構造および導電ラインの1つのティアを有する基板コンタクト72が一例として示されており、基板コンタクト72の垂直コンタクト構造は、絶縁層660を貫通し、基板330と電気的に接触する。
しかしながら、周辺デバイス50はMOSFETに限定されない。他のデバイス、たとえば、ダイオード、抵抗器、キャパシタ、インダクタ、BJTなどの構造は、異なるマスク設計およびレイアウトを通してMOSFET製造時に同時に形成され得る。MOSFET以外のデバイスを形成するために、たとえば、異なるドーパントプロファイル、膜厚さまたは材料スタックを得るためのプロセスなどの、プロセスステップがMOSFETのプロセスフローにおいて追加されるかまたは修正され得る。いくつかの実施形態において、MOSFET以外の周辺デバイス50は、また、特定の回路要件を達成するために、追加の設計および/またはリソグラフィマスクレベルにより製造され得る。周辺デバイス50は、周辺回路600Bの動作のための任意のデジタル、アナログ、および/または混合信号回路を形成するために使用され得る。周辺回路600Bは、たとえば、ロウ/カラムデコード、タイミングおよび制御、メモリアレイのデータの読み出し、書き込み、および消去などを実行することができる。
図4を参照すると、プロセスステップS425で、複数のチャネルホールおよび複数のダミーチャネルホールが、チャネル構造領域および階段状領域内にそれぞれ形成され得ることがわかる。メモリフィルムおよびチャネル層は、各チャネルホールの側壁上に配設され得る。プロセスステップS425による、例示的な3Dメモリ構造700の断面図が図7に示されている。
図7に示されているように、3Dメモリ構造700は、チャネル構造領域211内の複数のチャネルホール336を含む。複数のチャネルホール336は、絶縁層660および交互誘電体スタック654を垂直に貫通する。いくつかの実施形態において、複数のチャネルホール336は、第2のエッチストップ層552および第1のエッチストップ層550をさらに貫通し、基板330内に、たとえば半導体層547内に貫入する。
チャネルホール336を形成するために使用される技術は、フォトリソグラフィおよびエッチングなどのプロセスを含むことができる。チャネルホール336を形成するためのエッチングプロセスは、ドライエッチング、ウェットエッチング、またはこれらの組合せも含むことができる。いくつかの実施形態において、交互誘電体スタック654は、反応性イオンエッチング(RIE)などの異方性エッチングを使用してエッチングされ得る。いくつかの実施形態において、フッ化炭素(CF4)、ヘキサフルオロエタン(C2F6)、CHF3、C3F6、Cl2、BCl3などのフッ素系または塩素系ガス、またはそれらの任意の組合せが使用され得る。第1の誘電体層656および第2の誘電体層658をエッチングするための方法およびエッチャントは、本開示の実施形態によって限定されるべきではない。いくつかの実施形態において、半導体層547は、チャネルホール336が絶縁体層546およびハンドルウェハ545内にそれ以上貫入しないようにチャネルホール336のエッチングプロセス中にエッチストップとして機能することができる。
チャネルホール336を形成した後、メモリフィルム337は、チャネルホール336の側壁に配設され得る。いくつかの実施形態において、メモリフィルム337は、トンネル層3373、ストレージ層3372(「チャージトラップ/ストレージ層」とも称される)、およびブロッキング層3371を含む複合層であってよい。いくつかの実施形態において、トンネル層3373、ストレージ層3372、およびブロッキング層3371は、チャネルホール336の中心からチャネルホール336の外側に向かう方向に沿って上記の順序で配置構成される。トンネル層3373は、酸化ケイ素、窒化ケイ素、またはこれらの任意の組合せを含むことができる。ブロッキング層3371は、酸化ケイ素、窒化ケイ素、高誘電率(high-k)誘電体、またはこれらの任意の組合せを含むことができる。ストレージ層3372は、窒化ケイ素、酸窒化ケイ素、シリコン、またはこれらの任意の組合せを含むことができる。いくつかの実施形態において、メモリフィルム337は、ONO誘電体(たとえば、酸化ケイ素を含むトンネル層3373、窒化ケイ素を含むストレージ層3372、および酸化ケイ素を含むブロッキング層3371)を含む。メモリフィルム337は、ALD、CVD、PVD、スパッタリング、または任意の他の好適なプロセスなどの薄膜堆積プロセスを使用することによって形成され得る。いくつかの実施形態において、メモリフィルム337の厚さは、約10nmから約50nmの範囲内とすることができる。
次に、チャネル層338およびコア充填フィルム339は、チャネルホール336内に配設されるものとしてよく、チャネル層338は、チャネルホール336の内側のメモリフィルム337の側壁を覆う。チャネル層338は、シリコンなどの任意の好適な半導体材料とすることができる。いくつかの実施形態において、チャネル層338は、非晶質、ポリシリコン、または単結晶シリコンとすることができる。チャネル層338は、限定はしないが、CVD、PVD、ALD、スパッタリング、蒸着、またはこれらの組合せを含む任意の好適な薄膜堆積プロセスによって形成され得る。いくつかの実施形態において、チャネル層338の厚さは、約10nmから約30nmの範囲内であり得る。
いくつかの実施形態において、コア充填フィルム339は、チャネルホール336を充填してチャネル構造761を形成するように配設され得る。いくつかの実施形態において、コア充填フィルム339の中央部は、1つまたは複数の継ぎ目860を備え得る。コア充填フィルム339は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、スピンオングラス、ホウ素またはリンドープ酸化ケイ素、炭素ドープ酸化物(CDOまたはSiOCまたはSiOC:H)、フッ素ドープ酸化物(SiOF)、またはこれらの任意の組合せとすることができる。コア充填フィルム339は、たとえば、ALD、PVD、CVD、スピンコーティング、スパッタリング、または任意の他の好適な膜堆積技術を使用することによって堆積され得る。コア充填フィルム339は、反復堆積およびエッチバックプロセスを使用することによっても形成され得る。エッチバックプロセスは、限定はしないが、ウェットエッチング、ドライエッチング、またはこれらの組合せを含むことができる。いくつかの実施形態において、機械的応力を低減するために、コア充填フィルム339に1つまたは複数の継ぎ目が形成され得る。
いくつかの実施形態において、3Dメモリ構造700は、チャネル構造761の頂部のところでチャネル頂部プラグ762も備える。チャネル頂部プラグ762は、チャネルホール336の内側のチャネル層338と電気的接触を形成することができる。チャネル頂部プラグ762は、非晶質または多結晶シリコンであってよく、金属、金属合金および/または金属シリサイド、たとえば、タングステン、チタン、タンタル、窒化タングステン、窒化チタン、窒化タンタル、ケイ化ニッケル、ケイ化コバルト、ケイ化タングステン、ケイ化チタン、またはこれらの組合せを含むことができる。チャネル頂部プラグ762は、リセスエッチングプロセスとその後に続く薄膜堆積とによって形成され得る。リセスエッチングプロセスは、ウェットエッチング、ドライエッチング、またはこれらの組合せを含む。薄膜堆積は、CVD、PVD、ALD、スパッタリング、または任意の他の好適なプロセスを含む。
いくつかの実施形態において、3Dメモリデバイス700は、チャネル構造761の底部にエピタキシャルプラグ(図示せず)も備えることができる。エピタキシャルプラグは、シリコン、シリコンゲルマニウム、ゲルマニウム、ガリウムヒ素、窒化ガリウム、III-V化合物、またはこれらの任意の組合せなどの任意の好適な半導体材料を含むことができる。エピタキシャルプラグは、基板330(たとえば、半導体層547)からエピタキシャル成長で成長させられ得る。いくつかの実施形態において、エピタキシャルプラグは、多結晶半導体材料、たとえば、多結晶シリコンを含むこともできる。いくつかの実施形態において、チャネルホール336の底部のところのメモリフィルム337の一部は、チャネル層338がエピタキシャルプラグと直接接触できるように除去され得る。
チャネル構造領域211内のチャネル構造761の数および配置構成は、実際の記憶要件に従って設計されるものとしてよく、図7に示されている例に限定されないことに留意されたい。図2に関して前に説明されているように、チャネル構造領域211は、3次元メモリの記憶機能を提供する。
図7に示されているように、3Dメモリ構造700は、階段状領域210内の複数のダミーチャネルホール(DCH)764も含む。DCH764は、絶縁層660、階段状構造657の一部(すなわち、交互誘電体スタック654の一部)、第2のエッチストップ層552および第1のエッチストップ層550を貫通し、基板330(たとえば、半導体層547)内に貫入する。DCH764の形成は、リソグラフィおよびエッチングを含み、エッチングプロセスは、ドライエッチング、ウェットエッチング、および/またはこれらの組合せを含むことができる。いくつかの実施形態において、DCH764に対するエッチングプロセスは、RIEなどの異方性エッチングを含む。
DCH764を形成した後、DCH充填材765は、DCH764の内側に配設され、それによりダミーチャネル構造763を形成することができる。DCH充填材765は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、high-k誘電体材料(Al2O3、HfO2、Ta2O3、ZrO2、La2O3など)、またはこれらの任意の組合せを含む。DCH充填材765は、CVD、ALD、PVD、スパッタリング、蒸着などの任意の好適な薄膜堆積技術によって配設され得る。いくつかの実施形態において、機械的応力を低減するために、DCH充填材765内に1つまたは複数の継ぎ目が形成され得る。階段状領域210内に形成されたダミーチャネル構造763は、後続のプロセスにおいて3Dメモリ構造に対する機械的支持を提供するように構成され得る。
いくつかの実施形態において、チャネル構造761およびダミーチャネル構造763は、共面表面を有するように平坦化され得る。平坦化プロセスは、RIEエッチバック、CMP、またはこれらの組合せを含む。
3Dメモリ構造700は、チャネル構造領域211内のチャネル構造761および階段状領域210内のダミーチャネル構造763を覆うように絶縁層660上に配設された、第1のキャッピング層766も含む。第1のキャッピング層766は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、またはこれらの組合せを含むことができる。第1のキャッピング層766は、CVD、PVD、ALD、スパッタリング、などによって堆積され得る。
図4を参照すると、プロセスステップS430において、交互誘電体スタック内にゲート線スリット(GLS)開口部が形成され得ることがわかる。プロセスステップS435において、交互誘電体スタック内の第2の誘電体層は、導電体層で置き換えられ、それにより交互導電体層および誘電体層のフィルムスタックを形成することができる。プロセスステップS440において、GLS充填材がGLS開口部の内側に配設されGLSを形成することができる。プロセスステップS430~S440による、例示的な3Dメモリ構造800の断面図が図8に示されている。
図8に示されているように、3Dメモリ構造800は、交互誘電体スタック654内に形成されたゲート線スリット(GLS)開口部869を含む。GLS開口部869は、絶縁層660および交互誘電体スタック654を貫通する。いくつかの実施形態において、GLS開口部869は、第2のエッチストップ層552および第1のエッチストップ層550も貫通し、基板330内に(たとえば半導体層547内に)さらに貫入する。GLS開口部869は、リソグラフィプロセスおよびエッチングプロセスによって形成され得る。エッチングプロセスは、任意の好適なドライエッチング、ウェットエッチング、および/またはこれらの組合せを含むことができる。後続のプロセスでは、GLS開口部869は、図2に例示されているようにスリット構造216を形成するために使用することができる。
プロセスステップS435において、交互誘電体スタック654および階段状構造657内の第2の誘電体層658(図7の)は、GLS開口部869を通して除去され、側方トンネルを形成することができる。側方トンネルは、隣接する第1の誘電体層656の間で横方向に延在することができる。本明細書において使用されている用語「横方向/側方/外側」は、基板330の頂面330fに平行な平面を意味することに留意されたい。交互誘電体スタック654内の第2の誘電体層658は犠牲層とも称され、第1の誘電体層656の間から選択的に除去され得る。言い換えると、第2の誘電体層658のエッチングプロセスは、第1の誘電体層656への影響を最小限度に抑えることができる。第2の誘電体層658は、等方性ドライエッチングおよび/またはウェットエッチングによって除去され得る。ドライ/ウェットエッチングで使用されるプラズマおよび/または化学薬品は、GLS開口部869から垂直方向および横方向に移動することができる。いくつかの実施形態において、第2の誘電体層658は窒化ケイ素であってよく、第1の誘電体層656は酸化ケイ素であってよい。この例では、第2の誘電体層658は、CF4、CHF3、C4F8、C4F6、およびCH2F2などの1つまたは複数のエッチャントを使用するRIEによって除去され得る。いくつかの実施形態において、第2の誘電体層658は、リン酸などのウェットエッチングを使用して除去することができる。
次に、導電体層870が、GLS開口部869を通して側方トンネルの内側に配設され得る。導電体層870は、隣接する第1の誘電体層656の間に配設され、導電体層870および第1の誘電体層656は、交互する導電体層および誘電体層のフィルムスタック335を形成することができる(図3のように)。
いくつかの実施形態において、導電体層870は、好適な導電体材料により側方トンネルを充填することによって形成され得る。導電体層870の導電体材料は、タングステン(W)、アルミニウム(Al)、チタン(Ti)、銅(Cu)、コバルト(Co)、ニッケル(Ni)、窒化チタン(TiN)、窒化タングステン(WN)、タンタル(Ta)、窒化タンタル(TaN)、AlTi、もしくはこれらの任意の組合せなどの金属または金属合金を含むことができる。いくつかの実施形態において、導電体層870の導電体材料は、多結晶シリコン、多結晶ゲルマニウム、多結晶ゲルマニウムシリコン、および任意の他の好適な材料、ならびに/またはこれらの組合せなどの多結晶半導体を含むこともできる。いくつかの実施形態において、多結晶材料は、ホウ素、リン、ヒ素、またはこれらの任意の組合せなどの任意の好適な種類のドーパントともに組み込まれ得る。いくつかの実施形態において、導電体層870は、また、非晶質シリコンなどの非晶質半導体であってもよい。いくつかの実施形態において、導電体材料は、化学気相成長法(CVD)(たとえば、LPCVD、PECVD、MOCVD、RTCVDなど)、物理気相成長法(PVD)、スパッタリング、蒸着、原子層堆積法(ALD)、またはこれらの任意の組合せなどの好適な堆積法を使用して配設され得る。いくつかの実施形態において、導電体層870は、CVDによって堆積されたタングステン(W)を含む。
上で説明されているように、第2の誘電体層658を導電体層870で置き換えることによって、交互誘電体スタック654は、交互する導電体層と誘電体層のフィルムスタック335に変化する。したがって、交互誘電体スタック654内に形成されたチャネル構造761は、メモリストリング212となり、メモリストリング212とフィルムスタック335との交差は、垂直方向に積層されたメモリセル340を形成する。ここでのフィルムスタック335は、置換法(すなわち、第2の誘電体層658を導電体層870で置き換えること)によって形成されているけれども、フィルムスタック335は、他のアプローチによって、たとえば、導電体層870および第1の誘電体層656を基板330の上に直接的に配設することによっても形成され得ることは理解されるべきである。
いくつかの実施形態において、導電体層870を配設する前に、ゲート誘電体層872は、側方トンネル内に配設することができる。ゲート誘電体層872は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、および/またはそれらの任意の好適な組合せを含むことができる。ゲート誘電体層872は、high-k誘電体材料、たとえば、酸化ハフニウム、酸化ジルコニウム、酸化アルミニウム、酸化タンタル、酸化ランタン、および/またはこれらの任意の組合せも含むことができる。ゲート誘電体層872は、CVD、PVD、および/またはALDなどの、1つまたは複数の好適な堆積プロセスによって配設され得る。
いくつかの実施形態において、導電体層870を配設する前に、ゲート接着層874は、ゲート誘電体層872上に配設され得る。ゲート接着層874は、ゲート誘電体層872と導電体層870との間の接着を促進するために使用することができる。ゲート接着層874は、たとえば、窒化タンタル(TaN)および/または窒化チタン(TiN)を含むことができる。
いくつかの実施形態において、GLS開口部869の側壁上の過剰な導電体材料を除去するために、エッチングおよび洗浄プロセスが使用され得る。そのようなものとして、フィルムスタック335の各導電体層870は、互いから電気的に絶縁され得る。いくつかの実施形態において、導電体層870は、GLS開口部869の側壁から後ろへ凹み得る。いくつかの実施形態において、第1のキャッピング層766の上の過剰な導電体材料も、たとえばCMPによって除去され得る。
次に、GLS充填材871が、GLS開口部869の内側に配設され、それにより図2~図3および図8に示されているように、スリット構造216(GLSとも称される)を形成することができる。GLS216は、絶縁層660、交互する導電体層および誘電体層のフィルムスタック335を垂直に貫通し、基板330内に貫入する。GLS充填材871は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、ホウ素またはリンドープ酸化ケイ素、炭素ドープ酸化物(CDOまたはSiOCまたはSiOC:H)、フッ素ドープ酸化物(SiOF)、またはこれらの任意の組合せを含むことができる。GLS充填材871は、たとえば、ALD、CVD(たとえば、PECVD、RTCVD、LPCVDなど)、PVD、スパッタリング、蒸着、または任意の他の好適な膜堆積技術を使用することによって堆積され得る。いくつかの実施形態において、GLS開口部869の外側のGLS充填材871は、エッチング(たとえば、RIE)および/またはCMPによって除去することができる。そのようなものとして、GLS216は、第1のキャッピング層766と同一平面上にあるものとしてよい。前述のように、GLS216は、メモリブロックを複数の機能ユニット(たとえば、メモリフィンガー)に分割することができる。GLS216は、また、チャネル構造領域211内で機械的支持を提供することができる。
いくつかの実施形態において、第2のキャッピング層868が、GLS216を覆うように第1のキャッピング層766上に配設され得る。第2のキャッピング層868は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、またはこれらの組合せを含むことができる。第2のキャッピング層868は、CVD、PVD、ALD、スパッタリング、などによって堆積され得る。
図4を参照すると、プロセスステップS445において、シリコン貫通電極(TSV)が周辺領域内に形成され得ることがわかる。プロセスステップS445による、例示的な3Dメモリ構造900の断面図が図9に示されている。
図9に示されているように、3Dメモリ構造900は、第2のキャッピング層868、第1のキャッピング層766、および絶縁層660を垂直に貫通する、TSV976を含む。いくつかの実施形態において、TSV976は、第2のエッチストップ層552および第1のエッチストップ層550も貫通し、基板330内に(たとえば半導体層547内に)さらに貫入する。いくつかの実施形態において、TSV976のうちの1つまたは複数は、周辺回路600B(図6Bに示す)内のコンタクト構造64、導電ライン66、基板コンタクト72のいずれかに電気的に接続され、周辺デバイス50への電気的接続を提供することができる。TSV976の数およびレイアウトは、しかるべく調整されるものとしてよく、図9に示されている例に限定されない。
TSV976を形成するために、TSV開口部が、リソグラフィおよびエッチングによって形成され得る。TSV976のエッチングプロセスは、ドライエッチング、ウェットエッチング、および/またはこれらの組合せを含むことができる。絶縁層660が酸化ケイ素であるときに、酸化ケイ素をエッチングする際に、化学エッチャント、たとえばCF4、CHF3、C2F6、C3F6、および/またはこれらの任意の組合せとともに異方性RIEを使用することができる。ここで挙げたエッチングプロセスおよび化学反応は例に過ぎず、したがって限定されるべきではない。次に、導電体材料が、TSV開口部の内側に配設され得る。TSV976は、任意の好適な導電体材料、たとえば、タングステン(W)、アルミニウム(Al)、銅(Cu)、コバルト(Co)、チタン(Ti)、タンタル(Ta)、窒化チタン(TiN)、窒化タンタル(TaN)、および/またはこれらの任意の組合せなどの金属もしくは金属化合物を含むことができる。金属または金属化合物は、CVD、PVD、ALD、スパッタリング、蒸着などの好適な堆積法を使用することによって配設され得る。
いくつかの実施形態において、TSV976は、円柱、立方体、または任意の他の形状に類似した形状を有することができる。いくつかの実施形態において、導電体材料を配設する前に、TSV界面層978が、好適な薄膜堆積技術、たとえば、CVD、PVD、ALD、スパッタリングなどによってTSV開口部の側壁に配設され得る。TSV界面層978は、TiN、TaNなどを含むことができる。
いくつかの実施形態において、TSV976の形成は、第2のキャッピング層868の上の過剰な導電体材料を除去するための平坦化プロセス、たとえばCMPを含むことができる。図9に示されているように、TSV976は、第2のキャッピング層868と同一平面上にあり得る。
チャネルホール336(またはメモリストリング212)、ダミーチャネルホール764(またはダミーチャネル構造763)、GLS216、およびTSV976は、基板330の内側に同じ深さまたは異なる深さを有することができることは理解されるべきである。
図4を参照すると、プロセスステップS450で、基板が除去され、基板内に貫入するメモリフィルムの一部が露出され得るように第1のエッチストップ層上で停止することができることがわかる。プロセスステップS450による、例示的な3Dメモリ構造1000の断面図が図10に示されている。
図9および図10に示されているように、3Dメモリ構造1000は、3Dメモリ構造900を180°回転させたものであり、基板330は、基板330の裏面(すなわち、前面330fの反対の、フィルムスタック335から遠く離れた側)から除去され得る。いくつかの実施形態において、基板330のハンドルウェハ545は、ウェハグラウンディング(wafer grounding)、CMP、および/またはドライ/ウェットエッチングなどのプロセスによって最初に除去され、絶縁体層546上で停止することができる。言い換えると、ハンドルウェハ545を除去するプロセスは、絶縁体層546に対して選択的であり得る。たとえば、ハンドルウェハ545のエッチング速度は、絶縁体層546のエッチング速度よりもかなり速くすることができる。次に、絶縁体層546は、たとえば、ドライエッチングまたはウェットエッチングプロセスによって除去され、半導体層547上で停止することができる。言い換えると、絶縁体層546を除去するプロセスは、半導体層547に対して選択的であり得る。たとえば、絶縁体層546のエッチング速度は、半導体層547のエッチング速度よりもかなり速くすることができる。その後、半導体層547は、ドライエッチングまたはウェットエッチングプロセスによって除去され、第1のエッチストップ層550上で停止することができる。言い換えると、半導体層547を除去するプロセスは、第1のエッチストップ層550に対して選択的であり得る。たとえば、半導体層547のエッチング速度は、第1のエッチストップ層550のエッチング速度よりもかなり速くすることができる。
いくつかの実施形態において、基板330を除去するおよび/または半導体層547を除去するプロセスは、メモリストリング212のメモリフィルム337(たとえば、ブロッキング層3371)に対しても選択的であり得る。したがって、基板330を除去した後に、基板330内に貫入するメモリフィルム337(たとえば、ブロッキング層3371)の一部が露出され得る。メモリフィルム337の露出部分を有するメモリストリング212は、フィルムスタック335の裏面(すなわち、基板330または第1のエッチストップ層550に近いフィルムスタック335の側)から第1のエッチストップ層550の外に突出する。同様に、基板330内に貫入する、TSV976の一部、ダミーチャネル構造763の一部、およびGLS216の一部は、基板330を除去した後に、フィルムスタック335の裏面から露出され得る。
エッチストップ層を追加し、選択エッチングプロセスを使用することによって、基板を除去するためのプロセス均一性が大幅に改善され得る。
図4を参照すると、プロセスステップS455で、第1のエッチストップ層およびメモリフィルムの露出部分は、チャネル層の一部を露出させるように除去され得ることがわかる。プロセスステップS455による、例示的な3Dメモリ構造1100の断面図が図11に示されている。
図10および図11に示されているように、第1のエッチストップ層550は、3Dメモリ構造1000から除去され、3Dメモリ構造1100を形成することができる。第1のエッチストップ層550は、たとえば、ドライエッチングまたはウェットエッチングプロセスによって除去され、エッチングプロセスは、第2のエッチストップ層552で停止することができる。言い換えると、第1のエッチストップ層550を除去するプロセスは、第2のエッチストップ層552に対して選択的であり得る。たとえば、第1のエッチストップ層550のエッチング速度は、第2のエッチストップ層552のエッチング速度よりもかなり速くすることができる。
いくつかの実施形態において、第1のエッチストップ層550のエッチングプロセスは、メモリフィルム337の露出部分(すなわち、基板330内に貫入する部分)を除去することもでき、またメモリストリング212のチャネル層338に対しても選択的であり得る。言い換えると、メモリフィルム337の露出部分は除去され下にあるチャネル層338上に停止することができる。たとえば、メモリフィルム337のエッチング速度は、チャネル層338のエッチング速度よりもかなり速くすることができる。そのようなものとして、メモリストリング212のチャネル層338の一部は、フィルムスタック335の裏面から露出され得る。
いくつかの実施形態において、メモリフィルム337は、フィルムスタック335の裏面からのチャネル層338の露出部分がより大きくなるようにフィルムスタック335内にさらにプルバックされ得る(または凹み得る)。
いくつかの実施形態において、第1のエッチストップ層550およびメモリフィルム337のエッチングプロセスは、ダミーチャネル構造763、GLS216およびTSV976に対しても選択的であり得る。したがって、ダミーチャネル構造763の一部、GLS216の一部、およびTSV976の一部は、フィルムスタック335の裏面から露出され得る。ダミーチャネル構造763の露出部分、GLS216の露出部分、およびTSV976の露出部分は、フィルムスタック335の裏面から第2のエッチストップ層552から外に突出する。
第2のエッチストップ層552を実装することによって、メモリフィルム337の露出部分は、下にあるフィルムスタック335に影響を及ぼすことなくフィルムスタックの裏面から制御可能に除去され得る。チャネル層338の露出部分の均一性も改善され得る。メモリフィルム337を第2のエッチストップ層552の下(すなわち、フィルムスタック335中)に制御可能に陥凹させることによって、チャネル層338の露出部分は、制御され得る。
図4を参照すると、プロセスステップS460において、アレイ共通ソース(ACS)は、第2のエッチストップ層の裏面に形成され得ることがわかる。プロセスステップS460による、例示的な3Dメモリ構造1200の断面図が図12に示されている。
図12に示されているように、3Dメモリ構造1200は、第2のエッチストップ層552の裏面上に配設されたACS1280を含み、第2のエッチストップ層552の裏面は、フィルムスタック335からより遠く離れた側である。いくつかの実施形態において、ACS1280は、1つまたは複数の多結晶シリコン層を含む。
図12に例示されているように、ACS1280は、第1の多結晶シリコン層1281および第2の多結晶シリコン層1282を含む。CVD、PVD、ALD、スパッタリング、蒸着、またはこれらの任意の組合せなどの薄膜堆積プロセスが使用され、それにより第2のエッチストップ層552の裏面上に第1の多結晶シリコン層1281を堆積し、メモリストリング212、GLS216、ダミーチャネル構造763、およびTSV976の露出部分を覆うことができる。次に、第1の多結晶シリコン層1281は、たとえばイオン注入プロセスを使用してp型またはn型ドーパントでドープされ、続いてアニールプロセス(たとえば、レーザーアニーリング、急速熱アニーリングなど)を使用してドーパントを活性化し、イオン注入プロセスによって引き起こされた欠陥を減らすことができる。同様に、第2の多結晶シリコン層1282は、類似の技術(たとえば、イオン注入およびアニーリング)を使用して、裏面から第1の多結晶シリコン層1281上に配設され得る。第2の多結晶シリコン層1282は、第1の多結晶シリコン層1281とは異なるドーパントおよび/またはドーパント濃度を有することができる。いくつかの実施形態において、ACS1280は、堆積(たとえば、CVD、PVD、ALDなど)の実行時にin-situドープされた1つまたは複数の多結晶シリコン層を含むことができる。
3Dメモリ構造1200において、ACS1280は、チャネル層338の露出部分に接触し、それによって、メモリストリング212のチャネル層338への電気的接続を提供することができる。前に説明されているように、チャネル層338の露出部分は、メモリフィルム337を陥凹させることによって増やされ得る。また、ACS1280とチャネル層338との間の接触面積も、増大され得る。したがって、メモリストリング212のACS1280とチャネル層338との間の接触抵抗が低減され、3Dメモリの性能が改善され得る。ACSは、複数のメモリストリング212のチャネル層338に接触することができることに留意されたい。いくつかの実施形態において、ACSは、同じメモリブロック内のすべてのメモリストリング212への電気的接続を提供することができる。いくつかの実施形態において、ACS1280は、ダミーチャネル構造763、GLS216、およびTSV976の露出部分を覆うこともできる。
いくつかの実施形態において、第2のエッチストップ層552は、フィルムスタック335とACS1280との間のスペーサとしても使用することもできる。第2のエッチストップ層552の厚さを調整することによって、ACS1280と導電体層870(すなわち、図3のようなワード線333)との間の距離は、効果的に制御され得る。
図4を参照すると、プロセスステップS465において、誘電体充填層がACSの裏面に配設され得ることがわかる。プロセスステップS465による、例示的な3Dメモリ構造1300の断面図が図13に示されている。
図13に示されているように、誘電体充填層1384は、ACS1280の裏面(すなわち、フィルムスタック335からより遠く離れている側)に配設され得る。誘電体充填層1384は、任意の好適な薄膜堆積技術、たとえば、CVD(たとえば、高密度プラズマ化学気相成長)、PVD、ALD、スパッタリング、蒸着、またはこれらの組合せによって配設され得る。第1の誘電体充填層1384は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、などを含むことができる。いくつかの実施形態において、CMPプロセスが、誘電体充填層1384の裏面(フィルムスタック335からより遠く離れている側)を平坦化するために使用され得る。
図4を参照すると、プロセスステップS470において、第1のコンタクト開口部および第2のコンタクト開口部が、誘電体充填層に形成され、それによりACSおよびTSVをそれぞれ露出させることができることがわかる。プロセスステップS470による、例示的な3Dメモリ構造1400の断面図が図14に示されている。
図14に示されているように、3Dメモリ構造1400は、誘電体充填層1384に形成された第1のコンタクト開口部1490を含み、それによりACS1280の少なくとも一部を露出させる。第1のコンタクト開口部1490は、誘電体充填層1384およびACS1280を貫通する。いくつかの実施形態において、第1のコンタクト開口部1490は、第2のエッチストップ層552を貫通して絶縁層660内に貫入する。第1のコンタクト開口部1490は、フィルムスタック335の裏面からTSV976を露出させ、TSV976を囲むACS1280の一部を除去して、TSV976をACS1280から電気的に絶縁する。第1のコンタクト開口部1490は、リソグラフィおよびドライエッチングまたはウェットエッチングを含むエッチングプロセスによって形成され得る。
いくつかの実施形態において、絶縁スペーサ1491が、第1のコンタクト開口部1490の側壁に形成され得る。絶縁スペーサ1491は、任意の好適な絶縁材料、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOSなどを含むことができる。絶縁スペーサ1491は、薄膜堆積(たとえば、CVD、PCV、ALD、スパッタリングなど)および異方性エッチング(たとえば、RIE)によって形成され得る。
3Dメモリ構造1400は、誘電体充填層1384内に形成された第2のコンタクト開口部1492も含む。第2のコンタクト開口部1492は、誘電体充填層1384を貫通してACS1280を露出させる。第2のコンタクト開口部1492は、リソグラフィおよびドライエッチングまたはウェットエッチングを含むエッチングプロセスによって形成され得る。第1のコンタクト開口部1490とは異なり、第2のコンタクト開口部1492に対するエッチングプロセスは、ACS1280を除去しない。いくつかの実施形態において、第1のコンタクト開口部1490および第2のコンタクト開口部1492は、異なるリソグラフおよびエッチングプロセスを通して順次形成され得る。いくつかの実施形態において、第1のコンタクト開口部1490は、第2のコンタクト開口部1492の前に形成され、またはその逆も可能である。図14は、1つの第1のコンタクト開口部1490および1つの第2のコンタクト開口部1492のみを例示していることに留意されたい。しかしながら、第1のコンタクト開口部1490および第2のコンタクト開口部1492の数および配置構成はそのように限定されず、必要に応じて任意の適切な数または配置構成とすることができる。
誘電体充填層1384内に第1のコンタクト開口部1490および第2のコンタクト開口部1492を形成した後、層間誘電体(ILD)層1486が形成され得る。ILD層1486(「金属間誘電体(IMD)層」とも称される)は、1つまたは複数の絶縁材料(たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、ポリイミド、スピンオングラスなど)を含むことができ、後続のプロセスにおいて形成される金属相互接続に対する絶縁を提供することができる。
いくつかの実施形態において、ILD層1486は、バックサイドディープトレンチアイソレーション(BDTI)1488も含む。BDTI1488は、任意の好適な誘電体材料、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOSなどを含むことができる。いくつかの実施形態において、BDTI1488は、誘電体充填層1384および絶縁スペーサ1491とは異なる誘電体材料、たとえば、高誘電率材料(high-k誘電体)を含むこともできる。
いくつかの実施形態において、BDTI1488は、絶縁スペーサ1491を堆積する前に、第1のコンタクト開口部1490の側壁に堆積され得る。この例では、BDTI1488は、薄膜堆積とそれに続く異方性エッチングによって形成され得る。いくつかの実施形態では、BDTI1488は、誘電体充填層1384のどこにでも形成され得る。BDTI1488は、誘電体充填層1384およびACS1280を貫通することができ、さらに絶縁層660内に貫入することができる。この例では、BDTI1488は、エッチングプロセス(たとえば、ドライ/ウェットエッチング)とその後に続く薄膜堆積プロセス(たとえば、CVD、PVD、ALDなど)によって形成され得る。BDTI1488は、平坦化プロセス(たとえば、CMP)を通して裏面(フィルムスタック335から離れている側)でILD層1486と同一平面の表面を有することができる。
図4を参照すると、プロセスステップS475において、ACSコンタクト構造およびTSVコンタクト構造を有する裏面相互接続層がILD層の裏面に形成され得ることがわかる。プロセスステップS475による、例示的な3Dメモリ構造1500の断面図が図15に示されている。
図15に示されているように、3Dメモリ構造1500は、ACSコンタクト構造1595およびTSVコンタクト構造1596を有する裏面相互接続層1594を含む。裏面相互接続層1594は、ILD層1486の裏面、フィルムスタック335からより遠く離れている側に形成され得る。裏面相互接続層1594は、任意の好適な導電体材料、たとえば、タングステン(W)、コバルト(Co)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケル、シリサイド(WSix、CoSix、NiSix、AlSixなど)、またはこれらの任意の組合せなどの金属もしくは金属合金を含むことができる。導電体材料は、CVD、PVD、ALD、スパッタリング、メッキ、蒸着、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスによって堆積され得る。導電体材料を第1のコンタクト開口部1490および第2のコンタクト開口部1492(図14の)の内側に配設した後、リソグラフィ、エッチング、平坦化(たとえば、CMP)などのプロセスを通して、導電体材料がパターニングされ、コンタクト構造間に絶縁間隔1597とともにACSコンタクト構造1595およびTSVコンタクト構造1596を形成することができる。
ACSコンタクト構造1595は、ACS1280の裏面(フィルムスタック335からより遠く離れている側)からメモリストリング212のチャネル層338への電気的接続を提供することができる。裏面から引き出されたACS1280の接続は、面積を節減し、それによって3Dメモリの記憶容量を高めることができる。
TSVコンタクト構造1596は、ILD層1486の裏面(フィルムスタック335からより遠く離れている側)からTSV976への電気的接続を提供することができる。前に説明されているように、TSV976は、コンタクト構造64および/または導電ライン66(図6B参照)を介して、周辺回路600B内の周辺デバイス50への電気的接続を提供することができる。したがって、周辺デバイスへの電気的接続は、ILD層1486の裏側から配線され得る。同様に、裏面から引き出された周辺デバイスに対する接続は、面積を節減し、それによって3Dメモリの記憶容量を高めることができる。
いくつかの実施形態において、絶縁間隔1597は、ACSコンタクト構造1595とTSVコンタクト構造1596とを切り離すためのドライ/ウェットエッチングプロセスを通じて形成され得る。いくつかの実施形態において、誘電体材料が絶縁間隔1597の内側に配設され、それにより信号を送受信するときにACSコンタクト構造1595とTSVコンタクト構造1596との間のクロストークをさらに回避することができ、誘電体材料は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、ポリイミド、スピンオングラスなどであってよく、CVD、PVD、ALD、スパッタリング、蒸着、スピニングオンなどの任意の好適なプロセスによって配設され得る。
いくつかの実施形態において、裏面相互接続層1594(TSVコンタクト構造1596およびACSコンタクト構造1595を含む)は、平坦化プロセス(たとえば、CMP)を通してILD層1486と同一平面にすることができる。この例では、裏面相互接続層1594は、ILD層1486の内側に埋め込まれるか、または陥凹し得る。
本開示は、また、上で説明されている方法400を使用して製造される3Dメモリデバイスを提供する。
図16は、本開示のいくつかの実施形態による、3Dメモリデバイス1600を例示している。3Dメモリデバイス1600は、交互する導電体層および誘電体層のフィルムスタック335と、ILD層1486と、フィルムスタック335とILD層1486との間に配置されているACS1280とを含む。
フィルムスタック335は、垂直方向に交互に積層された導電体層870および第1の誘電体層656を含む。第1の誘電体層656は、任意の好適な絶縁材料、たとえば、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、TEOS、またはF-、C-、N-、および/またはH-が取り込まれた酸化ケイ素を含む。第1の誘電体層656は、同じ厚さまたは異なる厚さを有してもよく、これは、10nmから500nmの間の範囲内にあり得る。いくつかの実施形態において、第1の誘電体層656は、厚さ約25nmの酸化ケイ素であり得る。導電体層870は、タングステン(W)、アルミニウム(Al)、チタン(Ti)、銅(Cu)、コバルト(Co)、ニッケル(Ni)、窒化チタン(TiN)、窒化タングステン(WN)、タンタル(Ta)、窒化タンタル(TaN)、AlTi、もしくはこれらの任意の組合せなどの任意の好適な金属または金属合金を含む。導電体層870は、同じ厚さまたは異なる厚さを有してもよく、これは、10nmから500nmの間の範囲内にあり得る。いくつかの実施形態において、導電体層870は、厚さ約35nmのWを含む。
フィルムスタック335は、導電体層870を囲むゲート誘電体層872も含むことができる。ゲート誘電体層872は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、および/またはそれらの任意の好適な組合せを含むことができる。ゲート誘電体層872は、high-k誘電体材料、たとえば、酸化ハフニウム、酸化ジルコニウム、酸化アルミニウム、酸化タンタル、酸化ランタン、および/またはこれらの任意の組合せも含むことができる。いくつかの実施形態において、フィルムスタック335は、ゲート誘電体層872と導電体層870との間に挟装されたゲート接着層874も含むことができる。ゲート接着層874は、ゲート誘電体層872と導電体層870との間の接着を促進するために使用することができる。ゲート接着層874は、たとえば、窒化タンタル(TaN)および/または窒化チタン(TiN)を含むことができる。
3Dメモリデバイス1600は、階段状領域210内のフィルムスタック335内に形成された階段状構造657も含む。階段状構造657は、複数の階段状ステップ659を含む。階段状ステップ659、または「階段状層」は、導電体層870および第1の誘電体層656に平行な、同じ横方向寸法を有する層スタックを指す。階段状ステップ659の各々は、その下の階段状ステップよりも短い長さで終端する。
3Dメモリデバイス1600は、階段状構造657およびフィルムスタック335上に配設された絶縁層660も含む。絶縁層660は、また、周辺領域105内のACS1280の上に配設され得る。絶縁層660は、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、TEOS、スピンオングラス、炭素ドープ酸化物(CDOまたはSiOCまたはSiOC:H)などのlow-k誘電体材料、またはフッ素ドープ酸化物(SiOF)などを含む。いくつかの実施形態において、絶縁層660は、階段状領域210内の階段状構造657、チャネル構造領域211内のフィルムスタック335、および周辺領域105内のACS1280の上に平面状表面を有することができる。
3Dメモリデバイス1600は、また、チャネル構造領域211内に複数のメモリストリング212を含み、メモリストリング212は、フィルムスタック335を垂直に貫通する。いくつかの実施形態において、メモリストリングは、ACS1280およびILD層1486内に貫入する。いくつかの実施形態において、メモリストリング212は円筒形状を有し得る。メモリストリング212は、中心にコア充填フィルム339を備えることができ、コア充填フィルム339はチャネル層338によって囲まれ得る。コア充填フィルム339は、任意の好適な絶縁体、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、スピンオングラス、ホウ素またはリンドープ酸化ケイ素、炭素ドープ酸化物(CDOまたはSiOCまたはSiOC:H)、フッ素ドープ酸化物(SiOF)、またはこれらの任意の組合せを含むことができる。チャネル層338は、約10nmから約30nmの範囲内の厚さを有する多結晶シリコンなどの任意の好適な半導体を含むことができる。メモリストリング212は、チャネル層338の側壁を覆う、すなわちチャネル層338を囲むメモリフィルム337も含むことができる。メモリフィルム337は、トンネル層、ストレージ層(「チャージトラップ/ストレージ層」とも称される)、およびブロッキング層を含む複合層であってよい。いくつかの実施形態において、トンネル層、ストレージ層、およびブロッキング層は、メモリストリング212の中心からメモリストリング212の外側に向かう方向に沿って上記の順序で配置構成される。トンネル層は、酸化ケイ素、窒化ケイ素、またはこれらの任意の組合せを含むことができる。ブロッキング層は、酸化ケイ素、窒化ケイ素、高誘電率(high-k)誘電体、またはこれらの任意の組合せを含むことができる。ストレージ層は、窒化ケイ素、酸窒化ケイ素、シリコン、またはこれらの任意の組合せを含むことができる。いくつかの実施形態において、メモリフィルム337は、ONO誘電体(たとえば、酸化ケイ素を含むトンネル層、窒化ケイ素を含むストレージ層、および酸化ケイ素を含むブロッキング層)を含む。いくつかの実施形態において、メモリフィルム337の厚さは、約10nmから約50nmの範囲内とすることができる。3Dメモリデバイス1600は、複数の垂直方向に積層されたメモリセル340を含む。メモリセル340は、導電体層870とメモリストリング212との間の交差点に形成される。導電体層870は、メモリセル340をアドレス指定するように図3のワード線333を形成することができる。
いくつかの実施形態において、メモリストリング212は、メモリストリング212の底部(ACS1280に近い方)にエピタキシャルプラグ(図16には図示せず)も含む。エピタキシャルプラグは、シリコン、シリコンゲルマニウム、ゲルマニウム、ガリウムヒ素、窒化ガリウム、III-V化合物、またはこれらの任意の組合せなどの任意の好適な半導体材料を含むことができる。いくつかの実施形態において、エピタキシャルプラグは、多結晶半導体材料、たとえば、多結晶シリコンを含むこともできる。エピタキシャルプラグは、メモリストリング212のチャネル層338に接続され得る。
いくつかの実施形態において、メモリストリング212は、チャネル層338への電気的接触を提供するように構成された、チャネル頂部プラグ762も含み得る。3Dメモリデバイス1600のビット線(図示せず)は、チャネル頂部プラグ762を通してメモリセル340をアドレス指定することができる。チャネル頂部プラグ762は、非晶質または多結晶シリコンであってよく、金属、金属合金および/または金属シリサイド、たとえば、タングステン、チタン、タンタル、窒化タングステン、窒化チタン、窒化タンタル、ケイ化ニッケル、ケイ化コバルト、ケイ化タングステン、ケイ化チタン、またはこれらの組合せを含むことができる。
3Dメモリデバイス1600は、フィルムスタック335を垂直に貫通するGLS216も含む。いくつかの実施形態において、GLS216は、ACS1280およびILD層1486内にさらに貫入する。GLS216は、GLS充填材871を含むことができ、GLS充填材871は、任意の好適な絶縁材料、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOSなどを含むことができる。
いくつかの実施形態において、3Dメモリデバイス1600は、階段状領域210内にダミーチャネル構造763も備える。ダミーチャネル構造763は、絶縁層660および階段状構造657を垂直に貫通する。いくつかの実施形態において、ダミーチャネル構造763は、ACS1280およびILD層1486内に貫入する。ダミーチャネル構造763は、様々な製造プロセスにおいて3Dメモリデバイス1600に対して機械的支持を設けるように構成され得る。ダミーチャネル構造763は、絶縁材料、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、high-k誘電体材料(Al2O3、HfO2、Ta2O3、ZrO2、La2O3など)、またはこれらの任意の組合せを含むことができる。
3Dメモリデバイス1600は、絶縁層660を貫通するTVS976をさらに含む。いくつかの実施形態において、TSV976は、ACS1280を貫通してILD層1486内に貫入する。TSV976は、任意の好適な導電体材料、たとえば、タングステン、チタン、タンタル、窒化タングステン、窒化チタン、窒化タンタル、ケイ化ニッケル、ケイ化コバルト、ケイ化タングステン、ケイ化チタン、またはこれらの組合せなどの金属または金属合金を含むことができる。いくつかの実施形態において、TSV976は、周辺領域内の周辺デバイスへの電気接続を提供するように構成され得る。
ACS1280は、1つまたは複数の多結晶シリコン層(たとえば、第1の多結晶シリコン層1281および第2の多結晶シリコン層1282)を含む。1つまたは複数の多結晶シリコン層は、n型またはp型ドーパントでドープされ得る。ACS1280は、メモリストリング212のチャネル層338と接触している。ACS1280は、ILD層1486内に貫入するメモリストリング212の一部を囲み、メモリフィルム337はメモリストリング212のこの部分から除去され、それによってACS1280はチャネル層388と接触する。言い換えると、メモリフィルム337はチャネル層388の第1の部分を覆い、ACS1280はチャネル層388の第2の部分を覆う。チャネル層388とACS1280との間の接触面積は、メモリフィルム337をACS1280から遠ざかるように(またはフィルムスタック335の方へ)さらにプルバックすることによって増やすことができる。そのようなものとして、ACS1280とチャネル層388との間の接触抵抗は低減され、3Dメモリデバイス1600の性能が改善され得る。
同様に、ACS1280は、ILD層1486内に貫入するGLS216の一部も囲むことができる。ACS1280は、ILD層1486内に貫入するダミーチャネル構造763の一部も囲むことができる。いくつかの実施形態において、ACS1280は、絶縁スペーサ1491および/またはBDTI1488によってもたらされる電気的絶縁によりTSV976から離れる。ACS1280は、フィルムスタック335に対する機械的支持を提供することができる。
3Dメモリデバイス1600は、ACS1280の裏面、フィルムスタック335からより遠く離れている側に形成された裏面相互接続層1594をさらに含む。裏面相互接続層1594は、ACSコンタクト構造1595およびTSVコンタクト構造1596を含み、絶縁間隔1597は、ACSコンタクト構造1595とTSVコンタクト構造1596との間に形成され得る。裏面相互接続層1594は、任意の好適な導電体材料、たとえば、タングステン(W)、コバルト(Co)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)、ニッケル、もしくはシリサイド(WSix、CoSix、NiSix、AlSixなど)、またはこれらの任意の組合せなどの金属もしくは金属合金を含む。ACSコンタクト構造1595はACS1280に接触し、TSVコンタクト構造1596はTSV976に接触する。ACSコンタクト構造1595は、ACS1280を通してメモリストリング212のチャネル層388への電気的接続を提供することができる。TSVコンタクト構造1596は、TSV976を通して周辺デバイスへの電気的接続を提供することができる。
ILD層1486は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOS、ポリイミド、スピンオングラスなどの1つまたは複数の絶縁材料を含み、裏面相互接続層1594に対する絶縁を提供することができる。ILD層1486は、絶縁スペーサ1491およびBDTI1488を含み得る。BDTI1488は、任意の好適な誘電体材料、たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、TEOSなどを含むことができる。いくつかの実施形態において、BDTI1488は、絶縁スペーサ1491とは異なる誘電体材料、たとえば、高誘電率材料(high-k誘電体)を含むこともできる。
いくつかの実施形態において、3Dメモリデバイス1600は、フィルムスタック335とACS1280との間に配置される第2のエッチストップ層552も含む。いくつかの実施形態において、第2のエッチストップ層552は、また、絶縁層660とACS1280との間に配設され得る。フィルムスタック335とACS1280との間の距離は、第2のエッチストップ層552の厚さによって決定され得る。
いくつかの実施形態において、3Dメモリデバイス1600は、メモリストリング212、GLS216、およびダミーチャネル構造763の上で絶縁層660の前側に配設された第1のキャッピング層766および第2のキャッピング層868も含む。絶縁層の前側は、ACS1280およびILD層1486から離れる側である。いくつかの実施形態において、メモリストリング212およびダミーチャネル構造763は、絶縁層660と同一平面上にある。いくつかの実施形態において、GLS216は、第1のキャッピング層766と同一平面上にある。いくつかの実施形態において、TSV976は、第2のキャッピング層868と同一平面上にある。
上で説明されているように、メモリストリング212のチャネル層338は、ソース端子と呼ばれ得るフィルムスタック335の裏側のACSコンタクト構造1595を通して接続され得る。メモリストリング212のチャネル層338は、フィルムスタック335の表側のチャネル頂部プラグ762を通して接続されるものとしてよく、これはドレイン端子と称され得る。メモリストリング212のドレイン端子は、ビット線に接続することができるが、同じメモリブロック(またはサブブロック)内のメモリストリング212のソース端子は、ACS1486を通して一緒に接続することができる。フィルムスタック335の裏面を貫通するACSコンタクト構造1595は、3Dメモリデバイス1600に対する面積を節減し、記憶容量を増やすことができる。
従来、メモリストリング212の底部(ソース端子のところ)からチャネル層338への電気的接続を形成するために、チャネルホール336の底部にあるメモリフィルム337が除去される必要があった。メモリフィルム337を除去し、ACSコンタクト構造1595を介して裏面からチャネル層338に対する電気接点を設けることによって、高アスペクト比を有するチャネルホール336の底部からメモリフィルム337をエッチングすることが回避され得る。それに加えて、ACS1280への電気的接続を提供するためにGLS216の一部に導電体材料を充填することも回避され得る。そのようなものとして、導電体層870から導電体材料で充填されたGLS216への漏れ経が排除され得る。また、第1のエッチストップ層550および第2のエッチストップ層552を使用することによって、基板330およびメモリフィルム337が裏面から制御可能に除去され得る。プロセスの均一性および歩留まりを大幅に改善することができる。
図17は、本開示のいくつかの実施形態による、ストレージシステム10を有する例示的なシステムS1のブロック図を例示している。システムS1は、携帯電話、デスクトップコンピュータ、ラップトップコンピュータ、タブレット、車載コンピュータ、ゲーム機、プリンタ、測位デバイス、ウェアラブル電子デバイス、スマートセンサー、仮想現実(VR)デバイス、拡張現実(AR)デバイス、または中にストレージを有する任意の他の好適な電子デバイスであり得る。ストレージシステム10(NANDストレージシステムとも称される)は、メモリコントローラ20と、1つまたは複数の半導体メモリチップ25-1、25-2、25-3、...、25-nとを備え得る。各半導体メモリチップ25(以下、単に「メモリチップ」)は、NANDチップ(すなわち、「フラッシュ」、「NANDフラッシュ」または「NAND」)とすることができる。ストレージシステム10は、メモリコントローラ20を通してホストコンピュータ15と通信することができ、メモリコントローラ20は、1つまたは複数のメモリチャネル30-1、30-2、30-3、...、30-nを介して、1つまたは複数のメモリチップ25-1、25-2、25-3、...、25-nに接続され得る。いくつかの実施形態において、各メモリチップ25は、メモリチャネル30を介してメモリコントローラ20によって管理され得る。
いくつかの実施形態において、ホストコンピュータ15は、中央演算処理装置(CPU)などの電子デバイスのプロセッサ、またはアプリケーションプロセッサ(AP)などのシステムオンチップ(SoC)を備えることができる。ホストコンピュータ15は、NANDストレージシステムまたはストレージシステム10に記憶されるべきデータを送信するか、またはストレージシステム10を読み出すことによってデータを取り出す。
メモリコントローラ20は、ホストコンピュータ15から受信されたI/O要求を処理し、データの完全性および効率的記憶を確実にし、メモリチップ25を管理することができる。これらのタスクを実行するために、コントローラは、コントローラ20内部の1つまたは複数のプロセッサ22(たとえば、マイクロコントローラユニット、CPU)によって実行され得る、ファームウェア21を実行する。たとえば、コントローラ20は、論理アドレス(すなわち、ホストデータに関連付けられているホストによって利用されるアドレス)をメモリチップ25内の物理アドレス(すなわち、データが記憶される実際の位置)にマッピングするためにファームウェア21を実行する。コントローラ20は、また、メモリチップ25内の欠陥のあるメモリブロックを管理するためにファームウェア21を実行し、ファームウェア21は、論理アドレスを異なる物理アドレスに再マッピングする、すなわち、データを異なる物理アドレスに移動することができる。コントローラ20は、また、1つまたは複数のメモリ23(たとえば、DRAM、SRAM、EPROMなど)を備えることができ、これらはファームウェア21によって使用される様々なメタデータを記憶するために使用され得る。いくつかの実施形態において、メモリコントローラ20は、エラー訂正符号(ECC)エンジン29を通してエラー回復を実行することもできる。ECCは、各メモリチップ25内で発生する生のビットエラーを検出し、訂正するために使用される。
メモリチャネル30は、データバスを介して、メモリコントローラ20と各メモリチップ25との間のデータおよび制御通信を提供することができる。メモリコントローラ20は、チップイネーブル信号に従ってメモリチップ25のうちの1つを選択することができる。
いくつかの実施形態において、図17の各メモリチップ25は、1つまたは複数のメモリダイ100を含むことができ、各メモリダイは、図1~3に示されているように3D NANDメモリ100とすることができる。いくつかの実施形態において、1つまたは複数のメモリダイ100の各々は、図16に示されている3Dメモリデバイス1600を含むことができ、これは、図4の方法400を使用して製造することができる。
メモリコントローラ20および1つまたは複数のメモリチップ25は、様々な種類のストレージデバイスに一体化され、たとえば、ユニバーサルフラッシュストレージ(UFS)パッケージまたはeMMCパッケージなどの同じパッケージに含めることができる。すなわち、ストレージシステム10は、異なる種類の最終電子製品に実装され、パッケージ化され得る。図18Aに示されているような一例では、メモリコントローラ20および単一のメモリチップ25がメモリカード26に一体化され得る。メモリカード26は、PCカード(PCMCIA、PCメモリカード国際協会)、CFカード、スマートメディア(SM)カード、メモリスティック、マルチメディアカード(MMC、RS-MMC、MMCmicro)、SDカード(SD、miniSD、microSD、SDHC)、UFSなどを含むことができる。メモリカード26は、メモリカード26をホスト(たとえば、図17のホストコンピュータ15)と結合するメモリカードコネクタ24をさらに備えることができる。図18Bに示されているような別の例では、メモリコントローラ20および複数のメモリチップ25がソリッドステートドライブ(SSD)27に一体化され得る。SSD27は、SSD27をホスト(たとえば、図17のホストコンピュータ15)と結合するSSDコネクタ28をさらに備えることができる。
図19は、本開示のいくつかの実施形態による、メモリダイ100の概略図を例示している。メモリダイ100は、1つまたは複数のメモリブロック103(たとえば、103-1、103-2、103-3)を含む。各メモリブロック103は、複数のメモリストリング212を含む。各メモリストリング212は、複数のメモリセル340を含む。同じワード線を共有するメモリセル340は、メモリページ432を形成する。メモリストリング212は、また、各端部に少なくとも1つの電界効果トランジスタ(たとえば、MOSFET)を備えることができ、これはそれぞれ下側セレクトゲート(LSG)332および頂部セレクトゲート(TSG)334によって制御される。頂部セレクトトランジスタ334-Tのドレイン端子はビット線341に接続され、下側セレクトトランジスタ332-Tのソース端子はアレイ共通ソース(ACS)430に接続され得る。ACS430は、メモリブロック全体内においてメモリストリング212によって共有されるものとしてよく、共通ソース線とも称される。
いくつかの実施形態において、ACS430は、図16に示されているACS1280を含むことができ、図4に示されている方法400を使用して製造され得る。この例では、メモリダイ100は、図16に示されている3Dメモリデバイス1600を含むことができる。
メモリダイ100は、メモリブロック103の機能をサポートする多数のデジタル、アナログ、および/または混合信号回路を含む周辺回路、たとえば、ページバッファ/センスアンプ50、ロウデコーダ/ワード線ドライバ40、カラムデコーダ/ビット線ドライバ52、制御回路70、電圧発生器65、および入力/出力バッファ55も含むことができる。これらの回路は、当業者にとっては明らかなように、トランジスタ、ダイオード、コンデンサ、抵抗器などの、能動および/または受動半導体デバイスを含むことができる。
メモリブロック103は、ワード線(「WL」)333、下側セレクトゲート(「LSG」)332および頂部セレクトゲート(「TSG」)334を介して、ロウデコーダ/ワード線ドライバ40と結合され得る。メモリブロック103は、ビット線(「BL」)341を介してページバッファ/センスアンプ50と結合され得る。ロウデコーダ/ワード線ドライバ40は、制御回路70によって供給されるX経路(X-path)制御信号に応答してメモリダイ100上のメモリブロック103の1つを選択することができる。ロウデコーダ/ワード線ドライバ40は、X経路制御信号に応じて電圧発生器65から供給される電圧をワード線に転送することができる。読み出しおよびプログラム動作中、ロウデコーダ/ワード線ドライバ40は、制御回路70から受信されたX経路制御信号に従って読み出し電圧Vreadおよびプログラム電圧Vpgmを選択ワード線に転送し、パス電圧Vpassを非選択ワード線に転送することができる。
カラムデコーダ/ビット線ドライバ52は、制御回路70から受信されたY経路制御信号に従ってインヒビット電圧Vinhibitを非選択ビット線に転送し、選択ビット線をグランドに接続することができる。言い換えると、カラムデコーダ/ビット線ドライバ52は、制御回路70からのY経路制御信号に従って1つまたは複数のメモリストリング212を選択または非選択するように構成され得る。ページバッファ/センスアンプ50は、制御回路70からの制御信号Y経路制御に従ってメモリブロック103との間のデータの読み出しおよびプログラム(書き込み)を行うように構成され得る。たとえば、ページバッファ/センスアンプ50は、プログラムされるべき1ページ分のデータを1つのメモリページ432に記憶することができる。別の例では、ページバッファ/センスアンプ50は、データが各メモリセル340内に適切にプログラムされたことを確認するためのベリファイ動作を実行することができる。さらに別の例では、読み出し動作中、ページバッファ/センスアンプ50は、メモリセル340の論理状態(すなわち、データ)を反映するビット線341を流れる電流を感知し、小信号を測定可能な倍率まで増幅することができる。
入力/出力バッファ55は、ページバッファ/センスアンプ50との間でI/Oデータを、さらにはアドレスADDRまたはコマンドCMDを制御回路70に転送することができる。いくつかの実施形態において、入力/出力バッファ55は、メモリコントローラ20(図1)とメモリチップ25上のメモリダイ100との間のインターフェースとして機能することができる。
制御回路70は、入力/出力バッファ55によって転送されたコマンドCMDに応答してページバッファ/センスアンプ50およびロウデコーダ/ワード線ドライバ40を制御することができる。プログラム動作中、制御回路70は、ロウデコーダ/ワード線ドライバ40およびページバッファ/センスアンプ50を制御して、選択されたメモリセルをプログラムすることができる。読み出し動作中、制御回路70は、ロウデコーダ/ワード線ドライバ40およびページバッファ/センスアンプ50を制御して、選択されたメモリセルを読み出すことができる。X経路制御信号およびY経路制御信号は、メモリブロック103内の選択されたメモリセルを特定するために使用され得るロウアドレスX-ADDRおよびカラムアドレスY-ADDRを含む。ロウアドレスX-ADDRは、メモリページ432、メモリブロック103、およびメモリプレーン101(図1の場合)をそれぞれ識別するためのページインデックスPD、ブロックインデックスBD、およびプレーンインデックスPLを含むことができる。カラムアドレスY-ADDRは、メモリページ432のデータ内のバイトまたはワードを識別することができる。
電圧発生器65は、制御回路70の制御の下で、ワード線およびビット線に供給されるべき電圧を発生させることができる。電圧発生器65によって発生される電圧は、読み出し電圧Vread、プログラム電圧Vpgm、パス電圧Vpass、インヒビット電圧Vinhibitなどを含む。
要約すると、本開示は、3次元(3D)メモリデバイスを形成するための方法を提供するということである。方法は、交互誘電体スタックを基板の上に配設することであって、交互誘電体スタックは、基板上に交互に積層された第1の誘電体層および第2の誘電体層を含む、配設することと、交互誘電体スタックを貫通して基板内に貫入するチャネル構造を形成することであって、チャネル構造は、メモリフィルムの側壁上に配設されたチャネル層を含む、形成することと、チャネル層の一部を露出させるために基板および基板内に貫入するメモリフィルムの一部を除去することと、チャネル層の露出部分上にアレイ共通ソース(ACS)を配設することとを含む。
本開示は、3次元(3D)メモリデバイスも提供する。3Dメモリデバイスは、アレイ共通ソース(ACS)と、交互する導電体層および誘電体層のフィルムスタックであって、ACSの第1の側に交互に積層された導電体層と第1の誘電体層とを含む、フィルムスタックと、第1の側とは反対側の、ACSの第2の側に配設された裏面相互接続層であって、裏面相互接続層はACSコンタクト構造を含む、裏面相互接続層と、フィルムスタックを貫通するメモリストリングとを備える。メモリストリングは、メモリフィルムによって覆われた第1の部分と、ACSに接触し、ACSコンタクト構造に電気的に接続されている第2の部分とを有するチャネル層を含む。
本開示は、メモリストレージシステムをさらに提供する。メモリストレージシステムは、3次元(3D)NANDメモリを備え、3D NANDメモリは、アレイ共通ソース(ACS)と、ACSの第1の側に交互に積層された導電体層および第1の誘電体層を含む、導電体層および誘電層が交互に配置されたフィルムスタックとを備える。3D NANDメモリは、また、第1の側とは反対側の、ACSの第2の側に配設された裏面相互接続層を含み、裏面相互接続層はACSコンタクト構造を含む。3D NANDメモリは、フィルムスタックを貫通するメモリストリングをさらに含み、メモリストリングは、メモリフィルムによって覆われた第1の部分と、ACSに接触し、ACSコンタクト構造に電気的に接続されている第2の部分とを有するチャネル層を含む。
特定の実施形態の前述の説明は、当技術の範囲内の知識を応用することによって、本開示の一般的な概念から逸脱することなく、必要以上の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正および/または適応させることができるように、本開示の一般的性質を完全に明らかにするであろう。したがって、そのような適応および修正は、本明細書に提示されている開示および指導に基づき、開示されている実施形態の等価物の意味および範囲内に収まることを意図されている。本明細書の言い回しまたは用語は説明を目的としたものであり制限を目的とするものではなく、したがって本明細書の用語または言い回しは開示および指導に照らして当業者によって解釈されるべきであることは理解されるであろう。
本開示の実施形態は、指定された機能の実装形態およびその関係を例示する機能構成ブロックの助けを借りて上で説明された。これらの機能構成ブロックの境界は、説明の便宜のために本明細書において任意に定義されている。代替的境界は、指定された機能およびその関係が適切に実行される限り定義され得る。
発明の概要および要約書の項は、本発明者によって企図されるような本開示の1つまたは複数の、ただしすべてではない、例示的な実施形態を規定するものとしてよく、したがって、本開示および付属の請求項をいかなる形でも制限することを意図されていない。
本開示の程度および範囲は、上述の例示的な実施形態により制限されるのではなく、請求項およびその等価物によってのみ定義されるべきである。
S1 システム
10 ストレージシステム
15 ホストコンピュータ
20 メモリコントローラ
21 ファームウェア
22 プロセッサ
23 メモリ
24 メモリカードコネクタ
25 メモリチップ
25-1、25-2、25-3、...、25-n 半導体メモリチップ
26 メモリカード
27 SSD
28 SSDコネクタ
30 メモリチャネル
30-1、30-2、30-3、...、30-n メモリチャネル
40 ロウデコーダ/ワード線ドライバ
50 周辺デバイス
50 ページバッファ/センスアンプ
52 シャロートレンチアイソレーション(STI)
52 カラムデコーダ/ビット線ドライバ
54 ウェル
55 入力/出力バッファ
56 ゲートスタック
58 ゲートスペーサ
60 ソース/ドレイン
62 周辺相互接続層
64 垂直コンタクト構造
65 電圧発生器
66 横方向導電ライン
70、70-1または70-2 金属レベル
70 制御回路
72 基板コンタクト
100 3次元(3D)メモリデバイス
101 メモリプレーン
103 メモリブロック
105 周辺領域
108 領域
210 階段状領域
211 チャネル構造領域
212 メモリストリング
214 コンタクト構造
216 スリット構造
216-1および216-2 スリット構造
218 メモリフィンガー
220 頂部選択ゲートカット
222 ダミーメモリストリング
224 メモリスライス
300 メモリアレイ構造
330 基板
330f 前面
331 絶縁フィルム
333-1、333-2、333-3 制御ゲート
332 下側セレクトゲート(LSG)
332-T 下側セレクトトランジスタ
333 制御ゲート
334 頂部セレクトゲート(TSG)
334-T 頂部セレクトトランジスタ
335 フィルムスタック
336 チャネルホール
337 メモリフィルム
338 チャネル層
339 コア充填フィルム
340 メモリセル
340-1、340-2、および340-3 メモリセル
341 ビット線(BL)
343 金属相互接続線
344 ドープされたソース線領域
400 方法
432 メモリページ
500 3Dメモリ構造
545 ハンドルウェハ
546 絶縁体層
547 半導体層
550 第1のエッチストップ層
552 第2のエッチストップ層
600 3Dメモリ構造
600B 周辺回路
654 交互誘電体スタック
656 第1の誘電体層
657 階段状構造
658 第2の誘電体層
659 階段状ステップ
660 絶縁層
700 3Dメモリ構造
761 チャネル構造
762 チャネル頂部プラグ
763 ダミーチャネル構造
764 ダミーチャネルホール(DCH)
765 DCH充填材
766 第1のキャッピング層
800 3Dメモリ構造
860 継ぎ目
868 第2のキャッピング層
869 ゲート線スリット(GLS)開口部
870 導電体層
871 GLS充填材
872 ゲート誘電体層
874 ゲート接着層
900 3Dメモリ構造
976 TSV
1000 3Dメモリ構造
1100 3Dメモリ構造
1200 3Dメモリ構造
1280 ACS
1300 3Dメモリ構造
1384 誘電体充填層
1400 3Dメモリ構造
1486 層間誘電体(ILD)層
1488 バックサイドディープトレンチアイソレーション(BDTI)
1490 第1のコンタクト開口部
1491 絶縁スペーサ
1492 第2のコンタクト開口部
1500 3Dメモリ構造
1594 裏面相互接続層
1595 ACSコンタクト構造
1596 TSVコンタクト構造
1597 絶縁間隔
1600 3Dメモリデバイス
3371 ブロッキング層
3372 ストレージ層
3373 トンネル層
10 ストレージシステム
15 ホストコンピュータ
20 メモリコントローラ
21 ファームウェア
22 プロセッサ
23 メモリ
24 メモリカードコネクタ
25 メモリチップ
25-1、25-2、25-3、...、25-n 半導体メモリチップ
26 メモリカード
27 SSD
28 SSDコネクタ
30 メモリチャネル
30-1、30-2、30-3、...、30-n メモリチャネル
40 ロウデコーダ/ワード線ドライバ
50 周辺デバイス
50 ページバッファ/センスアンプ
52 シャロートレンチアイソレーション(STI)
52 カラムデコーダ/ビット線ドライバ
54 ウェル
55 入力/出力バッファ
56 ゲートスタック
58 ゲートスペーサ
60 ソース/ドレイン
62 周辺相互接続層
64 垂直コンタクト構造
65 電圧発生器
66 横方向導電ライン
70、70-1または70-2 金属レベル
70 制御回路
72 基板コンタクト
100 3次元(3D)メモリデバイス
101 メモリプレーン
103 メモリブロック
105 周辺領域
108 領域
210 階段状領域
211 チャネル構造領域
212 メモリストリング
214 コンタクト構造
216 スリット構造
216-1および216-2 スリット構造
218 メモリフィンガー
220 頂部選択ゲートカット
222 ダミーメモリストリング
224 メモリスライス
300 メモリアレイ構造
330 基板
330f 前面
331 絶縁フィルム
333-1、333-2、333-3 制御ゲート
332 下側セレクトゲート(LSG)
332-T 下側セレクトトランジスタ
333 制御ゲート
334 頂部セレクトゲート(TSG)
334-T 頂部セレクトトランジスタ
335 フィルムスタック
336 チャネルホール
337 メモリフィルム
338 チャネル層
339 コア充填フィルム
340 メモリセル
340-1、340-2、および340-3 メモリセル
341 ビット線(BL)
343 金属相互接続線
344 ドープされたソース線領域
400 方法
432 メモリページ
500 3Dメモリ構造
545 ハンドルウェハ
546 絶縁体層
547 半導体層
550 第1のエッチストップ層
552 第2のエッチストップ層
600 3Dメモリ構造
600B 周辺回路
654 交互誘電体スタック
656 第1の誘電体層
657 階段状構造
658 第2の誘電体層
659 階段状ステップ
660 絶縁層
700 3Dメモリ構造
761 チャネル構造
762 チャネル頂部プラグ
763 ダミーチャネル構造
764 ダミーチャネルホール(DCH)
765 DCH充填材
766 第1のキャッピング層
800 3Dメモリ構造
860 継ぎ目
868 第2のキャッピング層
869 ゲート線スリット(GLS)開口部
870 導電体層
871 GLS充填材
872 ゲート誘電体層
874 ゲート接着層
900 3Dメモリ構造
976 TSV
1000 3Dメモリ構造
1100 3Dメモリ構造
1200 3Dメモリ構造
1280 ACS
1300 3Dメモリ構造
1384 誘電体充填層
1400 3Dメモリ構造
1486 層間誘電体(ILD)層
1488 バックサイドディープトレンチアイソレーション(BDTI)
1490 第1のコンタクト開口部
1491 絶縁スペーサ
1492 第2のコンタクト開口部
1500 3Dメモリ構造
1594 裏面相互接続層
1595 ACSコンタクト構造
1596 TSVコンタクト構造
1597 絶縁間隔
1600 3Dメモリデバイス
3371 ブロッキング層
3372 ストレージ層
3373 トンネル層
Claims (38)
- 3次元(3D)メモリデバイスを形成するための方法であって、
交互誘電体スタックを基板の上に配設するステップであって、前記交互誘電体スタックは、前記基板上に交互に積層された第1の誘電体層および第2の誘電体層を含む、ステップと、
前記交互誘電体スタックを貫通して前記基板内に貫入するチャネル構造を形成するステップであって、前記チャネル構造は、メモリフィルムの側壁上に配設されたチャネル層を含む、ステップと、
前記基板および前記基板内に貫入する前記メモリフィルムの一部を除去し、前記チャネル層の一部を露出させるステップと、
前記チャネル層の前記露出部分上にアレイ共通ソース(ACS)を配設するステップと
を含む、方法。 - 第1のエッチストップ層を前記基板上に配設するステップと、
第2のエッチストップ層を前記第1のエッチストップ層上に配設するステップと、
前記交互誘電体スタックを前記第2のエッチストップ層上に配設するステップと
をさらに含む、請求項1に記載の方法。 - 前記基板および前記基板内に貫入する前記メモリフィルムの前記一部を除去する前記ステップは、
前記基板を除去し、前記第1のエッチストップ層上で停止して、前記基板内に貫入する前記メモリフィルムの前記一部を露出させるステップと、
前記第1のエッチストップ層および前記メモリフィルムの前記露出部分を除去し、前記第2のエッチストップ層上で停止して、前記チャネル層の前記一部を露出させるステップと
を含む、請求項2に記載の方法。 - 前記ACSの裏面に接触するACSコンタクト構造を形成するステップであって、前記ACSの前記裏面は前記第1の誘電体層からより遠く離れた側である、ステップをさらに含む、請求項1に記載の方法。
- 前記交互誘電体スタックを覆う前記基板の上に絶縁層を配設するステップをさらに含む、請求項1に記載の方法。
- シリコン貫通電極(TSV)を周辺領域内に形成するステップであって、前記TSVは、前記絶縁層を貫通し、前記基板内に貫入する、ステップをさらに含む、請求項5に記載の方法。
- 前記絶縁層の裏面から前記TSVと接触するTSVコンタクト構造を形成するステップであって、前記絶縁層の前記裏面は前記第1の誘電体層からより遠く離れた側である、ステップをさらに含む、請求項6に記載の方法。
- 前記TSVコンタクト構造を形成するステップは、前記TSVコンタクト構造を、前記TSVを通して前記周辺領域内の周辺デバイスと電気的に接続するステップを含む、請求項7に記載の方法。
- 前記交互誘電体スタック内に階段状構造を形成するステップをさらに含む、請求項1に記載の方法。
- 前記階段状構造を貫通し、前記基板内に貫入するダミーチャネル構造を形成するステップと、
前記基板を除去して前記基板内に貫入する前記ダミーチャネル構造の一部を露出させるステップと、
前記ACSを前記ダミーチャネル構造の前記露出部分上に配設するステップと
をさらに含む、請求項9に記載の方法。 - 前記交互誘電体スタックを貫通し、前記基板内に貫入するゲート線スリット(GLS)開口部を形成するステップと、
前記第2の誘電体層を導電体層で置き換えて、交互する導電体層および誘電体層のフィルムスタックを形成するステップであって、前記フィルムスタックは、前記基板上に交互に積層された前記導電体層および前記第1の誘電体層を含む、ステップと、
GLS充填材を前記GLS開口部の内側に配設して、GLSを形成するステップと
をさらに含む、請求項1に記載の方法。 - 前記基板を除去し、前記基板内に貫入する前記GLSの一部分を露出させるステップと、
前記ACSを前記GLSの前記露出部分上に配設するステップと
をさらに含む、請求項11に記載の方法。 - 3次元(3D)メモリデバイスであって、
アレイ共通ソース(ACS)と、
交互する導電体層および誘電体層のフィルムスタックであって、前記ACSの第1の側に交互に積層された導電体層と第1の誘電体層とを含む、フィルムスタックと、
前記第1の側とは反対側の、前記ACSの第2の側に配設された裏面相互接続層であって、前記裏面相互接続層はACSコンタクト構造を含む、裏面相互接続層と、
前記フィルムスタックを貫通するメモリストリングであって、前記メモリストリングは
チャネル層であって、
メモリフィルムによって覆われた第1の部分と、
前記ACSと接触し、前記ACSコンタクト構造に電気的に接続される第2の部分と、
を含むチャネル層
を含むメモリストリングと
を備える、3次元(3D)メモリデバイス。 - 前記フィルムスタックおよび前記ACSの前記第1の側上に配設された絶縁層をさらに備える、請求項13に記載の3Dメモリデバイス。
- 前記絶縁層を貫通するシリコン貫通電極(TSV)であって、前記裏面相互接続層は、前記TSVに電気的に接続されているTSVコンタクト構造を含む、シリコン貫通電極(TSV)をさらに備える、請求項14に記載の3Dメモリデバイス。
- 前記TSVコンタクト構造は、前記TSVを介して周辺デバイスに電気的に接続される、請求項15に記載の3Dメモリデバイス。
- 前記裏面相互接続層は、前記TSVコンタクト構造と前記ACSコンタクト構造との間に絶縁間隔をさらに含む、請求項15に記載の3Dメモリデバイス。
- 前記ACSの前記第2の側上に配設された、層間誘電体(ILD)層をさらに備える、請求項15に記載の3Dメモリデバイス。
- 前記ILD層は、
前記TSVコンタクト構造と前記ACSとを電気的に絶縁する、絶縁スペーサと、
前記絶縁スペーサと異なる誘電体材料を含む、バックサイドディープトレンチアイソレーション(BDTI)と
をさらに含む、請求項18に記載の3Dメモリデバイス。 - 前記絶縁層上に配設された第1のキャッピング層をさらに含み、前記メモリストリングは、前記絶縁層と同一平面上にあり、前記第1のキャッピング層によって覆われる、請求項14に記載の3Dメモリデバイス。
- 交互する導電体層および誘電体層の前記フィルムスタック内に形成された階段状構造をさらに備える、請求項13に記載の3Dメモリデバイス。
- 前記階段状構造を貫通し、絶縁材料を充填されたダミーチャネル構造をさらに備え、前記ダミーチャネル構造の一部は、前記ACSによって覆われる、請求項21に記載の3Dメモリデバイス。
- 交互する導電体層および誘電体層の前記フィルムスタックを貫通し、絶縁材料を充填されたゲート線スリット(GLS)をさらに備え、前記GLSの一部は、前記ACSによって覆われる、請求項13に記載の3Dメモリデバイス。
- 前記フィルムスタックと前記ACSとの間に挟装されたエッチストップ層をさらに備える、請求項13に記載の3Dメモリデバイス。
- 前記ACSは、p型またはn型ドープ多結晶シリコン層を含む、請求項13に記載の3Dメモリデバイス。
- メモリストレージシステムであって、
3次元(3D)NANDメモリを備え、前記3次元(3D)NANDメモリは、
アレイ共通ソース(ACS)と、
交互する導電体層および誘電体層のフィルムスタックであって、前記ACSの第1の側に交互に積層された導電体層と第1の誘電体層とを含む、フィルムスタックと、
前記第1の側とは反対側の、前記ACSの第2の側に配設された裏面相互接続層であって、前記裏面相互接続層はACSコンタクト構造を含む、裏面相互接続層と、
前記フィルムスタックを貫通するメモリストリングであって、前記メモリストリングは、
チャネル層であって、
メモリフィルムによって覆われた第1の部分と、
前記ACSと接触し、前記ACSコンタクト構造に電気的に接続される第2の部分とを含む、
チャネル層を含む、
メモリストリングと、
を備える、メモリストレージシステム。 - 前記ACSは、p型またはn型ドープ多結晶シリコン層を含む、請求項26に記載のメモリストレージシステム。
- 前記フィルムスタックと前記ACSとの間に挟装されたエッチストップ層をさらに備える、請求項26に記載のメモリストレージシステム。
- 交互する導電体層および誘電体層の前記フィルムスタックを貫通し、絶縁材料を充填されたゲート線スリット(GLS)をさらに備え、前記GLSの一部は、前記ACSによって覆われる、請求項26に記載のメモリストレージシステム。
- 前記フィルムスタックおよび前記ACSの前記第1の側上に配設された絶縁層をさらに備える、請求項26に記載のメモリストレージシステム。
- 前記絶縁層を貫通するシリコン貫通電極(TSV)であって、前記裏面相互接続層は、前記TSVに電気的に接続されているTSVコンタクト構造を含む、シリコン貫通電極(TSV)さらに備える、請求項30に記載のメモリストレージシステム。
- 前記TSVコンタクト構造は、前記TSVを介して周辺デバイスに電気的に接続される、請求項31に記載のメモリストレージシステム。
- 前記裏面相互接続層は、前記TSVコンタクト構造と前記ACSコンタクト構造との間に絶縁間隔をさらに含む、請求項31に記載のメモリストレージシステム。
- 前記ACSの前記第2の側上に配設された、層間誘電体(ILD)層をさらに備える、請求項31に記載のメモリストレージシステム。
- 前記ILD層は、
前記TSVコンタクト構造と前記ACSとを電気的に絶縁する、絶縁スペーサと、
前記絶縁スペーサと異なる誘電体材料を含む、バックサイドディープトレンチアイソレーション(BDTI)と
をさらに含む、請求項34に記載のメモリストレージシステム。 - 前記絶縁層上に配設された第1のキャッピング層をさらに含み、前記メモリストリングは、絶縁層と同一平面上にあり、前記第1のキャッピング層によって覆われる、請求項30に記載のメモリストレージシステム。
- 交互する導電体層および誘電体層の前記フィルムスタック内に形成された階段状構造をさらに備える、請求項26に記載のメモリストレージシステム。
- 前記階段状構造を貫通し、絶縁材料を充填されたダミーチャネル構造をさらに備え、前記ダミーチャネル構造の一部は、前記ACSによって覆われる、請求項37に記載のメモリストレージシステム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202110306440.X | 2021-03-23 | ||
CN202110306440.XA CN112951841B (zh) | 2021-03-23 | 2021-03-23 | 三维存储器及其制备方法 |
PCT/CN2021/114742 WO2022198918A1 (en) | 2021-03-23 | 2021-08-26 | Three-dimensional nand memory and fabrication method thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2024510769A true JP2024510769A (ja) | 2024-03-11 |
Family
ID=82562017
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023557781A Pending JP2024510769A (ja) | 2021-03-23 | 2021-08-26 | 3次元nandメモリおよびその製造方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20220310162A1 (ja) |
EP (1) | EP4285414A4 (ja) |
JP (1) | JP2024510769A (ja) |
KR (1) | KR20230138555A (ja) |
CN (1) | CN114846604A (ja) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102316293B1 (ko) * | 2017-09-18 | 2021-10-22 | 삼성전자주식회사 | 반도체 장치 |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10436072B2 (en) * | 2017-02-17 | 2019-10-08 | General Electric Company | Elastomeric shock absorbers for gas turbine transportation |
US11195781B2 (en) * | 2019-02-13 | 2021-12-07 | Sandisk Technologies Llc | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer |
US11201107B2 (en) * | 2019-02-13 | 2021-12-14 | Sandisk Technologies Llc | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer |
US11355486B2 (en) * | 2019-02-13 | 2022-06-07 | Sandisk Technologies Llc | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer |
KR20210083429A (ko) * | 2019-12-26 | 2021-07-07 | 삼성전자주식회사 | 반도체 소자 |
WO2021207912A1 (en) * | 2020-04-14 | 2021-10-21 | Yangtze Memory Technologies Co., Ltd. | Method for forming three-dimensional memory device with backside source contact |
KR102671462B1 (ko) * | 2020-04-14 | 2024-05-30 | 양쯔 메모리 테크놀로지스 씨오., 엘티디. | 후면 소스 콘택트를 가지는 3차원 메모리 디바이스 |
KR102650204B1 (ko) * | 2020-05-27 | 2024-03-20 | 양쯔 메모리 테크놀로지스 씨오., 엘티디. | 3차원 메모리 소자 |
EP3942612B1 (en) * | 2020-05-27 | 2024-01-03 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memory devices |
US11963349B2 (en) * | 2020-05-27 | 2024-04-16 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memory devices with backside source contacts |
CN111937148B (zh) * | 2020-05-27 | 2021-04-16 | 长江存储科技有限责任公司 | 三维存储器件 |
US11877448B2 (en) * | 2020-05-27 | 2024-01-16 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memory devices |
US12048151B2 (en) * | 2020-05-27 | 2024-07-23 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memory devices with backside source contacts |
WO2021237491A1 (en) * | 2020-05-27 | 2021-12-02 | Yangtze Memory Technologies Co., Ltd. | Three-dimensional memory devices |
WO2021237489A1 (en) * | 2020-05-27 | 2021-12-02 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memory devices |
KR20220046291A (ko) * | 2020-10-07 | 2022-04-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치의 제조방법 |
-
2021
- 2021-08-26 CN CN202180003248.1A patent/CN114846604A/zh active Pending
- 2021-08-26 JP JP2023557781A patent/JP2024510769A/ja active Pending
- 2021-08-26 EP EP21932530.5A patent/EP4285414A4/en active Pending
- 2021-08-26 KR KR1020237031418A patent/KR20230138555A/ko active Search and Examination
-
2022
- 2022-03-28 US US17/705,983 patent/US20220310162A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
CN114846604A (zh) | 2022-08-02 |
KR20230138555A (ko) | 2023-10-05 |
EP4285414A1 (en) | 2023-12-06 |
US20220310162A1 (en) | 2022-09-29 |
EP4285414A4 (en) | 2024-10-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11552091B2 (en) | Contact structures for three-dimensional memory device | |
US11735240B2 (en) | Staircase bridge structures for word line contacts in three-dimensional memory | |
US11443807B2 (en) | Memory device using comb-like routing structure for reduced metal line loading | |
US11107834B2 (en) | Staircase and contact structures for three-dimensional memory | |
US12133385B2 (en) | Bottom select gate contacts for center staircase structures in three-dimensional memory devices | |
WO2022198918A1 (en) | Three-dimensional nand memory and fabrication method thereof | |
US20220366985A1 (en) | Three-dimensional nand memory and fabrication method thereof | |
US20220310162A1 (en) | Three-dimensional nand memory and fabrication method thereof | |
US20230005941A1 (en) | Three-dimensional memory devices and methods for forming the same | |
WO2022256949A1 (en) | Three-dimensional memory devices and methods for forming the same | |
US20220367510A1 (en) | Three-dimensional nand memory and fabrication method thereof | |
US12094767B2 (en) | Barrier layers for word line contacts in a three-dimensional NAND memory and fabrication methods thereof | |
US20240164100A1 (en) | Three-dimensional memory devices | |
WO2024103569A1 (en) | Three-dimensional memory devices | |
US20240185918A1 (en) | Three-dimensional memory devices and fabricating methods thereof | |
US20220359561A1 (en) | Three-dimensional nand memory and fabrication method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230920 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20230920 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240826 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20240830 |