JP2012533890A - Cvdチャンバの流れ制御機構 - Google Patents
Cvdチャンバの流れ制御機構 Download PDFInfo
- Publication number
- JP2012533890A JP2012533890A JP2012520801A JP2012520801A JP2012533890A JP 2012533890 A JP2012533890 A JP 2012533890A JP 2012520801 A JP2012520801 A JP 2012520801A JP 2012520801 A JP2012520801 A JP 2012520801A JP 2012533890 A JP2012533890 A JP 2012533890A
- Authority
- JP
- Japan
- Prior art keywords
- apertures
- aperture
- manifold
- gas
- gas distribution
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000007246 mechanism Effects 0.000 title description 2
- 238000009826 distribution Methods 0.000 claims abstract description 56
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims abstract description 8
- 239000012530 fluid Substances 0.000 claims description 90
- 238000001816 cooling Methods 0.000 claims description 14
- 238000004891 communication Methods 0.000 claims description 10
- 238000000926 separation method Methods 0.000 claims 1
- 238000000034 method Methods 0.000 abstract description 58
- 230000000149 penetrating effect Effects 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 141
- 210000002381 plasma Anatomy 0.000 description 59
- 230000008569 process Effects 0.000 description 55
- 239000000758 substrate Substances 0.000 description 42
- 239000002243 precursor Substances 0.000 description 33
- 238000000151 deposition Methods 0.000 description 14
- 230000008021 deposition Effects 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 230000009969 flowable effect Effects 0.000 description 9
- 230000002093 peripheral effect Effects 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 239000003989 dielectric material Substances 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- 238000002156 mixing Methods 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 230000009977 dual effect Effects 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 238000000137 annealing Methods 0.000 description 5
- 239000008246 gaseous mixture Substances 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 238000002347 injection Methods 0.000 description 5
- 239000007924 injection Substances 0.000 description 5
- 230000007704 transition Effects 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 235000014443 Pyrus communis Nutrition 0.000 description 4
- -1 Si x N y Chemical compound 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 238000001723 curing Methods 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000005086 pumping Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 230000003466 anti-cipated effect Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 230000005281 excited state Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 238000003848 UV Light-Curing Methods 0.000 description 2
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000001227 electron beam curing Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 2
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- FUWTUGQLAYKVAD-UHFFFAOYSA-N diethoxy-methyl-trimethylsilyloxysilane Chemical compound CCO[Si](C)(OCC)O[Si](C)(C)C FUWTUGQLAYKVAD-UHFFFAOYSA-N 0.000 description 1
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- UHUUYVZLXJHWDV-UHFFFAOYSA-N trimethyl(methylsilyloxy)silane Chemical compound C[SiH2]O[Si](C)(C)C UHUUYVZLXJHWDV-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/85938—Non-valved flow dividers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
Claims (19)
- 内径のところに位置する内側環状壁と、外径のところに位置する外壁と、上部表面と、底部表面とを有する環状リングと、
前記上部表面内に形成された上部リセスと、
前記内側環状壁の半径方向の外側に向けて形成されたリップと、
前記内側環状壁内に形成された台座と
を備えた環状ボディと、
ディスク形状のボディを貫通して形成された複数の第1のアパーチャを有するディスク形状のボディであって、前記第1のアパーチャが前記ボディの表面を超えて延び、それによって、盛り上がった円柱状ボディが形成される、ディスク形状のボディ
を備えた、前記上部リセス内に設置された上部プレートと、
前記第1のアパーチャに整列し、ディスク形状のボディを貫通して形成された複数の第2のアパーチャを有するディスク形状のボディと、
前記第2のアパーチャの間に、底部プレートを貫通して形成された複数の第3のアパーチャであって、前記底部プレートが、前記複数の第1のアパーチャおよび前記複数の第2のアパーチャを前記複数の第3のアパーチャから流体的に分離するために前記上部プレートに密閉するように連結される、複数の第3のアパーチャと
を備えた、前記台座上に設置された底部プレートと
を備えたガス分配アセンブリ。 - 前記上部プレートおよび底部プレートが一緒にろう付けされ、それによって、整列した第1のアパーチャおよび第2のアパーチャの各対の周りにシールが形成され、シールが前記上部プレートの外側端部と前記上部リセスの内側端部との間に作られるように、前記上部プレートが前記環状ボディにE−ビーム溶接され、シールが前記底部プレートの外側端部と前記内側環状壁との間に作られるように、前記底部プレートが前記環状ボディにE−ビーム溶接される、請求項1に記載のガス分配アセンブリ。
- 前記環状ボディが、
第1の冷却チャネルの半径方向の内側の前記上部リセス内に形成された流体配送チャネルと、
前記流体配送チャネルの半径方向の内側の前記上部リセス内に形成された流体通路であって、前記流体通路が前記流体配送チャネルに流体的に連結される、流体通路と、
前記環状ボディの前記外側壁を貫通して形成され、前記流体配送チャネルに流体的に連結され、それによって、導管の注入部から前記複数の第3のアパーチャの排出部への流れ経路が形成される、導管と
をさらに備えた、請求項2に記載のガス分配アセンブリ。 - 前記環状ボディが、
前記上部リセスの半径方向の外側の前記環状ボディの前記上部表面上に形成された第1の冷却チャネルと、
前記上部リセスの半径方向の外側の前記環状ボディの前記底部表面上に形成された第2の冷却チャネルと
をさらに備えた、請求項1に記載のガス分配アセンブリ。 - 前記環状ボディが、
前記第2の冷却チャネルの半径方向の外側の前記環状ボディの前記底部表面内に形成されたヒータリセス
をさらに備えた、請求項1に記載のガス分配アセンブリ。 - 前記複数の第1のアパーチャの各アパーチャおよび前記複数の第2のアパーチャの各アパーチャの少なくとも一部が、円柱状形状を有する、請求項1に記載のガス分配アセンブリ。
- 前記複数の第3のアパーチャが、砂時計形状を有する、請求項1に記載のガス分配アセンブリ。
- 前記複数の第2のアパーチャおよび前記複数の第3のアパーチャが交互に互い違いになった列を形成し、前記第2のアパーチャの各々が少なくとも1つの第3のアパーチャによってもう1つの第2のアパーチャから離される、請求項1に記載のガス分配アセンブリ。
- 内径のところに位置する内側環状壁と、外径のところに位置する外壁と、上部表面と、底部表面とを有する環状リングと、
前記上部表面内に形成された上部リセスと、
前記内側環状壁の半径方向の外側に向けて形成されたリップと、
前記内側環状壁内に形成された台座と
を備えた環状ボディと、
ディスク形状のボディを貫通して形成された複数の第1のアパーチャを有するディスク形状のボディであって、前記第1のアパーチャが前記ボディの表面を超えて延び、それによって、盛り上がった円柱状ボディが形成される、ディスク形状のボディ
を備えた、前記上部リセス中に設置された上部プレートと、
前記第1のアパーチャに整列し、ディスク形状のボディを貫通して形成された複数の第2のアパーチャを有するディスク形状のボディであって、前記第2のアパーチャが12辺多角形パターンに配列される、ディスク形状のボディと、
底部プレートを貫通して形成された複数の第3のアパーチャであって、前記第3のアパーチャが前記第2のアパーチャの直径よりも小さな直径を有し、前記第3のアパーチャの各々が少なくとも2つの第2のアパーチャの間に配置され、前記底部プレートが、前記複数の第1のアパーチャおよび前記複数の第2のアパーチャを前記複数の第3のアパーチャから流体的に分離するような方式で前記上面プレートに密閉するように連結される、複数の第3のアパーチャと
を備えた、前記台座上に設置された底部プレートと
を備えたガス分配アセンブリ。 - 前記上部プレートおよび底部プレートが一緒にろう付けされ、それによって、整列した第1のアパーチャおよび第2のアパーチャの各対の周りにシールが形成され、シールが前記上部プレートの外側端部と前記上部リセスの内側端部との間に作られるように、前記上部プレートが前記環状ボディにE−ビーム溶接され、シールが前記底部プレートの外側端部と前記内側環状壁との間に作られるように、前記底部プレートが前記環状ボディにE−ビーム溶接される、請求項10に記載のガス分配アセンブリ。
- 前記環状ボディが、
第1の冷却チャネルの半径方向の内側の前記上部リセス内に形成された流体配送チャネルと、
前記流体配送チャネルの半径方向の内側の前記上部リセス内に形成された流体通路であって、前記流体通路が前記流体配送チャネルに流体的に連結される、流体通路と、
前記環状ボディの前記外側壁を貫通して形成され、前記流体配送チャネルに流体的に連結され、それによって、導管の注入部から前記複数の第3のアパーチャの排出部への流れ経路が形成される、導管と
をさらに備えた、請求項10に記載のガス分配アセンブリ。 - 前記環状ボディが、
前記上部リセスの半径方向の外側の前記環状ボディの前記上部表面上に形成された第1の冷却チャネルと、
前記上部リセスの半径方向の外側の前記環状ボディの前記底部表面上に形成された第2の冷却チャネルと
をさらに備えた、請求項10に記載のガス分配アセンブリ。 - 前記環状ボディが、
前記環状ボディの前記底部表面中に、前記第2の冷却チャネルの半径方向の外側に形成されたヒータリセス
をさらに備えた、請求項10に記載のガス分配アセンブリ。 - 前記複数の第1のアパーチャが複数の互い違いになった列を形成し、前記複数の第2のアパーチャおよび前記複数の第3のアパーチャが交互に互い違いになった列を形成し、前記第2のアパーチャの各々が少なくとも1つの第3のアパーチャによってもう1つの第2のアパーチャから離される、請求項10に記載のガス分配アセンブリ。
- 上部マニホールドの中心部分の周りに同心円状に配置され、複数の第1の放射状列に形成された複数の第1のアパーチャと、
前記複数の第1のアパーチャの周りに同心円状に配置され、複数の第2の放射状列に形成された複数の第2のアパーチャと
を備えた、上部マニホールドと、
中央マニホールドの中心部分の周りに同心円状に配置された第1の開口部のセットと、
前記第1の開口部の第1のセットの周りに同心円状に配置された第2の開口部のセットと
を備えた、前記上部マニホールドに連結された中央マニホールドと、
底部マニホールドの中心部分の周りに同心円状に配置された第3の開口部のセットと、
前記第3の開口部のセットの周りに同心円状に配置された第4の開口部のセットと、
前記底部マニホールドの上部面上で前記第4の開口部の各々の間に配置された複数の第1のガスチャネルと、
前記第4の開口部のセットの周りに同心円状に配置され、前記第1のガスチャネルのうちの1つまたは複数に流体的に連結されたチャネルネットワークと
を備えた、前記中央マニホールドに連結された底部マニホールドと
を備えた、ガス分配アセンブリ。 - 前記複数の第1のガスチャネルの各々が、第1のガスチャネル中に設置され、前記底部マニホールドを貫通して形成された1つまたは複数のアパーチャをさらに備える、請求項16に記載のガス分配アセンブリ。
- 前記底部マニホールドの前記上部面上で前記第3の開口部の各々の間に配置された複数の第2のガスチャネルをさらに備えた、請求項16に記載のガス分配アセンブリ。
- 前記上部マニホールドの底面上で前記上部マニホールドの前記中心部分に形成された第1の流体貯蔵部と、
前記上部マニホールドの前記底面上に配置され、前記第1の流体貯蔵部に流体的に連結された1つまたは複数のガスチャネルと、
前記中央マニホールドの前記中心部分を貫通して形成され、前記第1の流体貯蔵部と流体連絡した中心開口部と、
前記底部マニホールドの前記上部面上で前記底部マニホールドの前記中心部分に形成された第2の流体貯蔵部であって、前記第2の流体貯蔵部が、前記中心開口部と流体連絡し、前記複数の第2のガスチャネルと流体連絡する、第2の流体貯蔵部と
をさらに備えた、請求項18に記載のガス分配アセンブリ。 - 前記複数の第2のガスチャネルの各々が、前記第2のガスチャネル中に設置され、前記底部マニホールドを貫通して形成された1つまたは複数のアパーチャをさらに備える、請求項18に記載のガス分配アセンブリ。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US22589009P | 2009-07-15 | 2009-07-15 | |
US61/225,890 | 2009-07-15 | ||
US23370609P | 2009-08-13 | 2009-08-13 | |
US61/233,706 | 2009-08-13 | ||
US23412009P | 2009-08-14 | 2009-08-14 | |
US61/234,120 | 2009-08-14 | ||
PCT/US2010/042194 WO2011009002A2 (en) | 2009-07-15 | 2010-07-15 | Flow control features of cvd chambers |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2012533890A true JP2012533890A (ja) | 2012-12-27 |
JP2012533890A5 JP2012533890A5 (ja) | 2013-08-29 |
JP5777615B2 JP5777615B2 (ja) | 2015-09-09 |
Family
ID=43450221
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012520801A Active JP5777615B2 (ja) | 2009-07-15 | 2010-07-15 | Cvdチャンバの流れ制御機構 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8894767B2 (ja) |
JP (1) | JP5777615B2 (ja) |
KR (2) | KR101598332B1 (ja) |
CN (2) | CN105088191B (ja) |
TW (1) | TWI490366B (ja) |
WO (1) | WO2011009002A2 (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015015466A (ja) * | 2013-07-03 | 2015-01-22 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 複数プレナム/2温度シャワーヘッド |
JP2015529984A (ja) * | 2012-09-21 | 2015-10-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウェハ処理機器の化学制御機構 |
JP2018512727A (ja) * | 2015-02-23 | 2018-05-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高品質薄膜を形成するための周期的連続処理 |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US10494717B2 (en) | 2015-05-26 | 2019-12-03 | Lam Research Corporation | Anti-transient showerhead |
US11608559B2 (en) | 2016-12-14 | 2023-03-21 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
JP7520868B2 (ja) | 2019-03-11 | 2024-07-23 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のリッドアセンブリ装置及び方法 |
JP7529889B2 (ja) | 2020-07-21 | 2024-08-06 | アプライド マテリアルズ インコーポレイテッド | 半導体処理システム用の分配構成要素 |
US12116669B2 (en) | 2017-12-08 | 2024-10-15 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
Families Citing this family (182)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101046520B1 (ko) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
US8673080B2 (en) * | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
KR101691558B1 (ko) * | 2009-02-13 | 2016-12-30 | 갈리움 엔터프라이지즈 피티와이 엘티디 | 플라즈마 증착 |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
TWI436831B (zh) | 2009-12-10 | 2014-05-11 | Orbotech Lt Solar Llc | 真空處理裝置之噴灑頭總成 |
US9034142B2 (en) * | 2009-12-18 | 2015-05-19 | Novellus Systems, Inc. | Temperature controlled showerhead for high temperature operations |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
SG192967A1 (en) | 2011-03-04 | 2013-09-30 | Novellus Systems Inc | Hybrid ceramic showerhead |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
KR101295794B1 (ko) * | 2011-05-31 | 2013-08-09 | 세메스 주식회사 | 기판 처리 장치 |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
TWI505400B (zh) | 2011-08-26 | 2015-10-21 | Lg Siltron Inc | 基座 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9109754B2 (en) * | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US8955547B2 (en) * | 2011-10-19 | 2015-02-17 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
WO2013070438A1 (en) * | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Precursor distribution features for improved deposition uniformity |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US8944003B2 (en) | 2012-11-16 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Remote plasma system and method |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US20150111394A1 (en) * | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US20150167160A1 (en) * | 2013-12-16 | 2015-06-18 | Applied Materials, Inc. | Enabling radical-based deposition of dielectric films |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9353440B2 (en) | 2013-12-20 | 2016-05-31 | Applied Materials, Inc. | Dual-direction chemical delivery system for ALD/CVD chambers |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
CN105441904B (zh) * | 2014-06-18 | 2018-06-26 | 中微半导体设备(上海)有限公司 | 气体喷淋装置、化学气相沉积装置和方法 |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9840777B2 (en) | 2014-06-27 | 2017-12-12 | Applied Materials, Inc. | Apparatus for radical-based deposition of dielectric films |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
TWI670756B (zh) | 2014-12-22 | 2019-09-01 | 美商應用材料股份有限公司 | 藉由沉積調整來解決fcvd的線條彎曲 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10157787B2 (en) * | 2015-12-17 | 2018-12-18 | Applied Materials, Inc. | Method and apparatus for depositing cobalt in a feature |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10304668B2 (en) * | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10559451B2 (en) * | 2017-02-15 | 2020-02-11 | Applied Materials, Inc. | Apparatus with concentric pumping for multiple pressure regimes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
KR102515110B1 (ko) * | 2018-01-29 | 2023-03-28 | 주성엔지니어링(주) | 기판처리장치 |
US11222771B2 (en) * | 2018-02-05 | 2022-01-11 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR20200015264A (ko) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | 웨이퍼 접합 방법 및 웨이퍼 접합 시스템 |
CN110896050A (zh) * | 2018-09-12 | 2020-03-20 | 长鑫存储技术有限公司 | 介电薄膜的形成方法 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
TWI848974B (zh) | 2018-09-14 | 2024-07-21 | 美商應用材料股份有限公司 | 用於多流前驅物配分劑量的裝置 |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN112368807B (zh) * | 2018-12-21 | 2024-08-20 | 玛特森技术公司 | 工件的表面平滑化 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
DE102019119019A1 (de) * | 2019-07-12 | 2021-01-14 | Aixtron Se | Gaseinlassorgan für einen CVD-Reaktor |
KR20220032608A (ko) * | 2019-07-15 | 2022-03-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 평판 디스플레이들을 위한 대면적 고밀도 플라즈마 프로세싱 챔버 |
KR20230007440A (ko) * | 2020-04-28 | 2023-01-12 | 램 리써치 코포레이션 | 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 샤워헤드 설계들 |
EP4200901A1 (en) * | 2020-08-18 | 2023-06-28 | Mattson Technology, Inc. | Rapid thermal processing system with cooling system |
US20220134359A1 (en) * | 2020-10-30 | 2022-05-05 | Kabushiki Kaisha Toshiba | Rectifying plate, fluid-introducing apparatus, and film-forming apparatus |
US20230005765A1 (en) * | 2021-07-02 | 2023-01-05 | Applied Materials, Inc. | Semiconductor processing chamber adapter |
KR102589286B1 (ko) * | 2021-08-17 | 2023-10-12 | 에스케이엔펄스 주식회사 | 가스 분사 장치 및 이를 포함하는 플라즈마 처리 장치 |
US20230097346A1 (en) * | 2021-09-30 | 2023-03-30 | Applied Materials, Inc. | Flow guide apparatuses for flow uniformity control in process chambers |
TWI809706B (zh) * | 2022-02-10 | 2023-07-21 | 緊固電子束科技有限公司 | 氣體擴散結構及其維修方法 |
USD1037778S1 (en) * | 2022-07-19 | 2024-08-06 | Applied Materials, Inc. | Gas distribution plate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002294454A (ja) * | 2001-03-19 | 2002-10-09 | Apex Co Ltd | 化学気相蒸着装置 |
JP2003529926A (ja) * | 2000-03-30 | 2003-10-07 | 東京エレクトロン株式会社 | プラズマ処理システム内への調整可能なガス注入のための方法及び装置 |
JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
JP2009141343A (ja) * | 2007-11-12 | 2009-06-25 | Sharp Corp | 気相成長装置及び気相成長方法 |
JP2009539269A (ja) * | 2006-05-30 | 2009-11-12 | アプライド マテリアルズ インコーポレイテッド | 誘電性ギャップ充填のためのプロセスチャンバ |
Family Cites Families (49)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
JP3310171B2 (ja) * | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
US6161500A (en) * | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
KR100505310B1 (ko) * | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR100458779B1 (ko) * | 2000-03-27 | 2004-12-03 | 미츠비시 쥬고교 가부시키가이샤 | 금속막의 제작방법 및 그 제작장치 |
JP4567148B2 (ja) * | 2000-06-23 | 2010-10-20 | 東京エレクトロン株式会社 | 薄膜形成装置 |
US6818096B2 (en) * | 2001-04-12 | 2004-11-16 | Michael Barnes | Plasma reactor electrode |
US20030019428A1 (en) * | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
KR100400044B1 (ko) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
WO2004088729A1 (en) * | 2003-03-26 | 2004-10-14 | Tokyo Electron Limited | Chemical processing system and method |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20050011447A1 (en) * | 2003-07-14 | 2005-01-20 | Tokyo Electron Limited | Method and apparatus for delivering process gas to a process chamber |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US20050241579A1 (en) * | 2004-04-30 | 2005-11-03 | Russell Kidd | Face shield to improve uniformity of blanket CVD processes |
KR100614648B1 (ko) * | 2004-07-15 | 2006-08-23 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 기판 처리 장치 |
KR100629358B1 (ko) * | 2005-05-24 | 2006-10-02 | 삼성전자주식회사 | 샤워 헤드 |
JP2007324154A (ja) * | 2006-05-30 | 2007-12-13 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
CN101326629B (zh) | 2006-05-30 | 2011-05-25 | 应用材料股份有限公司 | 填充介电质间隙的制程室 |
US20080081114A1 (en) * | 2006-10-03 | 2008-04-03 | Novellus Systems, Inc. | Apparatus and method for delivering uniform fluid flow in a chemical deposition system |
CN100451163C (zh) * | 2006-10-18 | 2009-01-14 | 中微半导体设备(上海)有限公司 | 用于半导体工艺件处理反应器的气体分布装置及其反应器 |
US20080099147A1 (en) * | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7674394B2 (en) * | 2007-02-26 | 2010-03-09 | Applied Materials, Inc. | Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US7976631B2 (en) * | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US20090162262A1 (en) * | 2007-12-19 | 2009-06-25 | Applied Material, Inc. | Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead |
US8512509B2 (en) * | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
CN101488446B (zh) * | 2008-01-14 | 2010-09-01 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备及其气体分配装置 |
US20090277587A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8147648B2 (en) * | 2008-08-15 | 2012-04-03 | Lam Research Corporation | Composite showerhead electrode assembly for a plasma processing apparatus |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
US20120097330A1 (en) * | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
SG192967A1 (en) * | 2011-03-04 | 2013-09-30 | Novellus Systems Inc | Hybrid ceramic showerhead |
US20120258259A1 (en) * | 2011-04-08 | 2012-10-11 | Amit Bansal | Apparatus and method for uv treatment, chemical treatment, and deposition |
US20130269612A1 (en) * | 2012-04-16 | 2013-10-17 | Hermes-Epitek Corporation | Gas Treatment Apparatus with Surrounding Spray Curtains |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) * | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9416450B2 (en) * | 2012-10-24 | 2016-08-16 | Applied Materials, Inc. | Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber |
JP6007143B2 (ja) * | 2013-03-26 | 2016-10-12 | 東京エレクトロン株式会社 | シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法 |
WO2017200696A1 (en) * | 2016-05-20 | 2017-11-23 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
-
2010
- 2010-07-15 TW TW099123311A patent/TWI490366B/zh active
- 2010-07-15 CN CN201510491086.7A patent/CN105088191B/zh active Active
- 2010-07-15 KR KR1020127003136A patent/KR101598332B1/ko active IP Right Grant
- 2010-07-15 CN CN201080031919.7A patent/CN102754190B/zh not_active Expired - Fee Related
- 2010-07-15 KR KR1020167004522A patent/KR101659303B1/ko active IP Right Grant
- 2010-07-15 US US12/836,726 patent/US8894767B2/en active Active
- 2010-07-15 WO PCT/US2010/042194 patent/WO2011009002A2/en active Application Filing
- 2010-07-15 JP JP2012520801A patent/JP5777615B2/ja active Active
-
2014
- 2014-09-09 US US14/481,774 patent/US10550472B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003529926A (ja) * | 2000-03-30 | 2003-10-07 | 東京エレクトロン株式会社 | プラズマ処理システム内への調整可能なガス注入のための方法及び装置 |
JP2002294454A (ja) * | 2001-03-19 | 2002-10-09 | Apex Co Ltd | 化学気相蒸着装置 |
JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
JP2005317958A (ja) * | 2004-04-12 | 2005-11-10 | Applied Materials Inc | 大面積プラズマ増強化学気相堆積のためのガス拡散シャワーヘッド設計 |
JP2009539269A (ja) * | 2006-05-30 | 2009-11-12 | アプライド マテリアルズ インコーポレイテッド | 誘電性ギャップ充填のためのプロセスチャンバ |
JP2009141343A (ja) * | 2007-11-12 | 2009-06-25 | Sharp Corp | 気相成長装置及び気相成長方法 |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015529984A (ja) * | 2012-09-21 | 2015-10-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウェハ処理機器の化学制御機構 |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US11053587B2 (en) | 2012-12-21 | 2021-07-06 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP2015015466A (ja) * | 2013-07-03 | 2015-01-22 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 複数プレナム/2温度シャワーヘッド |
JP2018512727A (ja) * | 2015-02-23 | 2018-05-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高品質薄膜を形成するための周期的連続処理 |
US10494717B2 (en) | 2015-05-26 | 2019-12-03 | Lam Research Corporation | Anti-transient showerhead |
US11608559B2 (en) | 2016-12-14 | 2023-03-21 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US12000047B2 (en) | 2016-12-14 | 2024-06-04 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US12116669B2 (en) | 2017-12-08 | 2024-10-15 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
JP7520868B2 (ja) | 2019-03-11 | 2024-07-23 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のリッドアセンブリ装置及び方法 |
JP7529889B2 (ja) | 2020-07-21 | 2024-08-06 | アプライド マテリアルズ インコーポレイテッド | 半導体処理システム用の分配構成要素 |
Also Published As
Publication number | Publication date |
---|---|
KR101598332B1 (ko) | 2016-03-14 |
US8894767B2 (en) | 2014-11-25 |
CN105088191A (zh) | 2015-11-25 |
JP5777615B2 (ja) | 2015-09-09 |
CN105088191B (zh) | 2018-07-13 |
CN102754190B (zh) | 2015-09-02 |
KR101659303B1 (ko) | 2016-09-23 |
US20200149166A1 (en) | 2020-05-14 |
US20150013793A1 (en) | 2015-01-15 |
TWI490366B (zh) | 2015-07-01 |
WO2011009002A3 (en) | 2011-04-14 |
US20110011338A1 (en) | 2011-01-20 |
US10550472B2 (en) | 2020-02-04 |
WO2011009002A2 (en) | 2011-01-20 |
KR20120062698A (ko) | 2012-06-14 |
TW201111548A (en) | 2011-04-01 |
CN102754190A (zh) | 2012-10-24 |
KR20160027239A (ko) | 2016-03-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5777615B2 (ja) | Cvdチャンバの流れ制御機構 | |
US20130306758A1 (en) | Precursor distribution features for improved deposition uniformity | |
US7622005B2 (en) | Uniformity control for low flow process and chamber to chamber matching | |
KR101207525B1 (ko) | 유전체 갭필용 공정 챔버 | |
CN106098527B (zh) | 用于形成膜堆叠的双通道喷头 | |
CN115584488A (zh) | 用于膜轮廓调节的喷头帘式气体方法和系统 | |
CN104250728A (zh) | 具有气封的化学沉积腔室 | |
US11791136B2 (en) | Deposition radial and edge profile tunability through independent control of TEOS flow | |
CN100466162C (zh) | 用于改进cvd膜性能的边流面板 | |
US11222771B2 (en) | Chemical control features in wafer process equipment | |
JP7562671B2 (ja) | 堆積チャンバ用のガス分配セラミックヒータ | |
US12146219B2 (en) | Flow control features of CVD chambers | |
CN112105759B (zh) | 用于cvd腔室的气体箱 | |
TW202339551A (zh) | 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130712 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20130712 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140902 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141027 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20141202 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150317 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20150324 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150609 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150707 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5777615 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |