JP2008091938A - プロセスキット、ウェハ処理装置及びプロセスキットの加熱方法 - Google Patents
プロセスキット、ウェハ処理装置及びプロセスキットの加熱方法 Download PDFInfo
- Publication number
- JP2008091938A JP2008091938A JP2007293616A JP2007293616A JP2008091938A JP 2008091938 A JP2008091938 A JP 2008091938A JP 2007293616 A JP2007293616 A JP 2007293616A JP 2007293616 A JP2007293616 A JP 2007293616A JP 2008091938 A JP2008091938 A JP 2008091938A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- chamber
- collar
- process kit
- conductive material
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 72
- 230000008569 process Effects 0.000 title claims abstract description 61
- 238000010438 heat treatment Methods 0.000 title claims abstract description 21
- 238000012545 processing Methods 0.000 title claims description 47
- 239000004020 conductor Substances 0.000 claims abstract description 42
- 239000000919 ceramic Substances 0.000 claims abstract description 19
- 239000000463 material Substances 0.000 claims description 17
- 229910052751 metal Inorganic materials 0.000 claims description 8
- 239000002184 metal Substances 0.000 claims description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 3
- 239000010453 quartz Substances 0.000 claims description 2
- 230000008021 deposition Effects 0.000 abstract description 20
- 238000004519 manufacturing process Methods 0.000 abstract description 9
- 239000007789 gas Substances 0.000 description 244
- 239000000758 substrate Substances 0.000 description 107
- 235000012431 wafers Nutrition 0.000 description 54
- 210000002381 plasma Anatomy 0.000 description 51
- 238000004140 cleaning Methods 0.000 description 41
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 40
- 239000001307 helium Substances 0.000 description 39
- 229910052734 helium Inorganic materials 0.000 description 39
- 238000009826 distribution Methods 0.000 description 33
- 238000012546 transfer Methods 0.000 description 33
- 238000000151 deposition Methods 0.000 description 20
- 230000006870 function Effects 0.000 description 15
- 230000002093 peripheral effect Effects 0.000 description 15
- 239000012530 fluid Substances 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 12
- 238000001816 cooling Methods 0.000 description 10
- 230000008901 benefit Effects 0.000 description 9
- 239000010409 thin film Substances 0.000 description 9
- 230000001965 increasing effect Effects 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 5
- 230000005684 electric field Effects 0.000 description 5
- 230000001939 inductive effect Effects 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 239000012809 cooling fluid Substances 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000004804 winding Methods 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000005524 ceramic coating Methods 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 239000011888 foil Substances 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 239000004809 Teflon Substances 0.000 description 2
- 229920006362 Teflon® Polymers 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 229910002804 graphite Inorganic materials 0.000 description 2
- 239000010439 graphite Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 238000013178 mathematical model Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000004308 accommodation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000012993 chemical processing Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000005495 cold plasma Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920005597 polymer membrane Polymers 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/507—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32467—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Materials Engineering (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Health & Medical Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Abstract
【解決手段】シールドを備える静電チャック用のプロセスキットは、カラー246と、カラー246の表面に配設された第1の導電材料と、カラー246の外側端部を取り囲むカバー248と、カバー248の表面に配設された第2の導電材料と、を備える。また、プロセスキットの加熱方法は、導電性表面を有するセラミック製のカラー246で電極を覆うステップと、RF源を用いて当該導電性表面を加熱するステップと、を備える。
【選択図】図11
Description
図1は、本発明の処理装置10の断面図である。処理装置10はチャンバ本体12、リッドアセンブリ14及び取外し可能な片持ち式の基板支持部材16を含んでいる。これら部材は組合わされて、基板の処理を行なう真空排気可能な密閉容器及び排気通路22を、物理的及び電気的に対称に形成する。
基本的に、チャンバリッドアセンブリ34は、エネルギ伝達ドーム32と、エネルギ送出アセンブリ62と、ヒンジ取付けベースプレート33上に支持される温度制御アセンブリ64とからなっている。ベースプレート33は、ガス分配リングが配設される内部環状溝を画成している。ガス分配リングの最上部にはOリング溝が形成されてOリングを収容し、ドーム32及びガス分配リングの最上部を密閉している。組み合わせによっては、リッドアセンブリが、プラズマ処理領域の他にエネルギ送出装置をも共に物理的に密閉して、処理を行うようにしている。好適には、様々な構成部品を収容するために、カバーがリッドアセンブリ全体を覆って設けられている。
次に、図5〜図10を参照して、陰極及びリフトアセンブリについて説明する。基板支持部材には、チャンバの内部に配置可能なエレメントと、チャンバの外部に配置可能なエレメントとが含まれている。チャンバ内に配置可能な支持部材16のエレメントは、チャンバの側壁部18に設けられた連絡ポート26を通ってチャンバ内部に延び、チャンバ外部に配置可能なエレメントにより側壁部に支持されている。図5は基板支持部材16の断面図である。支持部材16は、チャンバの壁部に取り付けるためのフランジ46を有するベース94、半径方向に内向きに延びている片持ち腕部分96、及び片持ち腕部分96の端部に配置された基板収容部分98を備えている。フランジ46は、基板支持部材連絡ポート26の周囲のチャンバの壁部18に、支持部材のベース94を取り付けるものである。ベース94はフランジ46から内方に延び、片持ち内壁部分51を画成している。片持ち壁部51は弓形をなすもの、又は、チャンバの全体にわたる内径(R)とほぼ等しい半径(r)を有する円弧であることが好ましい。周方向の片持ち壁部51の表面は、チャンバの内壁部52に隣接して収容されている。支持部材16が図7に示されるように処理用のチャンバ内に配置されているときは、片持ち壁部51がチャンバの内壁部52と共に、対称的且つ連続的なチャンバ内壁部を形成する。
プロセスキットはカラー及びカバーからなる。さらに、スカートを使用してもよい。セラミックのカラーはウェハの大きさ及び種類によって異なり、静電チャックと石英カバーの間に配設されている。カラーの主な目的は、静電チャックのフランジをプラズマの影響から保護することである。カバーはカラーから陰極アセンブリの外側周縁部まで延びており、その主な目的は、陰極アセンブリをプラズマの影響から保護することである。スカートは、上部チャンバ及び下部チャンバを密閉するスペーサ及びOリングを、プラズマの影響から保護するために、下部チャンバに配設してもよい。
以下、図13〜図16を参照して、ガス分配アセンブリ300について説明する。図13は、ガス分配アセンブリ300を示す本発明のチャンバの断面図である。総括的には、ガス分配装置は、ドームの下部部分とチャンバ本体の上面との間に配設されている環状のガスリング310と、ドームの最上部を通って配置されると共に中央部に配置された中央ガス供給部312とを備えている。ガスは、ドーム32の底部に近接して配置されると共に周方向に取り付けられたガスノズル302,304と、ドームの最上部プレートの中央部に配置される中央配置のガスノズル306との両方を通って、チャンバ内に導入されるようになる。この構成の利点の1つは、ノズル302,304,306を介してチャンバ内の選択位置に、複数の様々なガスを導入することができることである。さらに、酸素のような他のガス又は混合ガスを、ノズル306の周囲に配設されたガス通路308を通して、側部のノズル306に沿って導入し、且つ、チャンバ内に導入される他のガスと混合することができる。
遠隔プラズマ源は、ガス入口部及びガス出口部を有する遠隔チャンバ、導波管によりチャンバに結合される電源、及び、チャンバ内のガス入口部とガス出口部との間に配設されたアプリケータ管(applicator tube)を備えていることが基本的である。図18は、チャンバに接続された遠隔プラズマ源500の概略図を示すものである。チャンバ502は、好適にはアルミニウムでできた円筒形のチャンバであり、その対置する両端部にはガス入口部504及びガス出口部506を配設している。チャンバは、チャンバの壁部の至る所に配設されたファンを使用するか、又は、水等の熱伝達流体を内部に流す一連のコイル等の流体冷却装置を使用することにより、冷却することが好適である。サファイア管のようなアプリケータ管508又はその他のエネルギ透過管等は、チャンバ502内のガス入口部とガス出口部との間に配設されている。また、送出式水冷送出導管510は、チャンバ10の下部部分に形成されたガスチャネル28に、ガス出口部を接続している。電源は、導波管512によりチャンバに結合されている。本発明を有利にするために用いることができる遠隔プラズマ源の1つが、1994年7月21日に出願され、本明細書で参考として援用される米国特許出願第08/278,605号に記載されている。
4F* (Gas)+SiO36SiF4(Gas)+O2(Gas)
及び、2F* (Gas)+SiO2(Gas)6SiF2(Gas)+O2(Gas)
を有して、気相を真空排気することによりチャンバ13から除去されるガス状生成物を作り出すものと考えられている。長時間励起中性フッ素種F*を高濃度発生するのに最も効果的な反応ガスは、NF3,F2,SF6,ClF3及びC2F6である。しかしながら、マイクロ波によって励起可能で、チャンバ内の堆積材料と反応する他のクリーニングガスを使用してもよい。図9の本発明の遠隔マイクロ波クリーニング装置の場合、NF3及びF2を不活性なアルゴンガスで10〜50%の濃度に希釈して使用することが好ましい。遠隔プラズマ源の使用により生じる所望のクリーニング反応は、チャンバ又は基板サポート構体がイオン衝撃を受けることなく進み、ESC104上のウェハカバーや、不可欠なチャンバアセンブリの定期的な交換をする必要性が回避される。したがって、装置のずっとはるかに効果的な使用及びスループットがもたらされる。
Claims (22)
- シールドを備える静電チャック用のプロセスキットであって、
カラーと、
前記カラーの表面に配設された第1の導電材料と、
前記カラーの外側縁部を取り囲むカバーと、
前記カバーの表面に配設された第2の導電材料と、
を備えるプロセスキット。 - 前記第1の導電材料が前記カラーの内部に堆積されていることを特徴とする請求項1に記載のプロセスキット。
- 前記第1の導電材料が前記カラーの下面に堆積されていることを特徴とする請求項1に記載のプロセスキット。
- 前記カラーはセラミック製であることを特徴とする請求項1に記載のプロセスキット。
- 前記第1の導電材料と前記第2の導電材料は、同一の材料であることを特徴とする請求項1に記載のプロセスキット。
- 前記第2の導電材料は前記カバーの内部に堆積されていることを特徴とする請求項1に記載のプロセスキット。
- 前記第1の導電材料は金属であることを特徴とする請求項1に記載のプロセスキット。
- 前記プロセスセットが配置されるチャンバ内に配設可能なスカートをさらに備えることを特徴とする請求項1に記載のプロセスキット。
- 前記第2の導電材料からなる薄い環状体が前記カラーの下に堆積されていることを特徴とする請求項1に記載のプロセスキット。
- 前記カバーは石英製であることを特徴とする請求項1に記載のプロセスキット。
- 前記第2の導電材料は金属であることを特徴とする請求項1に記載のプロセスキット。
- 前記カバーはセラミック製であることを特徴とする請求項1に記載のプロセスキット。
- 導電面が前記カラーの下面であることを特徴とする請求項1に記載のプロセスキット。
- ほぼ平坦な表面を有してウェハを収容する電気的な絶縁エレメントと、
前記絶縁エレメントのほぼ平坦な前記表面と反対側の表面に近接した導電性エレメントと、
前記絶縁エレメントを取り囲んでいるカラーと、
前記カラーの表面に配設された導電材料と、
を備えるウェハ処理装置。 - 前記導電材料は前記カラーの内部に堆積されていることを特徴とする請求項14に記載のウェハ処理装置。
- 前記導電材料は前記カラーの下面に堆積されていると共に、前記カラーと略同一の幅を有していることを特徴とする請求項14に記載のウェハ処理装置。
- 前記カラーの外側縁部を取り囲むカバーと、
前記カバーの表面に堆積された第2の導電材料と、
をさらに備えることを特徴とする請求項14に記載のウェハ処理装置。 - 前記カラーはセラミック製カラーであることを特徴とする請求項14に記載のウェハ処理装置。
- 前記第2の導電材料は、前記導電材料と同一の材料であることを特徴とする請求項17に記載のウェハ処理装置。
- 前記第2の導電材料は、前記カバーの内部に堆積されていることを特徴とする請求項19に記載のウェハ処理装置。
- 導電性表面を有するセラミック製カラーで電極を覆うステップと、
RF源を用いて前記導電性表面を加熱するステップと、
を備えるプロセスキットの加熱方法。 - 前記導電材料は前記セラミック製カラーの内部に堆積されていることを特徴とする請求項21に記載の加熱方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/679,927 US6170428B1 (en) | 1996-07-15 | 1996-07-15 | Symmetric tunable inductively coupled HDP-CVD reactor |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP22293297A Division JP4688983B2 (ja) | 1996-07-15 | 1997-07-15 | 誘導結合型hdp−cvdリアクター |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2008091938A true JP2008091938A (ja) | 2008-04-17 |
Family
ID=24728963
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP22293297A Expired - Fee Related JP4688983B2 (ja) | 1996-07-15 | 1997-07-15 | 誘導結合型hdp−cvdリアクター |
JP2007293616A Pending JP2008091938A (ja) | 1996-07-15 | 2007-11-12 | プロセスキット、ウェハ処理装置及びプロセスキットの加熱方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP22293297A Expired - Fee Related JP4688983B2 (ja) | 1996-07-15 | 1997-07-15 | 誘導結合型hdp−cvdリアクター |
Country Status (6)
Country | Link |
---|---|
US (2) | US6170428B1 (ja) |
EP (1) | EP0819780B1 (ja) |
JP (2) | JP4688983B2 (ja) |
KR (1) | KR100268158B1 (ja) |
DE (1) | DE69727624T2 (ja) |
TW (1) | TW363212B (ja) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2017195303A (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置の保守方法 |
JP2017195302A (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2020522132A (ja) * | 2017-05-31 | 2020-07-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 遠隔プラズマ酸化チャンバ |
JPWO2021131097A1 (ja) * | 2019-12-25 | 2021-12-23 | 三菱電機株式会社 | マイクロ波プラズマ処理装置 |
JP2022058374A (ja) * | 2016-04-28 | 2022-04-12 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバ用セラミック被覆石英リッド |
WO2022230729A1 (ja) * | 2021-04-26 | 2022-11-03 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP7358576B1 (ja) | 2022-07-21 | 2023-10-10 | 積水化学工業株式会社 | 成膜装置及び膜付きウェハの製造方法 |
Families Citing this family (318)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6070551A (en) * | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US6184158B1 (en) * | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
US6749717B1 (en) | 1997-02-04 | 2004-06-15 | Micron Technology, Inc. | Device for in-situ cleaning of an inductively-coupled plasma chambers |
US6286451B1 (en) * | 1997-05-29 | 2001-09-11 | Applied Materials, Inc. | Dome: shape and temperature controlled surfaces |
US6797188B1 (en) * | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
DE69723566T2 (de) * | 1997-12-17 | 2004-06-03 | Tokyo Electron Ltd. | Verfahren zur Behandlung eines Halbleitersubstrates |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6200911B1 (en) * | 1998-04-21 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power |
WO1999054521A2 (en) * | 1998-04-21 | 1999-10-28 | Applied Materials, Inc. | Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power |
KR100296137B1 (ko) * | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
US6230651B1 (en) | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6374831B1 (en) * | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6395095B1 (en) | 1999-06-15 | 2002-05-28 | Tokyo Electron Limited | Process apparatus and method for improved plasma processing of a substrate |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
US6431112B1 (en) | 1999-06-15 | 2002-08-13 | Tokyo Electron Limited | Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck |
AU1490301A (en) * | 1999-11-15 | 2001-05-30 | Lam Research Corporation | Temperature control system for plasma processing apparatus |
US6302966B1 (en) | 1999-11-15 | 2001-10-16 | Lam Research Corporation | Temperature control system for plasma processing apparatus |
US6461438B1 (en) * | 1999-11-18 | 2002-10-08 | Tokyo Electron Limited | Heat treatment unit, cooling unit and cooling treatment method |
KR20010062209A (ko) * | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
US6372291B1 (en) * | 1999-12-23 | 2002-04-16 | Applied Materials, Inc. | In situ deposition and integration of silicon nitride in a high density plasma reactor |
EP1169490B1 (en) * | 2000-01-12 | 2008-03-12 | Tokyo Electron Limited | Vacuum processing apparatus |
KR100704591B1 (ko) * | 2000-03-21 | 2007-04-09 | 주성엔지니어링(주) | Cvd 장치 및 그 내부 세정방법 |
US6362110B1 (en) * | 2000-03-30 | 2002-03-26 | Lam Research Corporation | Enhanced resist strip in a dielectric etcher using downstream plasma |
DE10024699A1 (de) * | 2000-05-18 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
US6559052B2 (en) * | 2000-07-07 | 2003-05-06 | Applied Materials, Inc. | Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6843258B2 (en) | 2000-12-19 | 2005-01-18 | Applied Materials, Inc. | On-site cleaning gas generation for process chamber cleaning |
US7563328B2 (en) * | 2001-01-19 | 2009-07-21 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
US7591957B2 (en) * | 2001-01-30 | 2009-09-22 | Rapt Industries, Inc. | Method for atmospheric pressure reactive atom plasma processing for surface modification |
US7510664B2 (en) | 2001-01-30 | 2009-03-31 | Rapt Industries, Inc. | Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces |
US20020144706A1 (en) * | 2001-04-10 | 2002-10-10 | Davis Matthew F. | Remote plasma cleaning of pumpstack components of a reactor chamber |
KR100397047B1 (ko) * | 2001-05-08 | 2003-09-02 | 삼성전자주식회사 | 정전척의 냉각장치 및 방법 |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6740601B2 (en) * | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US7159597B2 (en) * | 2001-06-01 | 2007-01-09 | Applied Materials, Inc. | Multistep remote plasma clean process |
US6577113B2 (en) | 2001-06-06 | 2003-06-10 | Tokyo Electron Limited | Apparatus and method for measuring substrate biasing during plasma processing of a substrate |
US6936842B2 (en) * | 2001-06-27 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for process monitoring |
US6868856B2 (en) * | 2001-07-13 | 2005-03-22 | Applied Materials, Inc. | Enhanced remote plasma cleaning |
US7816188B2 (en) * | 2001-07-30 | 2010-10-19 | Sandisk 3D Llc | Process for fabricating a dielectric film using plasma oxidation |
US6676760B2 (en) | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
DE10141142B4 (de) * | 2001-08-24 | 2004-11-11 | Roth & Rau Ag | Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung |
US20030070620A1 (en) * | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
US6660177B2 (en) | 2001-11-07 | 2003-12-09 | Rapt Industries Inc. | Apparatus and method for reactive atom plasma processing for material deposition |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6843858B2 (en) * | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
DE10216786C5 (de) * | 2002-04-15 | 2009-10-15 | Ers Electronic Gmbh | Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden |
US20080190558A1 (en) * | 2002-04-26 | 2008-08-14 | Accretech Usa, Inc. | Wafer processing apparatus and method |
US20080017316A1 (en) * | 2002-04-26 | 2008-01-24 | Accretech Usa, Inc. | Clean ignition system for wafer substrate processing |
US20080011332A1 (en) * | 2002-04-26 | 2008-01-17 | Accretech Usa, Inc. | Method and apparatus for cleaning a wafer substrate |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US20040040664A1 (en) * | 2002-06-03 | 2004-03-04 | Yang Jang Gyoo | Cathode pedestal for a plasma etch reactor |
US20030224619A1 (en) * | 2002-06-04 | 2003-12-04 | Yoshi Ono | Method for low temperature oxidation of silicon |
JP3820188B2 (ja) | 2002-06-19 | 2006-09-13 | 三菱重工業株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI235433B (en) * | 2002-07-17 | 2005-07-01 | Tokyo Electron Ltd | Oxide film forming method, oxide film forming apparatus and electronic device material |
US20040231798A1 (en) * | 2002-09-13 | 2004-11-25 | Applied Materials, Inc. | Gas delivery system for semiconductor processing |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US7628897B2 (en) * | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
KR101276694B1 (ko) * | 2003-02-14 | 2013-06-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 수소-함유 라디칼을 이용한 자연 산화물 세정 |
US7371992B2 (en) | 2003-03-07 | 2008-05-13 | Rapt Industries, Inc. | Method for non-contact cleaning of a surface |
US7037376B2 (en) * | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
JP4394073B2 (ja) * | 2003-05-02 | 2010-01-06 | 東京エレクトロン株式会社 | 処理ガス導入機構およびプラズマ処理装置 |
US7081414B2 (en) * | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US6958112B2 (en) * | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7993460B2 (en) * | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
KR100526928B1 (ko) * | 2003-07-16 | 2005-11-09 | 삼성전자주식회사 | 식각장치 |
JP2005063760A (ja) * | 2003-08-08 | 2005-03-10 | Sekisui Chem Co Ltd | プラズマ処理方法および処理装置 |
WO2005015963A1 (ja) * | 2003-08-08 | 2005-02-17 | Sekisui Chemical Co., Ltd. | プラズマ処理方法及び装置 |
JP4532948B2 (ja) * | 2004-03-19 | 2010-08-25 | 積水化学工業株式会社 | プラズマ処理方法 |
US7297892B2 (en) * | 2003-08-14 | 2007-11-20 | Rapt Industries, Inc. | Systems and methods for laser-assisted plasma processing |
US7304263B2 (en) * | 2003-08-14 | 2007-12-04 | Rapt Industries, Inc. | Systems and methods utilizing an aperture with a reactive atom plasma torch |
US6829056B1 (en) | 2003-08-21 | 2004-12-07 | Michael Barnes | Monitoring dimensions of features at different locations in the processing of substrates |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US20050079729A1 (en) * | 2003-10-08 | 2005-04-14 | Woo-Sung Jang | High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same |
TW200526800A (en) * | 2003-12-15 | 2005-08-16 | Applied Materials Inc | Edge flow faceplate for improvement of CVD film properties |
US7431772B2 (en) * | 2004-03-09 | 2008-10-07 | Applied Materials, Inc. | Gas distributor having directed gas flow and cleaning method |
US20050205211A1 (en) * | 2004-03-22 | 2005-09-22 | Vikram Singh | Plasma immersion ion implantion apparatus and method |
US20050221618A1 (en) * | 2004-03-31 | 2005-10-06 | Amrhein Frederick J | System for controlling a plenum output flow geometry |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
CA2562786A1 (en) * | 2004-04-30 | 2005-11-17 | Pdp Compass, Llc | Foldable stroller |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US20050260356A1 (en) * | 2004-05-18 | 2005-11-24 | Applied Materials, Inc. | Microcontamination abatement in semiconductor processing |
US7229931B2 (en) * | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
US7183227B1 (en) * | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US20060021633A1 (en) * | 2004-07-27 | 2006-02-02 | Applied Materials, Inc. | Closed loop clean gas control |
US20060024451A1 (en) * | 2004-07-30 | 2006-02-02 | Applied Materials Inc. | Enhanced magnetic shielding for plasma-based semiconductor processing tool |
US7087536B2 (en) * | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
US20060049036A1 (en) * | 2004-09-09 | 2006-03-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for real-time control and monitor of deposition processes |
US7429410B2 (en) | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
KR100589046B1 (ko) * | 2004-09-23 | 2006-06-12 | 삼성전자주식회사 | 박막 형성 방법 |
US20060075967A1 (en) * | 2004-10-12 | 2006-04-13 | Applied Materials, Inc. | Magnetic-field concentration in inductively coupled plasma reactors |
US20060105106A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
KR20060059305A (ko) * | 2004-11-26 | 2006-06-01 | 삼성전자주식회사 | 반도체 공정 장비 |
US7510624B2 (en) * | 2004-12-17 | 2009-03-31 | Applied Materials, Inc. | Self-cooling gas delivery apparatus under high vacuum for high density plasma applications |
US20060154494A1 (en) | 2005-01-08 | 2006-07-13 | Applied Materials, Inc., A Delaware Corporation | High-throughput HDP-CVD processes for advanced gapfill applications |
US20060162661A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US20060185591A1 (en) * | 2005-02-18 | 2006-08-24 | General Electric Company | High temperature chemical vapor deposition apparatus |
US20060260645A1 (en) * | 2005-02-22 | 2006-11-23 | Appleyard Nicholas J | Methods and apparatus for processing wafers |
US7722719B2 (en) * | 2005-03-07 | 2010-05-25 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20060238954A1 (en) * | 2005-04-21 | 2006-10-26 | Applied Materials, Inc., A Delaware Corporation | Electrostatic chuck for track thermal plates |
US7247582B2 (en) * | 2005-05-23 | 2007-07-24 | Applied Materials, Inc. | Deposition of tensile and compressive stressed materials |
US7329586B2 (en) * | 2005-06-24 | 2008-02-12 | Applied Materials, Inc. | Gapfill using deposition-etch sequence |
US7811411B2 (en) * | 2005-08-09 | 2010-10-12 | Applied Materials, Inc. | Thermal management of inductively coupled plasma reactors |
US7651587B2 (en) * | 2005-08-11 | 2010-01-26 | Applied Materials, Inc. | Two-piece dome with separate RF coils for inductively coupled plasma reactors |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
KR100842739B1 (ko) * | 2006-05-02 | 2008-07-01 | 주식회사 하이닉스반도체 | 고밀도 플라즈마 증착 장치의 정전척 |
CN101460659B (zh) * | 2006-06-02 | 2011-12-07 | 应用材料股份有限公司 | 利用压差测量的气流控制 |
US7879184B2 (en) * | 2006-06-20 | 2011-02-01 | Lam Research Corporation | Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts |
US8038850B2 (en) * | 2006-06-23 | 2011-10-18 | Qimonda Ag | Sputter deposition method for forming integrated circuit |
US20080029484A1 (en) * | 2006-07-25 | 2008-02-07 | Applied Materials, Inc. | In-situ process diagnostics of in-film aluminum during plasma deposition |
US7758763B2 (en) * | 2006-10-31 | 2010-07-20 | Applied Materials, Inc. | Plasma for resist removal and facet control of underlying features |
US20080121177A1 (en) * | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7740706B2 (en) | 2006-11-28 | 2010-06-22 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20080124944A1 (en) * | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7732728B2 (en) * | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
US20080299775A1 (en) * | 2007-06-04 | 2008-12-04 | Applied Materials, Inc. | Gapfill extension of hdp-cvd integrated process modulation sio2 process |
US7745350B2 (en) | 2007-09-07 | 2010-06-29 | Applied Materials, Inc. | Impurity control in HDP-CVD DEP/ETCH/DEP processes |
US7867921B2 (en) | 2007-09-07 | 2011-01-11 | Applied Materials, Inc. | Reduction of etch-rate drift in HDP processes |
KR101046520B1 (ko) | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
US8118946B2 (en) * | 2007-11-30 | 2012-02-21 | Wesley George Lau | Cleaning process residues from substrate processing chamber components |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US7704897B2 (en) * | 2008-02-22 | 2010-04-27 | Applied Materials, Inc. | HDP-CVD SiON films for gap-fill |
US8994270B2 (en) | 2008-05-30 | 2015-03-31 | Colorado State University Research Foundation | System and methods for plasma application |
US9288886B2 (en) * | 2008-05-30 | 2016-03-15 | Colorado State University Research Foundation | Plasma-based chemical source device and method of use thereof |
JP2011521735A (ja) * | 2008-05-30 | 2011-07-28 | コロラド ステート ユニバーシティ リサーチ ファンデーション | プラズマを発生させるためのシステム、方法、および装置 |
WO2011123125A1 (en) | 2010-03-31 | 2011-10-06 | Colorado State University Research Foundation | Liquid-gas interface plasma device |
US7972968B2 (en) * | 2008-08-18 | 2011-07-05 | Applied Materials, Inc. | High density plasma gapfill deposition-etch-deposition process etchant |
CN101351076B (zh) * | 2008-09-16 | 2011-08-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备 |
WO2010048076A2 (en) * | 2008-10-21 | 2010-04-29 | Applied Materials, Inc. | Plasma source for chamber cleaning and process |
US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
JP2010192197A (ja) * | 2009-02-17 | 2010-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US20100270262A1 (en) * | 2009-04-22 | 2010-10-28 | Applied Materials, Inc. | Etching low-k dielectric or removing resist with a filtered ionized gas |
DE102009044276A1 (de) * | 2009-10-16 | 2011-05-05 | Aixtron Ag | CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter |
US8222822B2 (en) * | 2009-10-27 | 2012-07-17 | Tyco Healthcare Group Lp | Inductively-coupled plasma device |
WO2011119611A2 (en) * | 2010-03-22 | 2011-09-29 | Applied Materials, Inc. | Dielectric deposition using a remote plasma source |
JP2013529352A (ja) | 2010-03-31 | 2013-07-18 | コロラド ステート ユニバーシティー リサーチ ファウンデーション | 液体−気体界面プラズマデバイス |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5685405B2 (ja) * | 2010-09-03 | 2015-03-18 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
US10595365B2 (en) | 2010-10-19 | 2020-03-17 | Applied Materials, Inc. | Chamber lid heater ring assembly |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
JP5902896B2 (ja) * | 2011-07-08 | 2016-04-13 | 東京エレクトロン株式会社 | 基板処理装置 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
TWI568319B (zh) | 2011-10-05 | 2017-01-21 | 應用材料股份有限公司 | 電漿處理設備及其蓋組件(二) |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8920888B2 (en) * | 2012-04-04 | 2014-12-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma process, film deposition method and system using rotary chuck |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10727092B2 (en) * | 2012-10-17 | 2020-07-28 | Applied Materials, Inc. | Heated substrate support ring |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
TWI474368B (zh) * | 2012-12-27 | 2015-02-21 | Metal Ind Res & Dev Ct | 電漿處理系統及其射頻阻抗匹配裝置 |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9532826B2 (en) | 2013-03-06 | 2017-01-03 | Covidien Lp | System and method for sinus surgery |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9555145B2 (en) | 2013-03-13 | 2017-01-31 | Covidien Lp | System and method for biofilm remediation |
WO2014164300A1 (en) * | 2013-03-13 | 2014-10-09 | Applied Materials, Inc | Pulsed pc plasma etching process and apparatus |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
JP6499835B2 (ja) * | 2014-07-24 | 2019-04-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6696322B2 (ja) * | 2016-06-24 | 2020-05-20 | 東京エレクトロン株式会社 | ガス処理装置、ガス処理方法及び記憶媒体 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US11955362B2 (en) | 2017-09-13 | 2024-04-09 | Applied Materials, Inc. | Substrate support for reduced damage substrate backside |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN108048820A (zh) * | 2017-12-22 | 2018-05-18 | 江苏鲁汶仪器有限公司 | 气相沉积设备和气相沉积方法 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN112017932B (zh) * | 2019-05-31 | 2022-11-29 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置中气体输送系统的耐腐蚀结构 |
US11610792B2 (en) * | 2019-08-16 | 2023-03-21 | Applied Materials, Inc. | Heated substrate support with thermal baffles |
KR20230146074A (ko) * | 2021-02-19 | 2023-10-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 지지체, 기판을 프로세싱하는 방법, 및 프로세싱 시스템 |
US11917744B2 (en) * | 2021-07-22 | 2024-02-27 | Perkinelmer Scientific Canada Ulc | Heat management for inductively coupled plasma systems |
DE102022102768A1 (de) * | 2022-02-07 | 2023-08-10 | Stephan Wege | Symmetrischer Prozessreaktor |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63119525A (ja) * | 1986-11-08 | 1988-05-24 | Hitachi Electronics Eng Co Ltd | プラズマcvd装置 |
JPH07122546A (ja) * | 1993-10-20 | 1995-05-12 | Tokyo Electron Ltd | プラズマ処理装置の制御方法 |
JPH0892748A (ja) * | 1994-04-28 | 1996-04-09 | Applied Materials Inc | 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ |
JPH10116826A (ja) * | 1996-07-15 | 1998-05-06 | Applied Materials Inc | 誘導結合型hdp−cvdリアクター |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR890004881B1 (ko) * | 1983-10-19 | 1989-11-30 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마 처리 방법 및 그 장치 |
US4878994A (en) * | 1987-07-16 | 1989-11-07 | Texas Instruments Incorporated | Method for etching titanium nitride local interconnects |
JP2680338B2 (ja) * | 1988-03-31 | 1997-11-19 | 株式会社東芝 | 静電チャック装置 |
DE69033663T2 (de) * | 1989-08-28 | 2001-06-21 | Hitachi, Ltd. | Verfahren zur Behandlung eines Aluminium enthaltenden Musters |
JP2775345B2 (ja) * | 1989-12-15 | 1998-07-16 | キヤノン株式会社 | プラズマ処理法及びプラズマ処理装置 |
KR100255703B1 (ko) * | 1991-06-27 | 2000-05-01 | 조셉 제이. 스위니 | 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 |
US5665167A (en) * | 1993-02-16 | 1997-09-09 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus having a workpiece-side electrode grounding circuit |
US5401350A (en) * | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5365057A (en) | 1993-07-02 | 1994-11-15 | Litton Systems, Inc. | Light-weight night vision device |
JP2764524B2 (ja) * | 1993-09-28 | 1998-06-11 | 名古屋大学長 | ラジカルの制御装置 |
JP3172759B2 (ja) | 1993-12-02 | 2001-06-04 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP3043217B2 (ja) * | 1994-02-22 | 2000-05-22 | 東京エレクトロン株式会社 | プラズマ発生装置 |
EP0660449A3 (en) | 1993-12-09 | 1997-01-08 | Santa Barbara Res Center | Electrical leadthrough and its manufacture, as well as device that uses the leadthrough. |
TW296534B (ja) * | 1993-12-17 | 1997-01-21 | Tokyo Electron Co Ltd | |
US5463525A (en) * | 1993-12-20 | 1995-10-31 | International Business Machines Corporation | Guard ring electrostatic chuck |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
EP0668608A1 (en) | 1994-02-22 | 1995-08-23 | Applied Materials, Inc. | Electrostatic chuck with erosion-resistant electrode connection |
JP3276514B2 (ja) * | 1994-04-26 | 2002-04-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH07335563A (ja) * | 1994-06-10 | 1995-12-22 | Mitsubishi Electric Corp | プラズマcvd装置 |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
JP3247270B2 (ja) * | 1994-08-25 | 2002-01-15 | 東京エレクトロン株式会社 | 処理装置及びドライクリーニング方法 |
US5753044A (en) * | 1995-02-15 | 1998-05-19 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
US5688357A (en) * | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5767628A (en) * | 1995-12-20 | 1998-06-16 | International Business Machines Corporation | Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel |
US5824604A (en) * | 1996-01-23 | 1998-10-20 | Mattson Technology, Inc. | Hydrocarbon-enhanced dry stripping of photoresist |
US5669975A (en) * | 1996-03-27 | 1997-09-23 | Sony Corporation | Plasma producing method and apparatus including an inductively-coupled plasma source |
US6027601A (en) * | 1997-07-01 | 2000-02-22 | Applied Materials, Inc | Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor |
-
1996
- 1996-07-15 US US08/679,927 patent/US6170428B1/en not_active Expired - Lifetime
-
1997
- 1997-05-29 US US08/865,018 patent/US6182602B1/en not_active Expired - Lifetime
- 1997-07-15 EP EP97305257A patent/EP0819780B1/en not_active Expired - Lifetime
- 1997-07-15 JP JP22293297A patent/JP4688983B2/ja not_active Expired - Fee Related
- 1997-07-15 DE DE69727624T patent/DE69727624T2/de not_active Expired - Lifetime
- 1997-07-15 KR KR1019970034125A patent/KR100268158B1/ko active Pre-grant Review Request
- 1997-07-15 TW TW086110052A patent/TW363212B/zh not_active IP Right Cessation
-
2007
- 2007-11-12 JP JP2007293616A patent/JP2008091938A/ja active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63119525A (ja) * | 1986-11-08 | 1988-05-24 | Hitachi Electronics Eng Co Ltd | プラズマcvd装置 |
JPH07122546A (ja) * | 1993-10-20 | 1995-05-12 | Tokyo Electron Ltd | プラズマ処理装置の制御方法 |
JPH0892748A (ja) * | 1994-04-28 | 1996-04-09 | Applied Materials Inc | 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタ |
JPH10116826A (ja) * | 1996-07-15 | 1998-05-06 | Applied Materials Inc | 誘導結合型hdp−cvdリアクター |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI707399B (zh) * | 2016-04-21 | 2020-10-11 | 日商東京威力科創股份有限公司 | 電漿處理裝置 |
WO2017183507A1 (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置の保守方法 |
JP2017195302A (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2017183506A1 (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR20180134912A (ko) * | 2016-04-21 | 2018-12-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
JP2017195303A (ja) * | 2016-04-21 | 2017-10-26 | 東京エレクトロン株式会社 | プラズマ処理装置の保守方法 |
KR102404969B1 (ko) | 2016-04-21 | 2022-06-07 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US12009178B2 (en) | 2016-04-28 | 2024-06-11 | Applied Materials, Inc. | Ceramic coated quartz lid for processing chamber |
JP2022058374A (ja) * | 2016-04-28 | 2022-04-12 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバ用セラミック被覆石英リッド |
JP7284245B2 (ja) | 2016-04-28 | 2023-05-30 | アプライド マテリアルズ インコーポレイテッド | 処理チャンバ用セラミック被覆石英リッド |
JP2020522132A (ja) * | 2017-05-31 | 2020-07-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 遠隔プラズマ酸化チャンバ |
US11615944B2 (en) | 2017-05-31 | 2023-03-28 | Applied Materials, Inc. | Remote plasma oxidation chamber |
JP7125427B2 (ja) | 2017-05-31 | 2022-08-24 | アプライド マテリアルズ インコーポレイテッド | 遠隔プラズマ酸化チャンバ |
JP7032554B2 (ja) | 2019-12-25 | 2022-03-08 | 三菱電機株式会社 | マイクロ波プラズマ処理装置 |
JPWO2021131097A1 (ja) * | 2019-12-25 | 2021-12-23 | 三菱電機株式会社 | マイクロ波プラズマ処理装置 |
WO2022230729A1 (ja) * | 2021-04-26 | 2022-11-03 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP7358576B1 (ja) | 2022-07-21 | 2023-10-10 | 積水化学工業株式会社 | 成膜装置及び膜付きウェハの製造方法 |
JP2024013909A (ja) * | 2022-07-21 | 2024-02-01 | 積水化学工業株式会社 | 成膜装置及び膜付きウェハの製造方法 |
Also Published As
Publication number | Publication date |
---|---|
EP0819780A3 (en) | 1998-05-27 |
DE69727624T2 (de) | 2004-10-14 |
JPH10116826A (ja) | 1998-05-06 |
KR100268158B1 (ko) | 2000-12-01 |
KR980011769A (ko) | 1998-04-30 |
TW363212B (en) | 1999-07-01 |
JP4688983B2 (ja) | 2011-05-25 |
EP0819780A2 (en) | 1998-01-21 |
DE69727624D1 (de) | 2004-03-25 |
US6182602B1 (en) | 2001-02-06 |
EP0819780B1 (en) | 2004-02-18 |
US6170428B1 (en) | 2001-01-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4688983B2 (ja) | 誘導結合型hdp−cvdリアクター | |
US6077357A (en) | Orientless wafer processing on an electrostatic chuck | |
US6189483B1 (en) | Process kit | |
US6109206A (en) | Remote plasma source for chamber cleaning | |
US5994662A (en) | Unique baffle to deflect remote plasma clean gases | |
US6286451B1 (en) | Dome: shape and temperature controlled surfaces | |
US6083344A (en) | Multi-zone RF inductively coupled source configuration | |
JP4801250B2 (ja) | 堆積チャンバ内の基板上に膜を堆積する方法 | |
US6143078A (en) | Gas distribution system for a CVD processing chamber | |
US6051286A (en) | High temperature, high deposition rate process and apparatus for depositing titanium layers | |
JP2971847B2 (ja) | 高温、腐食性、プラズマ環境下でのクリーニングプロセスのための方法及び装置 | |
US5968379A (en) | High temperature ceramic heater assembly with RF capability and related methods | |
US7132618B2 (en) | MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression | |
US5994678A (en) | Apparatus for ceramic pedestal and metal shaft assembly | |
US6039834A (en) | Apparatus and methods for upgraded substrate processing system with microwave plasma source | |
WO2007046414A1 (ja) | 処理装置 | |
JPH10298767A (ja) | 高温、大流量化学気相堆積装置及び関連する方法 | |
EP0855452B1 (en) | Process and apparatus for depositing titanium layers | |
US6435197B2 (en) | Method of cleaning a semiconductor fabricating apparatus | |
KR19980071012A (ko) | 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100824 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101124 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111004 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20111226 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120104 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20120404 |