JP2002334871A - プラズマプロセスのプラズマ電荷ダメージを低減する方法 - Google Patents
プラズマプロセスのプラズマ電荷ダメージを低減する方法Info
- Publication number
- JP2002334871A JP2002334871A JP2002019054A JP2002019054A JP2002334871A JP 2002334871 A JP2002334871 A JP 2002334871A JP 2002019054 A JP2002019054 A JP 2002019054A JP 2002019054 A JP2002019054 A JP 2002019054A JP 2002334871 A JP2002334871 A JP 2002334871A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- substrate
- plasma
- chamber
- process chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 200
- 230000008569 process Effects 0.000 title claims abstract description 156
- 230000008859 change Effects 0.000 title description 2
- 239000007789 gas Substances 0.000 claims abstract description 220
- 239000000758 substrate Substances 0.000 claims abstract description 86
- 238000000151 deposition Methods 0.000 claims abstract description 77
- 239000002243 precursor Substances 0.000 claims abstract description 28
- 239000010408 film Substances 0.000 claims abstract description 26
- 239000010409 thin film Substances 0.000 claims abstract description 15
- 238000012545 processing Methods 0.000 claims description 23
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 22
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 20
- 229910000077 silane Inorganic materials 0.000 claims description 20
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 13
- 239000001301 oxygen Substances 0.000 claims description 13
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 229910052786 argon Inorganic materials 0.000 claims description 11
- 238000005530 etching Methods 0.000 claims description 10
- 239000011261 inert gas Substances 0.000 claims description 9
- 238000006243 chemical reaction Methods 0.000 claims description 8
- 238000003860 storage Methods 0.000 claims description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 4
- 229910052710 silicon Inorganic materials 0.000 claims description 4
- 239000010703 silicon Substances 0.000 claims description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims 2
- 229910052814 silicon oxide Inorganic materials 0.000 claims 2
- 239000000463 material Substances 0.000 abstract description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 abstract description 2
- 230000008021 deposition Effects 0.000 description 67
- 235000012431 wafers Nutrition 0.000 description 30
- 229910052751 metal Inorganic materials 0.000 description 27
- 239000002184 metal Substances 0.000 description 27
- 239000000523 sample Substances 0.000 description 23
- 238000004140 cleaning Methods 0.000 description 12
- 238000010586 diagram Methods 0.000 description 11
- 238000005259 measurement Methods 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 9
- 238000007726 management method Methods 0.000 description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 8
- 238000004590 computer program Methods 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 230000012010 growth Effects 0.000 description 8
- 239000001257 hydrogen Substances 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 238000012544 monitoring process Methods 0.000 description 8
- 230000007935 neutral effect Effects 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 208000033999 Device damage Diseases 0.000 description 5
- 230000005641 tunneling Effects 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 230000004907 flux Effects 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000007800 oxidant agent Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 241000894007 species Species 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 238000009825 accumulation Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000003111 delayed effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000007773 growth pattern Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 238000004611 spectroscopical analysis Methods 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- 230000036962 time dependent Effects 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 241000183290 Scleropages leichardti Species 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- LFYJSSARVMHQJB-QIXNEVBVSA-N bakuchiol Chemical compound CC(C)=CCC[C@@](C)(C=C)\C=C\C1=CC=C(O)C=C1 LFYJSSARVMHQJB-QIXNEVBVSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 231100001261 hazardous Toxicity 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 229910052574 oxide ceramic Inorganic materials 0.000 description 1
- 239000011224 oxide ceramic Substances 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45559—Diffusion of reactive gas to substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
ロセスチャンバ内で基板上に薄膜を堆積する方法を提供
する。 【解決手段】 プラズマチャンバ13内で基板17上に
膜を堆積するための方法であって、プラズマを形成する
ために適した複数の前駆物質ガスを有するプロセスガス
をプロセスチャンバ内に流入させるステップと、プロセ
スガスからプラズマを発生させて基板上に薄膜を堆積さ
せるステップを有し、薄膜が基板の中心部で基板のエッ
ジより高速に堆積されるように、複数の前駆物質ガスを
プロセスチャンバ内に流入させる。
Description
の製造に関する。より具体的に本発明は、プラズマプロ
セス技術を用いて集積回路を製造する際、プラズマ電荷
ダメージを低減するための方法及び装置に関する。
テップの1つは、ガスの化学反応により半導体基板上に
薄膜を形成することである。この堆積プロセスは、化学
気相成長(「CVD」)と呼ばれる。従来の熱CVDプ
ロセスでは基板表面に反応ガスを供給し、そこでは熱で
誘発された化学反応が生じ、所望の膜が生成される。他
方、プラズマ強化CVD技術は、高周波(「RF」)エ
ネルギを基板表面付近の反応ゾーンに印加し、それによ
りプラズマを形成することによって、反応ガスの励起及
び/又は解離を促進する。プラズマにおける種の高い反
応性は、従来の熱CVDプロセスに比較して、化学反応
を起こすために必要なエネルギを減少させ、したがって
このCVDプロセスに必要な温度を低下させる。これら
の利点は、低真空圧で密なプラズマが形成されるので、
プラズマ種がいっそうよく反応する高密度プラズマ
(「HDP」)CVD技術によってさらに高められる。
の製作中に導電性又は絶縁性膜を堆積するために使用す
ることができる。一般的な構成は、図1(a)に示すよ
うな一連の金属線上に酸化物材料を堆積することを必要
とする。この構成では、金属線206は図に対して垂直
方向に伸長する。各金属線206は、ゲート酸化物20
4によって基板202から分離された、下位レベルのゲ
ート208に電気的に接続される。
電効果を説明するために、2つの極端な状態を考慮す
る。第1状態を図1(b)に示す。これは一連の金属線
206上の膜210の非常に非共形的な堆積を示す。こ
の成長パターンは「中性流束制限的酸化物成長」(neutr
al-flux-limited oxide growth)とも呼ばれ、膜210
の厚さが金属線パターンの表面セグメントに到達する中
性前駆物質の流束に比例して増加するときに生じる。堆
積層210は、金属線206の上では、それらの間の間
隙の底部又は側壁よりも厚くなる。これは、トポグラフ
ィによる等方性中性前駆物質の幾何学的シャドーイング
の結果である。第2の極端な状態は図1(c)に示す。
これは一連の金属線206の上の膜210の共形的堆積
を示す。この成長パターンは「反応速度制限的酸化物成
長」(reaction-rate-limited oxidegrowth)と記述する
ことができ、膜の厚さが中性前駆物質の流束から独立し
ているときに生じる。この非常に理想的な状態では、膜
の表面は常に全ての表面で同一である。現実的な堆積プ
ロセスは、図1(b)及び図1(c)によって示した両
極端の間で中間的にふるまう。
化物材料の堆積中に電荷を得る。この荷電は、酸化物層
の頂部及び側壁を通して発生するトンネル電流、側壁及
び底面に沿って発生する表面電流、ならびに基板からゲ
ート酸化物を通した電子トンネルの組合せから生じる。
図1(d)は中性流束制限的成長の、図1(e)は反応
速度制限的成長の、それぞれの酸化物層の堆積中に発生
する電流を示す。イオンと電子が形成層を横断する際、
両者間の方向性の相違により電位差のパターンが生じ、
金属線は電荷の獲得に加えて電位勾配にさらされる。
部側壁における負電荷の蓄積につながる一方、方向性イ
オンは、間隙内の形成表面を正に荷電する。上部側壁に
おける負電位と空隙の底部における正電位との組合せに
より、優先的に側壁の方向に偏向する高エネルギイオン
は少なくなり、側壁の下部も正電荷を獲得するようにな
る。表面電流は、閾値より高い表面の電位勾配が存在し
ないことを保証するが、酸化物層には、特に表面の電位
が極値に近づく側壁の頂部及び底部付近には、大きい電
界が発生する。
層の各点における厚さにも依存する。図1(d)に示す
ように、非共形的酸化物の堆積に結果的により大きい金
属線の荷電及び増加するゲート酸化物ダメージを引き起
こさせるのは、この厚さ依存性である。図1(d)及び
図1(e)の両方において、正のトンネル電流が矢印で
示され、矢印の太さは電流の大きさにおおまかに比例す
る。非共形堆積の場合[図1(d)]、大きい正電流
が、酸化物の最も薄い側壁底部領域を通して金属線20
6に流れる。酸化物成長の早期ステージ中に、この電流
は上部側壁領域からの電子トンネルによって大部分補償
され、ゲート酸化物を通る電流は比較的小さい。上部側
壁の酸化物の厚さが増加すると、それに対応してそこで
の電子トンネル電流が減少し、ゲート酸化物を通る電流
が増加して、側壁底部の過剰な電流の流れが補償され
る。
が堆積するときに、膜の厚さは側壁の頂部及び底部で同
じ速度で増加し、正及び負のトンネル電流の同時低下を
生じる。側壁底部を流れる過剰な電流が無いので、ゲー
ト酸化物の補償電流は小さいまま維持することができ
る。図1(d)及び図1(e)の両方において、層の厚
さは、解説のために誇張されている。電荷ダメージは、
堆積された膜が図示した厚さに達するずっと前に引き起
こされる。
に破壊電流が生成されるメカニズムのこの記述は、多少
図式的である。より完全な分析は、Gyeong S.Hwang and
Konstantinos P.Giapis, J.Appl.Phys.84154(1998)
に提供されており、全ての目的のためにこれを参照によ
ってここに組み込む。さらに、この記述は堆積中の電流
の発生に焦点をおいているが、プラズマ強化エッチング
プロセス中には、同様に破壊電流が発生する。その結
果、プラズマプロセスを使用して集積回路構造を形成す
る堆積及びエッチングプロセスは両方とも、例えば側壁
の不規則性(切欠き、反りなど)及び/又は潜在的ゲー
ト酸化物劣化によって、微細構造コンポーネントをダメ
ージすることがあり得る。この荷電ダメージは、より高
いアスペクト比の構造をエッチングする場合に、より大
きくなることが知られている。ここで、アスペクト比と
は間隙の幅に対するその高さの比である。また、より高
いアスペクト比を持つ構造における堆積プロセスの場合
にも、より大きくなると予想される。論理デバイスのい
っそう高密度の実装を探究し続ける一環として、限界構
造寸法を低下し、かつ同時に、このプロセスのアスペク
ト比能力を高めるための努力が絶えず行なわれているの
で、荷電ダメージを低減することのできるプロセスを持
つことが望ましい。
ラズマ堆積プロセス中のプラズマ放電ダメージを低減す
るための方法により克服される。ウェハ上の堆積がウェ
ハの中心ではエッジよりも高速で進行するように、プロ
セスチャンバへのガス流を構成することにより、プラズ
マ電荷ダメージの発生が低減される。そして、一実施形
態では、プロセスチャンバ内で基板上に薄膜を堆積する
ための方法を提供する。この方法は、プラズマを形成す
るに適した複数の前駆物質ガスを有するプロセスガス
を、プロセスチャンバ内に流すステップと、プロセスガ
スからプラズマを発生させて基板上に薄膜を堆積するス
テップとを有する。前駆物質ガスは、薄膜が基板のエッ
ジよりも基板の中心の方が高速に堆積されるように、プ
ロセスチャンバ内に流される。
は、上部ガス源と側部ガス源を有している。前駆物質ガ
スの少なくとも1つが、側部ガス源からよりも高い速度
で上部ガス源から流される。1つの実施形態では、各前
駆物質ガスは、側部ガス源からよりも高い速度で上部ガ
ス源から流され、別の実施形態では、各前駆物質ガスが
上部ガス源だけより流される。
を指示するためにその中に組み入れられたコンピュータ
読取り可能プログラムを有するコンピュータ読取り可能
な記憶媒体として具体化することができる。このシステ
ムは、プロセスチャンバ、プラズマ発生システム、基板
保持器、ガス送出しシステム、及びシステム制御装置を
有する。コンピュータ読取り可能プログラムは、上述の
実施形態に従って基板処理システムを操作して、プロセ
スチャンバ内に配置された基板上に薄膜を形成するため
の命令を有する。
いて、その利点及び特徴と同様、以下の本文及び添付の
図面に関連してより詳しく説明する。
られた円形ウェハ上に行なわれる。ウェハ上に複数のレ
クティリニアデバイスが矩形配列に形成され、4つの類
似形状の円形セグメントが未処理のまま残っている。本
発明の実施形態は、ウェハの中心部の被覆から始まりウ
ェハのエッジ方向に向かって堆積を進めるプラズマ堆積
プロセスを使用することにより、この回路のプラズマ電
荷ダメージの可能性を低減することに関する。ウェハ中
心部に初期誘電体膜があるため、破壊荷電が防止され
る。他の実施形態では、この技術を、堆積プロセスに加
えてエッチングプロセス用に適応させる。
る、高密度プラズマ化学気相成長(HDP−CVD)シ
ステム10の一実施形態を示す。システム10は、チャ
ンバ13、真空システム70、ソースプラズマシステム
80A、バイアスプラズマシステム80B、ガス送出し
システム33、及びリモートプラズマクリーニングシス
テム50を有する。
ウム又は窒化アルミニウム等のセラミック誘電体材料か
ら作製されたドーム14を有する。ドーム14は、プラ
ズマプロセス領域16の上部境界を画定する。プラズマ
プロセス領域16の底部は、基板17の上面及び基板支
持部材18によって境界を定められる。
4がドーム14の上に置かれ、熱的にドーム14に結合
される。ヒータプレート23及びコールドプレート24
は、ドーム温度を約100℃〜200℃の範囲に対して
約±10℃以内に制御することができる。これにより、
様々なプロセスに対してドーム温度を最適化することが
できる。例えば、クリーニング又はエッチングプロセス
の場合、堆積プロセスの場合より高い温度にドームを維
持することが望ましいかもしれない。ドーム温度の正確
な制御はまたチャンバ内のフレーク又は粒子の数をも減
少し、堆積層と基板との間の接着を改善する。
空システムにつなぐ本体部材22を有する。基板支持部
材18のベース部分21は、本体部材22上に取り付け
られ、それと共に連続内部表面を形成する。基板は、ロ
ボットブレード(図示せず)によってチャンバ13の側
部の挿入/取出口(図示せず)を通してチャンバ13内
外に移送される。リフトピン(図示せず)がモータ(図
示せず)の制御下で上昇し、次いで下降して、基板を上
部ローディング位置57のロボットブレードから下部処
理位置56に移動させ、そこで基板は基板支持部材18
の基板受容部19に載置させる。基板受容部分19は、
基板処理中に基板を基板支持部材18に固定する静電チ
ャック20を有する。好適な実施形態では、基板支持部
材18は酸化アルミニウム又はアルミニウムセラミック
材から作製される。
を有し、これはツインブレード絞り弁26を収容し、ゲ
ート弁27及びターボ分子ポンプ28に取り付けられ
る。スロットルボディ25はガス流に対する最小限の障
害を提供し、対称ポンピングを可能にすることに注目さ
れたい。ゲート弁27はポンプ28をスロットルボディ
25から隔離することができ、また、絞り弁26が完全
に開いているときの排気流量容量を制限することによっ
て、チャンバ圧力を制御することができる。絞り弁、ゲ
ート弁、及びターボ分子ポンプの構成により、約1ミリ
トルから約2トルの間のチャンバ圧力の正確かつ安定な
制御が可能になる。
14上に取り付けられた上部コイル29及び側部コイル
30を有する。対称的接地シールド(図示せず)は、コ
イル間の電気的結合を低減する。上部コイル29は上部
ソースRF(SRF)発生器32Aによって付勢される
一方、側部コイル30は側部SRF発生器32Bによっ
て付勢され、各コイル毎に独立電力レベル及び周波数の
作動が可能になる。この二重コイルシステムにより、チ
ャンバ13内の半径方向のイオン密度の制御が可能にな
り、それによりプラズマの均一性が改善される。側部コ
イル30及び上部コイル29は一般的に誘導駆動され、
それは相補型電極を必要としない。特定の実施形態で
は、上部ソースRF発生器32Aは公称2MHzで最高
2,500ワットのRF電力を提供し、側部ソースRF
発生器32Bは公称2MHzで最高5,000ワットの
RF電力を提供する。上部及び側部RF発生器の動作周
波数は、プラズマ発生効率を改善するために、公称動作
周波数から(例えばそれぞれ1.7〜1.9MHz及び
1.9〜2.1MHzに)ずらすことができる。
アスRF(「BRF」)発生器32C及びバイアス照合
ネットワーク32Cを有する。バイアスプラズマシステ
ム80Bは基板部分17を本体部材22に容量結合し、
該部材は相補型電極として作用する。バイアスプラズマ
システム80Bは、ソースプラズマシステム80Aによ
って生成されたプラズマ種(例えばイオン)の基板の表
面への搬送を増強するように働く。特定の実施形態で
は、バイアスRF発生器は13.56MHzで最高5,
000ワットまでのRF電力を提供する。
制御されるシンセサイザを有し、約1.8〜約2.1M
Hzの間の周波数範囲で作動する。各発生器は、チャン
バ及びコイルから発生器に戻る反射電力を測定するRF
制御回路(図示せず)を有し、当業者によって理解され
る通り、最低反射電力が得られるように動作周波数を調
整する。RF発生器は概して、特性インピーダンスが5
0オームの負荷に作動するように設計される。RF電力
は、発生器とは異なる特性インピーダンスを持つ負荷か
ら反射されることがある。これは負荷に移送される電力
を低下し得る。さらに、負荷から発生器に反射される電
力は、発生器を過負荷状態にして破損するおそれがあ
る。プラズマのインピーダンスは、他の要因もあるが特
にプラズマイオン密度によって、5オーム未満から90
0オームを超えるまでの範囲に及ぶことがあるので、か
つ反射電力は周波数の関数であるので、反射電力によっ
て発生器の周波数を調整することにより、RF発生器か
らプラズマに移送される電力が増加し、発生器が保護さ
れる。反射電力を低減し、効率を改善する別の方法は、
整合ネットワークによるものである。
生器32A及び32Bの出力インピーダンスをそれらの
それぞれのコイル29及び30と整合させる。RF制御
回路は、負荷が変化したときに発生器を負荷に整合させ
るために、整合ネットワーク内のコンデンサの値を変化
させることによって、両方の整合ネットワークを同調さ
せることができる。RF制御回路は、負荷から発生器に
反射される電力が特定の限度を超えたときに、整合ネッ
トワークを同調させることができる。定整合をもたら
し、RF制御回路が整合ネットワークを同調することを
効果的に不能にする1つの方法は、反射電力限度を反射
電力の予想値より上に設定することである。これは、整
合ネットワークをその最も最近の状態で一定に保持する
ことによって、プラズマを何らかの条件下で安定させる
のにも役立つことができる。
つかもしれない。例えば、RF制御回路を使用して、負
荷(プラズマ)に引き渡される電力を決定することがで
き、また発生器出力電力を増加又は減少して、層の堆積
中、引き渡される電力を実質的に一定に維持することが
できる。
源34A〜34Fから、ガス送出し管路38(その一部
だけを図示する)を介して基板を処理するためのチャン
バにガスを提供する。当業者には理解される通り、供給
源34A〜34Fに使用される実際の供給源、及び送出
し管路38からチャンバへの実際の接続は、チャンバ1
3内で実行される堆積及びクリーニングプロセスによっ
て異なる。ガスは、ガスリング37及び/又はトップノ
ズル45を通してチャンバ13内に導入される。図2B
は、ガスリング37の追加詳細を示す、チャンバ13の
簡易部分断面図である。
A及び34B、ならびに第1及び第2ガス流量調節器3
5A’及び35B’は、ガス送出し管路38(その一部
だけを図示する)を介してガスリング37内のリングプ
レナム36にガスを提供する。ガスリング37は、基板
上にガスの均等な流れを提供する複数のソースガスノズ
ル39(解説のためにそのうちの1つだけを図示する)
を持つ。ノズル長及びノズル角度は、個々のチャンバ内
の特定のプロセスに合わせて均一性プロファイル及びガ
ス利用効率を調整することができるように、変化させる
ことができる。好適な実施形態では、ガスリング37
は、酸化アルミニウムセラミックから作製された12個
のソースガスノズルを持つ。
ズル40(そのうちの1つだけを図示する)を持ち、こ
れは好適な実施形態ではソースガスノズル39と同一平
面上にあり、かつそれより短く、一実施形態では本体プ
レナム41からのガスを受容する。幾つかの実施形態で
は、ガスをチャンバ13内に噴射する前に、ソースガス
と酸化剤ガスを混合しないことが望ましい。他の実施形
態では、本体プレナム41とガスリングプレナム36と
の間にアパーチャ(図示せず)を設けることによって、
ガスをチャンバ13内に噴射する前に、酸化剤ガスとソ
ースガスを混合することができる。一実施形態では、第
3及び第4ガス源34C及び34Dならびに第3及び第
4ガス流量調節器35C及び35D’は、ガス送出し管
路38を介して本体プレナムにガスを提供する。窒素源
34Fは、窒素プラズマを利用する処理ステップのため
に、チャンバへのガスリングの酸化剤ノズルへ窒素ガス
(N2)を提供する。代替的に窒素ガスは、トップノズ
ルなど、他の又は追加の入口を介してチャンバへ送り出
すことができる。43B(他の弁は図示せず)などの追
加弁は、流量調節器からチャンバへのガスを遮断するこ
とができる。
実施形態では、堆積後にガス送り管路内に残っているガ
スを除去することが望ましい。これは、弁43Bなどの
三方弁を用いて、チャンバ13を送り管路38Aから隔
離し、送り管路38Aを例えば真空フォアライン44に
通気して、達成することができる。図2Aに示すよう
に、43A及び43Cなど、他の同様の弁を他のガス送
出し管路に組み込むことができる。この三方弁は、非通
気ガス送出し管路(三方弁とチャンバとの間)の容積を
最小にするために、実行可能な限りチャンバ13の近く
に配置することができる。さらに、二方(オン−オフ)
弁(図示せず)を質量流量調節器(「MFC」)とチャ
ンバとの間、又はガス源とMFCとの間に配置すること
ができる。
トップノズル45及びトップ通気口46をも有する。ト
ップノズル45及びトップ通気口46はガスの上部及び
側部流量の独立制御を可能にし、これは、膜の均一性を
改善し、膜の堆積及びドーピングパラメータの微細調整
を可能にする。トップ通気口46は、トップノズル45
の周囲の環状開口である。一実施形態では、第1ガス源
34Aはソースガスノズル39及びトップノズル45に
供給する。ソースノズルMFC35A’はソースガスノ
ズル39に送り出されるガスの量を制御し、トップノズ
ルMFC35Aはトップガスノズル45に送り出される
ガスの量を制御する。同様に、2つのMFC35B及び
35B’を使用して、供給源34Bなどの単一酸素源か
らトップ通気口46及び酸化剤ガスノズル40の両方へ
の酸素の流量を制御することができる。トップノズル4
5及びトップ通気口46に供給されるガスは、ガスをチ
ャンバ13内に流入させるまで分離しておくことがで
き、あるいはガスは、それらをチャンバ13内に流入さ
せる前に、トッププレナム48で混合することができ
る。チャンバの様々な部分に供給するために、同一ガス
の別個の供給源を使用することができる。
定期的にクリーニングするために、リモートマイクロ波
発生プラズマクリーニングシステム50を設ける。この
クリーニングシステムは、反応器キャビティ53内でク
リーニングガス源34E(例えばフッ素分子、三フッ化
窒素、他のフルオロカーボン又は同等物)からプラズマ
を生成するリモートマイクロ波発生器51を有する。こ
のプラズマから生じる反応種は、アプリケータチューブ
55を介してクリーニングガス供給口54を通してチャ
ンバ13に運ばれる。クリーニングプラズマを有するた
めに使用される材料(例えばキャビティ53及びアプリ
ケータチューブ55)は、プラズマによる攻撃に対する
耐性を持たなければならない。所望のプラズマ種の濃度
は反応器キャビティ53からの距離により低下するの
で、反応器キャビティ53と供給口54との間の距離
は、実行可能な限り短く維持しなければならない。クリ
ーニングプラズマをリモートキャビティ内で生成するこ
とにより、効率的マイクロ波発生器の使用が可能にな
り、チャンバコンポーネントは、現場で形成されるプラ
ズマに存在するグロー放電の温度、放射、又はボンバー
ドにさらされない。したがって、静電チャック20な
ど、比較的敏感なコンポーネントを、現場プラズマクリ
ーニングプロセスで要求されるようにダミーウェハで被
覆するか、又は他の方法で保護する必要がない。
作を制御する。好適な実施形態では、制御装置60は、
プロセッサ61に連結されたハードディスクドライブ、
フロッピー(登録商標)ディスクドライブ(図示せ
ず)、及びカードラック(図示せず)などのメモリ62
を有する。カードラックは、シングルボードコンピュー
タ(SBC)(図示せず)、アナログ及びデジタル入力
/出力ボード(図示せず)、インタフェースボード(図
示せず)、及びステッパモータコントローラボード(図
示せず)を有することができる。システム制御装置は、
ボード、カードケージ、及びコネクタの寸法及び種類を
定義するVersa Modular European(「VME」)規格に
準拠する。VME規格はまた、バス構造を16ビットデ
ータバス及び24ビットアドレスバスを持つものとして
定義する。システム制御装置31は、ハードディスクド
ライブに格納されたコンピュータプログラムの制御下
で、又は取外し可能なディスクに格納されたプログラム
など、他のコンピュータプログラムを通して作動する。
コンピュータプログラムは、例えば特定の処理のタイミ
ング、ガスの混合、RF電力レベル、及び他のパラメー
タを指図する。ユーザとシステム制御装置との間のイン
タフェースは、図2Cに示すように、陰極線管(「CR
T」)65などのモニタ及びライトペン66による。
チャンバと共に使用される例示的システムユーザインタ
フェースの部分の図である。システム制御装置60は、
コンピュータ読取り可能メモリ62に結合されたプロセ
ッサ61を有する。メモリ62はハードディスクドライ
ブであることが好ましいが、メモリ62は、ROM、P
ROMなどのような他の種類のメモリとすることができ
る。
コンピュータ読取り可能フォーマットで格納されたコン
ピュータプログラム63の制御下で作動する。コンピュ
ータプログラムは、特定のプロセスのタイミング、温
度、ガス流量、RF電力レベル、及びその他のパラメー
タを指図する。ユーザとシステム制御装置との間のイン
タフェースは、図2Cに示すように、CRTモニタ65
及びライトペン66による。好適な実施形態では、2つ
のモニタ65及び65A、ならびに2つのライトペン6
6及び66Aを使用して、1つ(65)をオペレータ用
にクリーンルームの壁に取り付け、もう1つ(65A)
をサービス技術者用に壁の背後に取り付ける。両方のモ
ニタは同じ情報を同時に表示するが、1つのライトペン
(例えば66)だけが使用可能状態である。特定の画面
又は機能を選択するために、オペレータはディスプレイ
画面の一領域に触れ、ペンのボタン(図示せず)を押
す。触れた領域は、例えばその色を変えるか、又は新し
いメニューを表示することによって、ライトペンによっ
て選択されていることを確認する。
00アセンブリ言語、C、C++、又はパスカルなど、
いずれかの従来のコンピュータ読取り可能プログラミン
グ言語で書くことができる。従来のテキストエディタを
使用して、適切なプログラムコードを単一ファイル又は
複数のファイルに入力するか、又はコンピュータのメモ
リシステムなど、コンピュータ読取り可能媒体に格納又
は組み入れられる。入力されたコードテキストが高水準
言語である場合、コードはコンパイルされ、結果として
得られるコンパイラコードが次に、予めコンパイルされ
たウィンドウライブラリルーチンの目的コードとリンク
される。リンクされたコンパイル目的コードを実行する
ために、システムユーザは目的コードを呼び出して、コ
ンピュータシステムにコードをメモリにロードさせる。
CPUはメモリからコードを読み取り、コードを実行し
て、プログラム内で識別されたタスクを実行する。
の階層的制御構造の解説的ブロック図を示す。ユーザ
は、ライトペンインタフェースを使用することによっ
て、CRTモニタ上に表示されるメニュー又は画面に応
答して、プロセスセット番号及びプロセスチャンバ番号
をプロセス選択サブルーチン110に入力する。プロセ
スセットは特定のプロセスを実行するために必要なプロ
セスパラメータの予め決められたセットであり、予め定
められたセット番号で識別される。プロセス選択サブル
ーチン110は、所望のプロセスを実行するために、
(i)マルチチャンバシステム内の所望のプロセスチャ
ンバ、及び(ii)プロセスチャンバを作動させるために
必要な所望のセットのプロセスパラメータを識別する。
特定のプロセスを実行するためのプロセスパラメータ
は、プロセスガスの組成及び流量、温度、圧力、RF電
力レベル及びチャンバドーム温度のようなプラズマ状態
などの条件に関係し、レシピの形でユーザに提供され
る。レシピによって指定されたパラメータは、ライトペ
ン/CRTモニタインタフェースを利用して入力され
る。
ム制御装置60のアナログ及びデジタル入力ボードによ
って提供され、プロセスを制御するための信号は、シス
テム制御装置60のアナログ及びデジタル出力ボード上
に出力される。
は、識別されたプロセスチャンバ及びプロセス選択サブ
ルーチン110からのプロセスパラメータのセットを受
け入れるため、及び様々なプロセスチャンバの作動を制
御するためのプログラムコードを有する。複数のユーザ
がプロセスセット番号及びプロセスチャンバ番号を入力
することができ、あるいは単独のユーザが複数のプロセ
スセット番号及びプロセスチャンバ番号を入力すること
ができ、シーケンササブルーチン120は選択されたプ
ロセスを所望の順序にスケジュールする。好ましくは、
シーケンササブルーチン120は、(i)プロセスチャ
ンバの作動を監視して、チャンバが使用されているかど
うかを決定するステップと、(ii)使用されているチャ
ンバ内でどのプロセスが実行されているかを決定するス
テップと、(iii)プロセスチャンバの利用可能性及び
実行されるプロセスの種類に基づいて所望のプロセスを
実行するステップとを実行するためのプログラムコード
を有する。ポーリングなど、プロセスチャンバを監視す
る従来の方法を使用することができる。どのプロセスを
実行するかをスケジュールするときに、シーケンササブ
ルーチン120は、各々の特定のユーザ入力要求の「年
齢」、又は選択されたプロセスのための所望のプロセス
条件と比較した使用されるプロセスチャンバの現在の状
態、又はスケジューリング優先順位を決定するためにシ
ステムプログラマが含めることを希望する他の関連要因
を考慮に入れるように設計することができる。
セスチャンバとプロセスセットの組合せを次に実行する
かを決定した後、シーケンササブルーチン120は、特
定のプロセスセットパラメータをチャンバ管理サブルー
チン130A〜Cに渡すことによって、プロセスセット
の実行を開始し、チャンバ管理サブルーチンは、シーケ
ンササブルーチン120によって送られたプロセスセッ
トに従って、チャンバ13及びおそらく他のチャンバ
(図示せず)における複数の処理タスクを制御する。
として、基板配置サブルーチン340、プロセスガス制
御サブルーチン150、圧力制御サブルーチン160、
及びプラズマ制御サブルーチン170がある。当業者
は、チャンバ13内で実行するためにどのプロセスが選
択されるかによって、他のチャンバ制御サブルーチンを
含めることができることを認識されるであろう。動作
中、チャンバ管理者サブルーチン130Aは、実行され
る特定のプロセスセットに従って、プロセスコンポーネ
ントサブルーチンを選択的にスケジュールし、又は呼び
出す。チャンバ管理サブルーチン130Aは、シーケン
ササブルーチン120がプロセスチャンバ及び実行され
るプロセスセットをスケジュールするのと同じ要領で、
プロセスコンポーネントサブルーチンをスケジュールす
る。一般的に、チャンバ管理サブルーチン130Aは、
様々なチャンバコンポーネントを監視するステップと、
実行すべきプロセスセットのプロセスパラメータに基づ
いて、どのコンポーネントを作動させる必要があるかを
決定するステップと、監視及び決定ステップに応答し
て、チャンバコンポーネントサブルーチンの実行を引き
起こすステップを有する。
ンの作動について今から、図2A及び図2Dを参照しな
がら説明する。基板配置サブルーチン140は、基板を
基板支持部材18にロードするために使用されるチャン
バコンポーネントを制御するためのプログラムコードを
有する。基板配置サブルーチン140はまた、他の処理
が完了した後で、例えばマルチチャンバシステム内のプ
ラズマ励起CVD(「PECVD」)反応器やその他の
反応器からチャンバ13への基板の移送をも制御する。
プロセスガスの組成及び流量を制御するためのプログラ
ムコードを持つ。サブルーチン150は、安全遮断弁の
開閉位置を制御し、また所望のガス流量を得るために質
量流量調節器をも上下動させる。プロセスガス制御サブ
ルーチン150を含めて、全てのチャンバコンポーネン
トサブルーチンは、チャンバ管理サブルーチン130A
によって呼び出される。サブルーチン150はチャンバ
管理サブルーチン130Aから所望のガス流量に関連す
るプロセスパラメータを受け取る。
150はガス供給管路を開き、反復的に(i)必要な質
量流量調節器を読み取り、(ii)読取値をチャンバ管理
サブルーチン130Aから受け取った所望の流量と比較
し、(iii)ガス供給管路の流量を必要に応じて調整す
る。さらに、プロセスガス制御サブルーチン150は、
ガス流量が危険な流量でないかを監視し、かつ危険な状
態が検出されたときに安全遮断弁を起動するためのステ
ップを有することができる。
導入される前に、チャンバ内の圧力を安定させるため
に、アルゴンなどの不活性ガスがチャンバ13内に流入
される。これらのプロセスの場合、プロセスガス制御サ
ブルーチン150は、チャンバ内の圧力を安定させるた
めに必要な時間の量だけ、不活性ガスをチャンバ13内
に流入させるステップを有するようにプログラミングさ
れる。次いで、上述のステップを実行することができ
る。
えばテトラエチルオルトシラン(TEOS)から蒸発さ
せる場合、プロセスガス制御サブルーチン150は、バ
ブラ組立体内で液体前駆物質内にヘリウムなどの送出し
ガスをバブリングするか、あるいは液体噴射弁にヘリウ
ムを導入するステップを有することができる。この型の
プロセスでは、プロセスガス制御サブルーチン150は
送出しガスの流れ、バブラ内圧力、及びバブラ温度を制
御して所望のプロセスガス流量を得る。上述の通り、所
望のプロセスガス流量は、プロセスパラメータとしてプ
ロセスガス制御サブルーチン150に転送される。
50は、任意のプロセスガス流量に必要な値を有する格
納されたテーブルにアクセスすることによって、所望の
プロセスガス流量のために必要な送出しガス流量、バブ
ラ圧力、及びバブラ温度を得るためのステップを有す
る。ひとたび必要な値が得られると、送出しガス流量、
バブラ圧力、及びバブラ温度は監視され、必要な値と比
較され、それに従って調整される。
た、独立ヘリウム制御(IHC)サブルーチン(図示せ
ず)により、ウェハチャックの内部及び外部通路内のヘ
リウム(He)などの伝熱ガスの流れをも制御すること
ができる。このガス流は、基板をチャックに熱的に連結
する。一般的なプロセスでは、ウェハはプラズマ及び層
を形成する化学反応によって加熱され、Heはチャック
を通して基板を冷却する。チャックは水冷することがで
きる。これにより、基板は、基板に予め存在する特徴を
ダメージする温度より低く維持される。
の排気部分にある絞り弁26の開口の大きさを調整する
ことによって、チャンバ13内の圧力を制御するための
プログラムコードを有する。絞り弁のあるチャンバを制
御する方法は基本的に少なくとも2つある。第1の方法
は、チャンバの圧力を中でも特に全プロセスガス流量、
プロセスチャンバの大きさ、及びポンピング容量に関連
するように特徴付けることを頼みにする。第1の方法
は、絞り弁26を固定位置に設定する。絞り弁26を固
定位置に設定する結果として、最終的に定常圧力が得ら
れる。
タにより測定することができ、制御点がガス流量及び排
気能力によって設定される境界内にあることを想定し
て、絞り弁26の位置を圧力制御サブルーチン360に
従って調整することができる。前者の方法は、後者に関
連する測定、比較、及び計算が呼び出されないので、結
果的により迅速にチャンバ圧力を変化させることができ
る。前者の方法は、チャンバ圧力の精密な制御が要求さ
れない場合に望ましく、他方、後者の方法は、層の堆積
中のように正確、繰返し可能、かつ安定した圧力が望ま
れる場合に望ましい。
るときに、所望又は目標の圧力レベルがチャンバ管理サ
ブルーチン130Aからパラメータとして受け取られ
る。圧力制御サブルーチン160は、チャンバに接続さ
れた1つ又はそれ以上の従来の圧力マノメータを読み取
ることによってチャンバ13内の圧力を測定し、測定値
を目標圧力と比較し、目標圧力に対応する格納された圧
力テーブルから比例、積分、及び微分(PID)値を得
て、圧力テーブルから得られたPID値に従って絞り弁
26を調整する。代替的に、圧力制御サブルーチン16
0は特定の開口の大きさに絞り弁26を開閉して、チャ
ンバ13内の圧力を所望の圧力又は圧力範囲に調整する
ことができる。
発生器32A及び32Bの周波数及び電力出力設定を制
御するため、及び整合ネットワーク32A及び32Bを
同調させるためのプログラムコードを有する。プラズマ
制御サブルーチン370は、前述したチャンバコンポー
ネントサブルーチンと同様に、チャンバ管理サブルーチ
ン330Aによって呼び出される。
は全部を組み込むことのできるシステムの一例として、
カリフォルニア州サンタクララのアプライド・マテリア
ルズ社によって製造され、本発明を実践するように構成
されたULTIMAシステムがある。このタイプのシス
テムの詳細は、1996年7月15日出願、 発明者が
Fred .C. Redeker, Farhad Moghadam, Hirogi Hanawa,
Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lu
e, Robert Steger, Yaxin Wang, Manus Wong,and Ashok
Sinha、標題"Symmetric Tunable Inductively-Coupled
HDP-CVD Reactor" の米国特許出願第08/679,9
27号に開示されており、その開示を参照によってここ
に組み込む。上述のシステムは、例示の目的で挙げたも
のである。適切な従来の基板処理システム及びコンピュ
ータ制御システムを選択して本発明を実現することは、
当業者にとって日常的な作業手順技術の問題である。
の種々の異なる構成をいかに使用することができるかを
概略的に示す。図の左側の欄はシステムの側面図を示
し、右側の欄は平面図を示す。図2Aに詳細に示すシス
テムの構造は、図2Eの(1)部に対応する。チャンバ
13は基板受容部分19を有し、ガス源は、側部ガス源
として作用するように構成されたソースガスノズル39
及び上部ガス源として作用するように構成されたトップ
ノズル45があるように構成される。ここで使用する場
合、「上部ガス源」とは、基板受容部分より上にガスの
供給を提供するように構成されたガス源を指し、「側部
ガス源」とは、基板受容部分の周囲にガスの供給を提供
するように構成されたガス源を指す。
に示す。ここでは、コンポーネントはシングルアクセン
ト符号付きで表わされる。この構成では、チャンバ1
3’内のソースガスノズル39’は、基板受容部分1
9’の周囲にガス供給を提供するように構成されている
ので、側部ガス源として作用する。同様に、ノズル4
5’は、基板受容部分19’の上にガスの供給を提供す
るので、上部ガス源として作用する。ガス供給がチャン
バの頂部から直接入るように上部ガス源を構成しなけれ
ばならないという要件は無い。
ここでは、コンポーネントはダブルアクセント符号付き
で表わされる。この場合、側部ガス源は、ガスの供給を
基板受容部分19”の周囲に提供するために、チャンバ
内のより短くかつより低いノズル39”として提供され
る。上部ガス源は、基板受容部分19”より上にガスの
供給を提供するために、チャンバ内のより長くかつより
高い平行ノズル45”として提供される。この構成で
は、上部及び側部ガス源の間に中間ノズルも提供され、
基板受容部分19”の周囲の上及びその方向の相対ガス
流量に影響するように使用することができる。
に、上部及び側部ガス源を提供するための多くの他の代
替構成を思い付くであろう。本発明は、この代替構成と
共に容易に使用することができる。
積回路500の簡易断面図を示す。図示する通り、集積
回路500はNMOS及びPMOSトランジスタ503
及び506を有し、これらは、シリコンの局所的酸化
(「LOCOS」)又は他の技術によって形成されるフ
ィールド酸化物領域520によって、相互に分離され、
電気的に絶縁される。代替的に、トランジスタ503及
び506は、トランジスタ503及び506が両方とも
NMOSであるか、両方ともPMOSである場合、溝ト
レンチ分離(図示せず)によって相互に分離され、電気
的に絶縁されることがある。各トランジスタ503及び
506はソース領域512、ドレイン領域515、及び
ゲート領域518を有する。
ランジスタ503及び506を金属層540から分離
し、金属層540とトランジスタとの間の接続をコンタ
クト524によって行なう。金属層540は、集積回路
500に含まれる4つの金属層540、542、54
4、及び546のうちの1つである。各金属層540、
542、544、及び546は、それぞれの中間誘電体
(IMD)層527、528、又は529によって隣接
する金属層から分離される。IMD層527、528、
又は529のいずれか又は全部を、本発明の実施形態に
従って堆積することができる。隣接する金属層は選択さ
れた開口でビア526によって接続される。金属層54
6の上には、平坦化パッシベーション層530が堆積さ
れる。
ためだけのものであることを理解されたい。当業者は、
マイクロプロセッサ、アプリケーション特定的集積回路
(ASIC)、メモリデバイス等、他の集積回路の製作
に関連して、本発明の使用を実現することができるであ
ろう。さらに、本発明は、PMOS、NMOS、CMO
S、バイポーラ、又はBiCMOSデバイスに適用する
ことができる。
されたレシピを使用して、プラズマ電荷ダメージの発生
を、ドープしていないケイ酸塩ガラス(USG)のHD
P−CVD堆積の場合で比較した。したがって、レシピ
は、SiH4及びO2を前駆物質ガスとして、圧力を安定
させるためのArと一緒に堆積チャンバに提供すること
を有する。当業者には理解されるように、テトラエチル
オルトシラン(TEOS)又はSiF4等、他の前駆物
質ガスをシリコン源として使用することができる。さら
に、中心部高速堆積法は、フッ素化ケイ酸塩ガラス(F
SG)を有する他の酸化物を堆積する場合、前駆物質ガ
スの適切な選択によって、プラズマ電荷ダメージを低減
するために使用することができる。
的に使用される。ラングミュアプローブの設置の略図を
図4に示す。ラングミュアプローブ302は、接地され
たアルミニウムチューブ308によって被覆されたセラ
ミック外装306内のタングステンワイヤ304から成
る。プローブ302は、測定値を収集するためにプロセ
スチャンバ13内に挿入され、測定値は遮蔽ケーブル3
12を通してプロッタ310に伝送される。プラズマプ
ローブ302の先端に酸化物が蓄積すると時間依存容量
性分圧器の形成を招き、プローブ信号の強度が低下する
ので、HDP−CVDチャンバによって提供される堆積
環境でラングミュアプローブ302を使用するには、特
定の適応が必要である。したがってプローブ信号がプラ
ズマ電位及びプローブ先端に堆積された酸化物の量の両
方の関数であるという事実に対処するために、各堆積後
に、こうして集められるイオン電流が時間と共に飽和す
るまで、アルゴンプラズマ内で先端に負バイアスを印加
することによってワイヤをクリーニングした。次いで、
プローブ302を適切な位置(例えばウェハの中心部又
はエッジ)に移動させることによって測定を行ない、一
貫してきれいなプローブで測定が行なわれるようにこの
手順を繰り返した。
速プロセスレシピ 使用したプロセスレシピ2つを、表Ia(エッジ高速レ
シピ)及び表Ib(中心部高速レシピ)に纏めた。各レ
シピには8つのステップが示されている。特定の表要素
に2つの数字が挙げられている場合、最初の数字は側部
源(つまり側部コイル又は側部ガス源)からの値を表わ
し、+符号の後に続く値は上部源(つまり上部コイル又
は上部ガス源)からの値を表わす。最初の列は、ステッ
プの条件が有効である時間の長さを示す。2列目は絞り
弁26の位置を指定する。「TCL」は絞り弁26が閉
じていることを意味し、「TV100」は絞り弁26が
100ステップに配置されることによって部分的に開い
ていることを意味し(約12%開いていることに対応す
る)、「TFO」は、絞り弁26が完全に開いているこ
とを意味する。第3列及び第4列はそれぞれ、ソース及
びバイアスRF電力をkW単位で指定する。これらの例
示的レシピのどちらでも、バイアス電力は印加されな
い。残りの列は、プロセスチャンバ13へのガスの流量
をsccm単位で指定する。アルゴンが最初にプロセス
チャンバ13に導入され、その後に酸素分子が続き、最
後にシランが続く。エッジ高速及び中心部高速レシピ
は、中心高速レシピではステップ7で酸素及びシランの
流量が上部からだけ導入され側部からは導入されない点
を除いて同じである。
のこれらの2つのレシピの特徴は、それぞれ図5(a)
及び図5(b)に示すように、プラズマプローブトレー
スによって確認される。各レシピに対し、ウェハの中心
部ではエッジよりプラズマ電位が高いことを指図するチ
ャンバ対称の考慮事項と矛盾せず、ウェハのエッジの信
号(破線)は中心信号(実線)より低い。トレースは、
加熱開始及びその後の24秒時点でのシランの導入を明
瞭に示す。約28秒後のトレースの安定した単調な減衰
は、プローブの酸化物の被覆によるものである。プラズ
マの変動の正確な計量は、この減衰の前に、特に酸化物
がプローブに蓄積するのに充分な時間が無かったシラン
導入後の1秒間に見ることができる。
応答が、ウェハエッジのプラズマのそれより約0.4秒
遅れることを示す。この挙動は図5(b)では逆転し、
シランのチャンバへの導入が最初に中心のトレースに影
響し、エッジのトレースは約0.6秒遅れている。した
がって、異なるプロセスレシピを使用して、特性的に異
なる「エッジ高速」及び「中心部高速」堆積プロセスを
生み出すことができる。エッジ高速堆積中には、シラン
の到着によって生じる擾乱が、ウェハのエッジから内側
に向かって進むが、中心部高速レシピを使用するとき
は、ウェハの中心部から外側に向かって進む。
バイスダメージ効果 異なる型の堆積のデバイスダメージ特性に対する効果
は、図6を参照して理解することができる。前世代の集
積回路生産技術ではデバイスダメージの結果克服しがた
い問題に苦しめられることはなかったものの、本発明に
より更に、デバイスのダメージ率を低減することによっ
て全体的なデバイスの歩留まりを改善することができ
る。図6(a)に、エッジ高速堆積の略図を示す。示し
た特定の時間には、材料は外側領域602に堆積され、
内側領域606には堆積されていない。環状領域604
は、堆積プロセスの最先端を表わしており、そこでは厚
さのかなりの変動が予想される。図6(b)は、中心部
高速堆積の場合の特定の時間における同様の状況を示
す。この場合、内側領域616が材料で被覆され、外側
領域612は被覆されておらず、中間環状領域614は
堆積の最先端で大きい厚さの変動を示すことが予想され
る。
面積が、エッジ高速堆積中には時間の減少関数である
が、中心部高速堆積中には、中間環状領域614の面積
が時間の増加関数である、ということである。その結
果、円形ウェハ上のデバイスの各ゲート構造を通る電流
が、エッジ高速堆積の場合は時間の関数として増加する
傾向があるが、中心部高速堆積の場合は減少する傾向が
ある。したがって、中心部高速堆積のプロセスは、堆積
勾配から生じる破壊的に大きい電流からデバイスを保護
する。図6(c)に例示するように、側部供給源622
及び624が使用されるときには、ウェハのおおまかに
楕円形の領域626でデバイスのダメージが発生する。
実務的に完全に均等な堆積を達成することが不可能なの
で、中心部高速堆積を使用すると、シラン導入ステップ
から生じる擾乱をウェハのエッジ付近のより大きい領域
全体に消散させるように作用する。
bに詳述した例示的エッジ高速及び中心部高速レシピを
用いた実際の測定によって確認される。膜の厚さのマッ
プを、中心部高速及びエッジ高速レシピについてそれぞ
れ図7(a)及び図7(b)に再現する。これらのグラ
フは、初期酸化物堆積がシラン導入ステップのガス流量
の関数であることを示す。上部シラン及び酸素源をレシ
ピの一部分に独占的に使用した場合、堆積パターンは中
心部が厚くなり、図7(a)に中心部からエッジの約4
50Åの厚さ範囲を示す。頂部及び側部両方のシラン源
を使用した場合、厚さ変動はより小さく、図7(b)で
は約100Åである。この厚さ変動に言及するときに、
それらは約1μmのベース厚さに対する厚さ変動を表わ
すことは理解されたい。
M」)の結果を、図8(a)及び図8(b)に示す。P
DMはトポグラフィ依存ダメージメカニズムを測定しな
いブランケット膜ダメージ試験法であるが、それは高い
界面電荷を生じる高い表面電荷電圧に関する情報を提供
する。2つのレシピについて、シラン導入ステップ中
に、上部及び側部RF電力を1.3kWにして、表面電
圧VPDMを測定した。図8(a)で明らかな通り、中心
部高速レシピを使用したとき、VPMDの範囲は約0.4
1Vである。エッジ高速レシピを使用したときは、図8
(b)から分かるように、範囲は2倍以上大きく、約
0.91Vである。したがって、中心部高速レシピは表
面電圧勾配の低下をもたらす。エッジ高速レシピによっ
て生じる高い表面電荷電圧は、中心部高速レシピを使用
したときより、ウェハの中心付近におけるトラップ電荷
が多いことを示す。
部高速レシピについてそれぞれ図9(a)及び図9
(b)に示す。Ocean Optics 1000分
光計により光学測定を行い、シラン、酸素、及びアルゴ
ン濃度の時間依存性を決定した。水素管路の強度をシラ
ンの存在の標識として使用して、水素(288nm)、
酸素(776nm)、及びアルゴン(603nm)の3
つの放出管路の強度を時間の関数として決定した。図9
(a)及び図9(b)において、時間t〜0におけるプ
ラズマストライク、O2の導入、及びシランの導入は全
て容易に認められる。水素のトレースは、水素の放出が
その最終値の50%に達するまでにかかる時間の比較を
可能にし、それはシランの拡散及び細分化(及びその結
果発生し、放出を分光計によって検出できる水素原子の
リリース)のタイムスケールを推定する。エッジ高速堆
積レシピの場合、この時間は約1秒である。中心部高速
堆積レシピの場合、水素トレースは第2シランステップ
で側部水素源が始動するときまで増大しないが、約半分
の時間で最終値の50%に達する。したがって、中心部
高速レシピを使用するときに、シランはより高速に細分
化し、拡散する。
ラズマプローブ信号を、エッジ高速及び中心部高速レシ
ピについてそれぞれ図10(a)及び図10(b)に示
す。簡単に比較するために、信号はそれらのそれぞれの
加熱ステップ時点のレベルに正規化されている。前に述
べた通り、新しい供給ガスがチャンバ内に拡散するとき
の遷移期間後の信号レベルは、どれだけの酸化物がプロ
ーブ先端に蓄積したかの尺度である。酸化物層における
電圧に対するプローブ電圧の依存性は、図10(c)に
示すモデル回路図により理解することができる。堆積し
た酸化物における電圧は、酸化物のキャパシタンスC
oxide及びオシロスコープのキャパシタンスCscopeで分
割される。
する結果につながる。[Coxide<Cscopeの限度内で、
Vout〜VoxideCoxideであることに注意されたい]。
酸化物の電圧Voxideはそれ自体、外装のキャパシタン
スを通してプラズマ電位Vpla smaに関係する。したがっ
て、堆積した酸化物の厚さが増加すると、容量性プロー
ブの出力は増加する。
使用した場合、ウェハエッジ及びウェハ中心部で酸化物
が均等に形成することを示す。中心部でより早い信号の
減衰を示す図10(b)との比較により、中心部高速レ
シピの堆積が実際に中心部で高速であることが確認され
る。これらの結果はさらに、中心部高速レシピがウェハ
の中心部で堆積の増加を生じることを示す図7(a)及
び図7(b)のデータと矛盾しない。
つの方法が、上部源に優先して1つのレシピステップで
前駆物質ガスを供給することであることは明らかであ
る。また、この中心部高速堆積は、それ以外は同様であ
るエッジ高速堆積レシピと比較したとき、プラズマ電荷
ダメージのレベルを低減することも明らかである。反応
設計は電流の方向性を制御するので、中心部高速プロセ
スはエッチングプロセスでも使用することができる。
高速堆積を使用する他のレシピが思い付くであろう。本
発明の精神から逸脱することなく、他の変形も明らかで
あろう。これらの均等物及び代替物を本発明の範囲内に
含めるつもりである。したがって、本発明の範囲は、記
述した実施形態に限定されず、請求の範囲の記載によっ
て定義されるべきである。
図である。
金属線上の非共形堆積を示すデバイス構造の断面図であ
る。
金属線上の共形堆積を示すデバイス構造の断面図であ
る。
を示すデバイス構造の単一金属線の断面図である。
示すデバイス構造の単一金属線の断面図である。
ステムの一実施形態の簡易図である。
に使用することができるガスリングの簡易断面図であ
る。
に使用することができるモニタ及びライトペンの簡易図
である。
御するために使用される例示的プロセス制御コンピュー
タプログラム製品の流れ図である。
ロセスチャンバ構成の例の略図である。
スの簡易断面図である。
うに構成されたラングミュアプローブの略図である。
ラズマプローブ信号結果のグラフ比較を示す。
ラズマプローブ信号結果のグラフ比較を示す。
かを示す略図である。
かを示す略図である。
イスのダメージ分布を示す。
等高線図である。
等高線図である。
メージ監視結果を示す。
メージ監視結果を示す。
(長い破線)、及びアルゴン603nm(短い破線)励
起線についてエッジ高速堆積中に経時的に行なわれた光
学分光測定の結果を示す。
(長い破線)、及びアルゴン603nm(短い破線)励
起線について中心部高速堆積中に経時的に行なわれた光
学分光測定の結果を示す。
ハのエッジ及び中心部で行なわれたプラズマプローブ測
定の結果を示す。
ハのエッジ及び中心部で行なわれたプラズマプローブ測
定の結果を示す。
す。
システム、13…プロセスチャンバ、14…ドーム、1
6…プラズマプロセス領域、17…基板、18…基板支
持部材、23…ヒータプレート、24…コールドプレー
ト、33…ガス送出しシステム、50…リモートプラズ
マクリーニングシステム、70…真空システム、80A
…ソースプラズマシステム、80B…バイアスプラズマ
システム。
Claims (25)
- 【請求項1】 プロセスチャンバ内で基板上に膜を堆積
するための方法であって、(a)プラズマを形成するに
適する複数の前駆物質ガスを有するプロセスガスを、プ
ロセスチャンバ内に流入させるステップと、(b)プロ
セスガスからプラズマを発生させて、基板上に薄膜を堆
積させるステップとを有し、 薄膜が基板の中心部で基板のエッジより高速で堆積され
るように、複数の前駆物質ガスをプロセスチャンバに流
入させる方法。 - 【請求項2】 膜が、シリコン酸化物を有する請求項1
に記載の方法。 - 【請求項3】 複数の前駆物質ガスが、シランと酸素を
有するガスを有する請求項2に記載の方法。 - 【請求項4】 プロセスガスが更に、不活性ガスを有す
る請求項3に記載の方法。 - 【請求項5】 不活性ガスが、アルゴンである請求項4
に記載の方法。 - 【請求項6】 プロセスチャンバが、上部ガス源と側部
ガス源を有し、前駆物質ガスの少なくとも1つが、側部
ガス源からよりも高い速度で上部ガス源から流入される
請求項1に記載の方法。 - 【請求項7】 前駆物質ガスの全てが、側部ガス源から
よりも高い速度で上部ガス源から流入される請求項6に
記載の方法。 - 【請求項8】 前駆物質ガスの全てが、上部ガス源から
のみ流入される請求項7に記載の方法。 - 【請求項9】 プロセスガスが更に、不活性ガスを有す
る請求項1に記載の方法。 - 【請求項10】 不活性ガスが、アルゴンである請求項
9に記載の方法。 - 【請求項11】 基板の周囲にガスの流れを提供するよ
うに配置された第1のガスノズルのセットと、基板の上
面より上にガスの流れを提供するように配置された第2
のノズルとを有する種類のチャンバ内に配置した基板上
に膜を堆積する方法であって、(a)第1の期間と第2
の期間の間に、第1組のガスノズルのセットと第2のノ
ズルを通して、プロセスガスを、チャンバ内に流入させ
るステップと、(b)プロセスガスからプラズマを形成
し、第1の期間と第2の期間の間に、基板上に膜を堆積
するステップとを有し、 第2期間において、第2ノズルから提供されるプロセス
ガスの第1のノズルのセットからのプロセスガスに対す
る流量比が、第1期間の間におけるよりも大きい方法。 - 【請求項12】 膜が、シリコン酸化物を有する請求項
11に記載の方法。 - 【請求項13】 膜が、金属間導電層の上に堆積される
請求項12に記載の方法。 - 【請求項14】 第2ノズルが、1対のノズルを有する
請求項12に記載の方法。 - 【請求項15】 シリコン含有ガスが、ノズル対の一方
を通して提供され、酸素含有ガスが、ノズル対の他方を
通して提供される請求項14に記載の方法。 - 【請求項16】 プロセスチャンバ内で基板をエッチン
グする方法であって、方法が、(a)エッチングガスを
プロセスチャンバ内に流入させるステップと、(b)エ
ッチングガスからプラズマを発生させて基板をエッチン
グするステップとを有し、 エッチングガスを、基板の周囲よりも基板の上方の方が
高い流量で、流入させる方法。 - 【請求項17】 プロセスチャンバと、プラズマ発生シ
ステムと、基板保持器と、プロセスチャンバにガスを導
入するように構成されたガス送出しシステムとを有する
基板処理システムに対して、その作動を指示するために
組み入れられたコンピュータ読取り可能プログラムを有
するコンピュータ読取り可能記憶媒体であって、コンピ
ュータ読取り可能プログラムは、(a)プラズマを形成
するに適した複数の前駆物質ガスを有するプロセスガス
をプロセスチャンバ内に流入させるステップと、(b)
プロセスガスからプラズマを発生させて基板上に薄膜を
堆積させるステップとを有し、基板の中心部の方が基板
のエッジより高速で、薄膜が堆積されるように、複数の
前駆物質ガスをプロセスチャンバに流入させるようにし
た方法に従ってプロセスチャンバ内に配置された基板上
に薄膜を堆積するように、基板処理システムを作動させ
るための命令を有するコンピュータ読取り可能記憶媒
体。 - 【請求項18】 複数の成分反応ガスが、シランと酸素
を有するガスを有する請求項17に記載のコンピュータ
読取り可能記憶媒体。 - 【請求項19】 プロセスガスが更に、不活性ガスを有
する請求項18に記載のコンピュータ読取り可能記憶媒
体。 - 【請求項20】 プロセスチャンバが、上部ガス源と側
部ガス源を備え、ガス送出しシステムが、ガスを上部ガ
ス源及び側部ガス源からプロセスチャンバ内へと導入す
るように構成され、コンピュータ読取り可能プログラム
が更に、前駆物質ガスの少なくとも1つを側部ガス源よ
り高い速度で上部ガス源から流入させる命令を有する請
求項17に記載のコンピュータ読取り可能記憶媒体。 - 【請求項21】 基板処理システムであって、(a)プ
ロセスチャンバを画定するハウジングと、(b)プロセ
スチャンバに作動的に連結された高密度プラズマ発生シ
ステムと、(c)基板処理中に基板を保持するように構
成された基板保持器と、(d)ガスをプロセスチャンバ
に導入するように構成されたガス送出しシステムと、
(e)プロセスチャンバ内で選択された圧力を維持する
ための圧力制御システムと、(f)高密度プラズマ発生
システムと、ガス送出しシステムと、圧力制御システム
とを制御するための制御装置と、(g)制御装置に連結
されたメモリであって、基板処理システムの作動を指示
するためにその中に組み入れられ、 (i)ガス送出しシステムを制御して、プラズマを形成
するのに適した複数の前駆物質ガスを有するプロセスガ
スをプロセスチャンバ内に流入させるための命令と、 (ii)高密度プラズマ発生システムを制御して、プロセ
スガスからプラズマを発生させて基板上に薄膜を堆積さ
せるための命令とを有し、薄膜が基板の中心部で基板の
エッジより高速に堆積されるように、複数の前駆物質ガ
スをプロセスチャンバ内に流入させる、コンピュータ読
取り可能プログラムを有するコンピュータ読取り可能媒
体を有するメモリとを有する基板処理システム。 - 【請求項22】 複数の前駆物質ガスが、シランと、酸
素を含有するガスを有する請求項21に記載の基板処理
システム。 - 【請求項23】 プロセスガスが、不活性ガスを更に有
する請求項22に記載の基板処理システム。 - 【請求項24】 不活性ガスが、アルゴンである請求項
23に記載の基板処理システム。 - 【請求項25】 プロセスチャンバが、上部ガス源と側
部ガス源を有し、ガス送出しシステムが、ガスを上部ガ
ス源及び側部ガス源からプロセスチャンバへ導入するよ
うに構成され、コンピュータ読取り可能プログラムが、
前駆物質ガスの少なくとも1つを、側部ガス源からより
も高い速度で上部ガス源から流入させる命令を更に有す
る請求項21に記載の基板処理システム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/771203 | 2001-01-26 | ||
US09/771,203 US6660662B2 (en) | 2001-01-26 | 2001-01-26 | Method of reducing plasma charge damage for plasma processes |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2002334871A true JP2002334871A (ja) | 2002-11-22 |
JP2002334871A5 JP2002334871A5 (ja) | 2011-03-24 |
JP5004396B2 JP5004396B2 (ja) | 2012-08-22 |
Family
ID=25091034
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002019054A Expired - Fee Related JP5004396B2 (ja) | 2001-01-26 | 2002-01-28 | プラズマプロセスのプラズマ電荷ダメージを低減する方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US6660662B2 (ja) |
EP (1) | EP1227172A3 (ja) |
JP (1) | JP5004396B2 (ja) |
KR (1) | KR100870853B1 (ja) |
TW (1) | TW575893B (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100517550B1 (ko) * | 2002-12-04 | 2005-09-29 | 삼성전자주식회사 | 원자층 증착 장치 |
KR20150062928A (ko) * | 2013-11-29 | 2015-06-08 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
JP2020102533A (ja) * | 2018-12-21 | 2020-07-02 | 昭和電工株式会社 | SiC化学気相成長装置 |
Families Citing this family (377)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7144822B1 (en) * | 2002-02-06 | 2006-12-05 | Novellus Systems, Inc. | High density plasma process for optimum film quality and electrical results |
US20040231798A1 (en) * | 2002-09-13 | 2004-11-25 | Applied Materials, Inc. | Gas delivery system for semiconductor processing |
FR2858333B1 (fr) * | 2003-07-31 | 2006-12-08 | Cit Alcatel | Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma |
US7737382B2 (en) * | 2004-04-01 | 2010-06-15 | Lincoln Global, Inc. | Device for processing welding wire |
US20060096622A1 (en) * | 2004-11-11 | 2006-05-11 | Samsung Electronics Co., Ltd. | Dry cleaning apparatus used to manufacture semiconductor devices |
KR101232085B1 (ko) * | 2006-01-23 | 2013-02-08 | 엘지디스플레이 주식회사 | 박막증착장치의 세정장치 |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080095953A1 (en) * | 2006-10-24 | 2008-04-24 | Samsung Electronics Co., Ltd. | Apparatus for depositing thin film and method of depositing the same |
US20080302652A1 (en) * | 2007-06-06 | 2008-12-11 | Mks Instruments, Inc. | Particle Reduction Through Gas and Plasma Source Control |
US7745352B2 (en) | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
KR100916533B1 (ko) * | 2007-09-21 | 2009-09-11 | 피에스케이 주식회사 | 기판 처리 장치 및 방법 |
US7943531B2 (en) | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7964040B2 (en) * | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US8153348B2 (en) * | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) * | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7935643B2 (en) * | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US7989365B2 (en) * | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
SG181670A1 (en) | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) * | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP2013517616A (ja) | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | 酸化物ライナを使用する流動可能な誘電体 |
US8304351B2 (en) | 2010-01-07 | 2012-11-06 | Applied Materials, Inc. | In-situ ozone cure for radical-component CVD |
JP2013521650A (ja) * | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
JP5740203B2 (ja) * | 2010-05-26 | 2015-06-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びその処理ガス供給構造 |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
CN105206598B (zh) * | 2014-06-26 | 2018-03-30 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
JP2018093150A (ja) | 2016-12-07 | 2018-06-14 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210053193A (ko) | 2019-10-29 | 2021-05-11 | 에이에스엠 아이피 홀딩 비.브이. | N형 도핑된 재료를 표면 상에 선택적으로 형성하는 방법, n형 도핑된 재료를 선택적으로 형성하기 위한 시스템, 및 이를 사용하여 형성된 구조체 |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
WO2022174243A1 (en) * | 2021-02-12 | 2022-08-18 | Eugenus, Inc. | Precursor delivery system and method for high speed cyclic deposition |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5451435A (en) | 1990-06-18 | 1995-09-19 | At&T Corp. | Method for forming dielectric |
US5614055A (en) * | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5522934A (en) | 1994-04-26 | 1996-06-04 | Tokyo Electron Limited | Plasma processing apparatus using vertical gas inlets one on top of another |
US5628869A (en) * | 1994-05-09 | 1997-05-13 | Lsi Logic Corporation | Plasma enhanced chemical vapor reactor with shaped electrodes |
US6070551A (en) * | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
JP3236533B2 (ja) * | 1997-07-02 | 2001-12-10 | 松下電器産業株式会社 | 静電吸着電極装置 |
US6294466B1 (en) | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
JP4283366B2 (ja) * | 1999-03-01 | 2009-06-24 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP2001308086A (ja) | 2000-04-18 | 2001-11-02 | Nec Corp | 膜形成方法 |
US6553932B2 (en) * | 2000-05-12 | 2003-04-29 | Applied Materials, Inc. | Reduction of plasma edge effect on plasma enhanced CVD processes |
US6821910B2 (en) * | 2000-07-24 | 2004-11-23 | University Of Maryland, College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
-
2001
- 2001-01-26 US US09/771,203 patent/US6660662B2/en not_active Expired - Fee Related
- 2001-10-15 EP EP01124618A patent/EP1227172A3/en not_active Withdrawn
- 2001-10-16 TW TW90125614A patent/TW575893B/zh not_active IP Right Cessation
-
2002
- 2002-01-26 KR KR1020020004603A patent/KR100870853B1/ko not_active IP Right Cessation
- 2002-01-28 JP JP2002019054A patent/JP5004396B2/ja not_active Expired - Fee Related
-
2003
- 2003-09-08 US US10/658,350 patent/US7036453B2/en not_active Expired - Fee Related
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100517550B1 (ko) * | 2002-12-04 | 2005-09-29 | 삼성전자주식회사 | 원자층 증착 장치 |
KR20150062928A (ko) * | 2013-11-29 | 2015-06-08 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
JP2015105405A (ja) * | 2013-11-29 | 2015-06-08 | 株式会社日立国際電気 | 基板処理装置、基板処理方法及び半導体装置の製造方法 |
KR101698376B1 (ko) | 2013-11-29 | 2017-01-20 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 |
JP2020102533A (ja) * | 2018-12-21 | 2020-07-02 | 昭和電工株式会社 | SiC化学気相成長装置 |
JP7190894B2 (ja) | 2018-12-21 | 2022-12-16 | 昭和電工株式会社 | SiC化学気相成長装置 |
US11692266B2 (en) | 2018-12-21 | 2023-07-04 | Showa Denko K.K. | SiC chemical vapor deposition apparatus |
Also Published As
Publication number | Publication date |
---|---|
JP5004396B2 (ja) | 2012-08-22 |
KR100870853B1 (ko) | 2008-11-27 |
EP1227172A3 (en) | 2002-11-27 |
KR20020063140A (ko) | 2002-08-01 |
US7036453B2 (en) | 2006-05-02 |
US20040048492A1 (en) | 2004-03-11 |
US20030024901A1 (en) | 2003-02-06 |
US6660662B2 (en) | 2003-12-09 |
TW575893B (en) | 2004-02-11 |
EP1227172A2 (en) | 2002-07-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5004396B2 (ja) | プラズマプロセスのプラズマ電荷ダメージを低減する方法 | |
JP2002334871A5 (ja) | ||
US7132134B2 (en) | Staggered in-situ deposition and etching of a dielectric layer for HDP CVD | |
US6335288B1 (en) | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD | |
KR100562206B1 (ko) | 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱 | |
US6559026B1 (en) | Trench fill with HDP-CVD process including coupled high power density plasma deposition | |
US6903031B2 (en) | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen | |
US6633076B2 (en) | Methods and apparatus for producing stable low k FSG film for HDP-CVD | |
JPH1167746A (ja) | Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御 | |
JP2002198367A (ja) | Hdp−cvdを使用してシリコンリッチ酸化物を堆積する制御された方法 | |
JPH1079387A (ja) | シリカ膜のフッ素化による応力制御 | |
EP1071833B1 (en) | Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power | |
JP2002353215A (ja) | Hdpcvd処理によるトレンチ充填 | |
JP2002057157A (ja) | 銅ダマシン集積回路用hdp−fsg処理 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041216 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070821 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071121 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071127 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071221 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071227 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080212 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20101124 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20101130 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20101224 |
|
A524 | Written submission of copy of amendment under article 19 pct |
Free format text: JAPANESE INTERMEDIATE CODE: A524 Effective date: 20101227 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110104 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20111122 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20111128 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111222 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120326 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120522 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150601 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |