DE102021106311A1 - INTEGRATED CIRCUIT STRUCTURE AND METHOD OF MANUFACTURING THEM - Google Patents
INTEGRATED CIRCUIT STRUCTURE AND METHOD OF MANUFACTURING THEM Download PDFInfo
- Publication number
- DE102021106311A1 DE102021106311A1 DE102021106311.6A DE102021106311A DE102021106311A1 DE 102021106311 A1 DE102021106311 A1 DE 102021106311A1 DE 102021106311 A DE102021106311 A DE 102021106311A DE 102021106311 A1 DE102021106311 A1 DE 102021106311A1
- Authority
- DE
- Germany
- Prior art keywords
- source
- layer
- drain
- etch
- etch stop
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000004519 manufacturing process Methods 0.000 title description 11
- 239000010410 layer Substances 0.000 claims abstract description 411
- 238000000034 method Methods 0.000 claims abstract description 249
- 239000000758 substrate Substances 0.000 claims abstract description 46
- 239000011229 interlayer Substances 0.000 claims abstract description 10
- 230000008569 process Effects 0.000 claims description 222
- 125000006850 spacer group Chemical group 0.000 claims description 94
- 239000000463 material Substances 0.000 claims description 76
- 238000005530 etching Methods 0.000 claims description 29
- 238000000231 atomic layer deposition Methods 0.000 claims description 27
- 230000004888 barrier function Effects 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 24
- 230000015572 biosynthetic process Effects 0.000 claims description 21
- 239000013545 self-assembled monolayer Substances 0.000 claims description 8
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 claims description 7
- 239000012964 benzotriazole Substances 0.000 claims description 7
- 239000002094 self assembled monolayer Substances 0.000 claims description 7
- 229920000642 polymer Polymers 0.000 claims description 2
- 229910052751 metal Inorganic materials 0.000 description 69
- 239000002184 metal Substances 0.000 description 69
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 29
- 239000004065 semiconductor Substances 0.000 description 27
- 239000003989 dielectric material Substances 0.000 description 26
- 239000002243 precursor Substances 0.000 description 23
- 229910052814 silicon oxide Inorganic materials 0.000 description 23
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 22
- 238000005229 chemical vapour deposition Methods 0.000 description 22
- 229910052710 silicon Inorganic materials 0.000 description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- 239000007789 gas Substances 0.000 description 17
- 239000010703 silicon Substances 0.000 description 17
- 230000003647 oxidation Effects 0.000 description 16
- 238000007254 oxidation reaction Methods 0.000 description 16
- 238000000059 patterning Methods 0.000 description 16
- 229910052581 Si3N4 Inorganic materials 0.000 description 15
- 238000006243 chemical reaction Methods 0.000 description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 15
- 229920002120 photoresistant polymer Polymers 0.000 description 14
- 238000002955 isolation Methods 0.000 description 13
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 10
- 239000007769 metal material Substances 0.000 description 10
- 238000000206 photolithography Methods 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 230000008901 benefit Effects 0.000 description 9
- 230000008021 deposition Effects 0.000 description 9
- 239000000203 mixture Substances 0.000 description 9
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 229910052731 fluorine Inorganic materials 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 150000002739 metals Chemical class 0.000 description 7
- 150000004767 nitrides Chemical class 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 6
- 229910010271 silicon carbide Inorganic materials 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- -1 ammonia peroxide Chemical class 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 229910052796 boron Inorganic materials 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 238000010894 electron beam technology Methods 0.000 description 5
- 239000008246 gaseous mixture Substances 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 239000003112 inhibitor Substances 0.000 description 5
- 239000002135 nanosheet Substances 0.000 description 5
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 239000005350 fused silica glass Substances 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- 229910000673 Indium arsenide Inorganic materials 0.000 description 3
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 238000000429 assembly Methods 0.000 description 3
- 230000000712 assembly Effects 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- 229910017052 cobalt Inorganic materials 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000002513 implantation Methods 0.000 description 3
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 3
- 239000002070 nanowire Substances 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 229910021324 titanium aluminide Inorganic materials 0.000 description 3
- PMBXCGGQNSVESQ-UHFFFAOYSA-N 1-Hexanethiol Chemical compound CCCCCCS PMBXCGGQNSVESQ-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910017109 AlON Inorganic materials 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 229910026551 ZrC Inorganic materials 0.000 description 2
- GDFCWFBWQUEQIJ-UHFFFAOYSA-N [B].[P] Chemical compound [B].[P] GDFCWFBWQUEQIJ-UHFFFAOYSA-N 0.000 description 2
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 2
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 2
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 238000001451 molecular beam epitaxy Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- SUVIGLJNEAMWEG-UHFFFAOYSA-N propane-1-thiol Chemical compound CCCS SUVIGLJNEAMWEG-UHFFFAOYSA-N 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- BUHVIAUBTBOHAG-FOYDDCNASA-N (2r,3r,4s,5r)-2-[6-[[2-(3,5-dimethoxyphenyl)-2-(2-methylphenyl)ethyl]amino]purin-9-yl]-5-(hydroxymethyl)oxolane-3,4-diol Chemical compound COC1=CC(OC)=CC(C(CNC=2C=3N=CN(C=3N=CN=2)[C@H]2[C@@H]([C@H](O)[C@@H](CO)O2)O)C=2C(=CC=CC=2)C)=C1 BUHVIAUBTBOHAG-FOYDDCNASA-N 0.000 description 1
- 229910016467 AlCl 4 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000951 Aluminide Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 241000588731 Hafnia Species 0.000 description 1
- VPIAKHNXCOTPAY-UHFFFAOYSA-N Heptane-1-thiol Chemical compound CCCCCCCS VPIAKHNXCOTPAY-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910007926 ZrCl Inorganic materials 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000003929 acidic solution Substances 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N ammonia Natural products N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- MKTJTLRLXTUJCM-UHFFFAOYSA-N azanium;hydrogen peroxide;hydroxide Chemical compound [NH4+].[OH-].OO MKTJTLRLXTUJCM-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- WQAQPCDUOCURKW-UHFFFAOYSA-N butanethiol Chemical compound CCCCS WQAQPCDUOCURKW-UHFFFAOYSA-N 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- LIKFHECYJZWXFJ-UHFFFAOYSA-N dimethyldichlorosilane Chemical compound C[Si](C)(Cl)Cl LIKFHECYJZWXFJ-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- WNAHIZMDSQCWRP-UHFFFAOYSA-N dodecane-1-thiol Chemical compound CCCCCCCCCCCCS WNAHIZMDSQCWRP-UHFFFAOYSA-N 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- QULMGWCCKILBTO-UHFFFAOYSA-N n-[dimethylamino(dimethyl)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)N(C)C QULMGWCCKILBTO-UHFFFAOYSA-N 0.000 description 1
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 description 1
- 239000002107 nanodisc Substances 0.000 description 1
- 239000002063 nanoring Substances 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- ZVEZMVFBMOOHAT-UHFFFAOYSA-N nonane-1-thiol Chemical compound CCCCCCCCCS ZVEZMVFBMOOHAT-UHFFFAOYSA-N 0.000 description 1
- QJAOYSPHSNGHNC-UHFFFAOYSA-N octadecane-1-thiol Chemical compound CCCCCCCCCCCCCCCCCCS QJAOYSPHSNGHNC-UHFFFAOYSA-N 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 150000003573 thiols Chemical class 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- PYJJCSYBSYXGQQ-UHFFFAOYSA-N trichloro(octadecyl)silane Chemical group CCCCCCCCCCCCCCCCCC[Si](Cl)(Cl)Cl PYJJCSYBSYXGQQ-UHFFFAOYSA-N 0.000 description 1
- NQLVIKZJXFGUET-UHFFFAOYSA-N trimethyl(pyrrolidin-1-yl)silane Chemical compound C[Si](C)(C)N1CCCC1 NQLVIKZJXFGUET-UHFFFAOYSA-N 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823871—Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41733—Source or drain electrodes for field effect devices for thin film transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
- H01L29/42376—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78606—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
- H01L29/78618—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
Abstract
Ein Verfahren umfasst das Bilden einer Gate-Struktur über einem Substrat. Eine dielektrische Kappe wird über der Gate-Struktur gebildet. Ein Source-/Drain-Kontakt wird über einem Source-/Drain-Bereich über dem Substrat gebildet. Eine Ätzstoppschicht wird derart selektiv über der dielektrischen Kappe gebildet, dass die Ätzstoppschicht den Source-/Drain-Kontakt freilegt. Ein Zwischenschichtdielektrikum wird über der Ätzstoppschicht und dem Source-/Drain-Kontakt gebildet. Eine Source-/Drain-Durchkontaktierung wird im ILD gebildet und mit dem Source-/Drain-Kontakt verbunden.One method includes forming a gate structure over a substrate. A dielectric cap is formed over the gate structure. A source/drain contact is formed over a source/drain region over the substrate. An etch stop layer is selectively formed over the dielectric cap such that the etch stop layer exposes the source/drain contact. An interlayer dielectric is formed over the etch stop layer and the source/drain contact. A source/drain via is formed in the ILD and connected to the source/drain contact.
Description
PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE
Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr.
ALLGEMEINER STAND DER TECHNIKBACKGROUND ART
Technologische Fortschritte bei IC-Materialien und -Design haben Generationen von ICs hervorgebracht, in welchen jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige Generation. Im Zuge der IC-Weiterentwicklung hat die Funktionsdichte (das heißt die Anzahl miteinander verbundener Bauelemente pro Chipfläche) in der Regel zugenommen, während die geometrische Größe (das heißt die kleinste Komponente (oder Leitung), die unter Verwendung eines Fertigungsprozesses geschaffen werden kann) abgenommen hat. Dieser Verkleinerungsprozess stellt in der Regel Vorteile durch eine Steigerung der Produktionseffizienz und eine Senkung der damit verbundenen Kosten bereit.Technological advances in IC materials and design have produced generations of ICs in which each generation has smaller and more complex circuitry than the previous generation. As ICs have evolved, functional density (that is, the number of interconnected devices per chip area) has typically increased while geometric size (that is, the smallest component (or line) that can be created using a manufacturing process) has decreased Has. This downsizing process typically provides benefits by increasing production efficiency and reducing associated costs.
Figurenlistecharacter list
Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
- Die
1 -16B stellen perspektivische Ansichten und Querschnittsansichten von Zwischenstadien der Bildung einer integrierten Schaltungsstruktur im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung dar. - Die
17 -18B stellen beispielhafte Querschnittsansichten verschiedener Stadien zur Herstellung einer integrierten Schaltungsstruktur im Einklang mit einigen anderen Ausführungsformen der vorliegenden Offenbarung dar. - Die
19 -21 stellen beispielhafte Querschnittsansichten verschiedener Stadien zur Herstellung einer integrierten Schaltungsstruktur im Einklang mit einigen anderen Ausführungsformen der vorliegenden Offenbarung dar. - Die
22 -25 stellen beispielhafte Querschnittsansichten verschiedener Stadien zur Herstellung einer integrierten Schaltungsstruktur im Einklang mit einigen anderen Ausführungsformen der vorliegenden Offenbarung dar. - Die
26 -43B stellen perspektivischen Ansichten und Querschnittsansichten von Zwischenstadien der Bildung einer integrierten Schaltungsstruktur im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung dar. - Die
44A und44B sind Querschnittsansichten integrierter Schaltungsstrukturen im Einklang mit einigen Ausführungsformen. - Die
45 -48 stellen beispielhafte Querschnittsansichten verschiedener Stadien zur Herstellung einer integrierten Schaltungsstruktur im Einklang mit einigen anderen Ausführungsformen der vorliegenden Offenbarung dar.
- the
1 -16B - the
17 -18B - the
19 -21 12 illustrate exemplary cross-sectional views of various stages in fabricating an integrated circuit structure consistent with some other embodiments of the present disclosure. - the
22 -25 12 illustrate exemplary cross-sectional views of various stages in fabricating an integrated circuit structure consistent with some other embodiments of the present disclosure. - the
26 -43B - the
44A and44B - the
45 -48 12 illustrate exemplary cross-sectional views of various stages in fabricating an integrated circuit structure consistent with some other embodiments of the present disclosure.
AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION
Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich nur um Beispiele, welche keinesfalls als Einschränkung auszulegen sind. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, kann jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following disclosure provides many different embodiments or examples for implementing various features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, only examples, which should in no way be interpreted as a restriction. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact with one another, but may also include embodiments in which additional features are so formed between the first Feature and the second feature can be formed that the first and the second feature can not be in direct contact with each other. In addition, the present disclosure may repeat reference numerals and/or indicia throughout the various examples. This repetition is for the purpose of simplicity and clarity, and does not by itself dictate a relationship between the various embodiments and/or configurations discussed.
Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „darunter“, „unterhalb“, „niedrig“, „oberhalb“, „obere/r/s“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) angeordnet sein, und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden.Furthermore, terms of spatial relationships such as "beneath", "beneath", "low", "above", "upper" and the like may be used herein for the purpose of simplifying the description of the relationship of an element or feature depicted in the figures to (an) other element(s) or characteristic(s). The terms of spatial relationships are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device can be displayed in other orientations (rotated 90 degrees or in other orientations). be ordered, and thus the terms of spatial relationships used herein can also be construed accordingly.
Wie hierin verwendet bedeuten die Begriffe „rund“, „etwa“, „ungefähr“ oder „im Wesentlichen“ in der Regel innerhalb von 20 Prozent oder innerhalb von 10 Prozent oder innerhalb von 5 Prozent eines angegebenen Wertes oder Bereiches. Hierin genannte numerische Angaben gelten als annähernd, was bedeutet, dass der Betriff „rund“, „etwa“, „ungefähr“ oder „im Wesentlichen“ abgeleitet werden kann, falls er nicht ausdrücklich genannt ist.As used herein, the terms "about," "about," "approximately," or "substantially" mean typically within 20 percent, or within 10 percent, or within 5 percent of a specified value or range. Numerical references herein are approximate, meaning that the term "around," "about," "approximately," or "substantially" may be inferred unless expressly stated.
Die vorliegende Offenbarung betrifft integrierte Schaltungsstrukturen und Verfahren zum Bilden derselben im Allgemeinen, und insbesondere die Fertigung von Transistoren (zum Beispiel Finnenfeldeffekttransistoren (FinFET), Rundum-Gate-Transistoren (GAA-Transistoren)) und Source-/Drain-Durchkontaktierungen über Source-/Drain-Kontakten der Transistoren. Es ist auch anzumerken, dass die vorliegende Offenbarung Ausführungsformen in der Form von Mehrfach-Gate-Transistoren präsentiert. Mehrfach-Gate-Transistoren sind unter anderem jene Transistoren, deren Gate-Strukturen an mindestens zwei Seiten eines Kanalbereichs gebildet sind. Diese Mehrfach-Gate-Vorrichtungen können unter anderem eine p-Metalloxidhalbleitervorrichtung oder eine n-Metalloxidhalbleitervorrichtung aufweisen. Bestimmte Beispiele können hierin präsentiert und aufgrund ihrer finnenähnlichen Struktur als FinFET bezeichnet werden. Ein FinFET weist eine Gate-Struktur gebildet an drei Seiten eines Kanalbereichs auf (zum Beispiel gehüllt um einen oberen Abschnitt eines Kanalbereichs in einer Halbleiterfinne) auf. Darüber hinaus werden hierin Ausführungsformen eines Typs von Mehrfach-Gate-Transistor vorgestellt, welcher als Rundum-Gate-Vorrichtung (GAA-Vorrichtung) bezeichnet wird. Eine GAA-Vorrichtung weist eine beliebige Vorrichtung auf, deren Gate-Struktur, oder Abschnitte derselben, an 4 Seiten eines Kanalbereichs gebildet ist (zum Beispiel einen Abschnitt eines Kanalbereichs umgibt). Hierin vorgestellte Vorrichtungen können auch Ausführungsformen aufweisen, welche Kanalbereiche, welche in einem oder mehreren Nanoblattkanälen, Nanodrahtkanälen und oder anderen geeigneten Kanalgestaltungen angeordnet sind, aufweisen.The present disclosure relates to integrated circuit structures and methods of forming the same in general, and more particularly to the fabrication of transistors (e.g., fin field effect transistors (FinFET), all around gate transistors (GAA transistors)) and source/drain vias via source/ drain contacts of the transistors. It is also noted that the present disclosure presents embodiments in the form of multi-gate transistors. Multi-gate transistors include those transistors whose gate structures are formed on at least two sides of a channel region. These multiple gate devices may include a p-type metal oxide semiconductor device or an n-type metal oxide semiconductor device, among others. Certain examples may be presented herein and referred to as FinFETs due to their fin-like structure. A FinFET has a gate structure formed on three sides of a channel region (eg, wrapped around a top portion of a channel region in a semiconductor fin). Additionally, embodiments of a type of multi-gate transistor referred to as an all-around gate (GAA) device are presented herein. A GAA device includes any device whose gate structure, or portions thereof, is formed on 4 sides of a channel region (e.g., surrounding a portion of a channel region). Devices presented herein may also have embodiments having channel regions arranged in one or more nanosheet channels, nanowire channels, and/or other suitable channel configurations.
Nachdem eine Front-End-of-Line-Bearbeitung (FEOL-Bearbeitung) zur Transistorfertigung abgeschlossen ist, werden Source-/Drain-Kontakte über Source-/Drain-Bereichen der Transistoren gebildet. Dann werden Source-/Drain-Durchkontaktierungen über den Source-/Drain-Kontakten gebildet, um die Source-/Drain-Kontakte elektrisch mit anschließend gebildeten Interconnect-Metallleitungen zu verbinden. Die Bildung der Source-/Drain-Durchkontaktierungen kann das Aufbringen einer dielektrischen Zwischenschicht (ILD-Schicht) über den Source-/Drain-Kontakten, das Bilden von Durchkontaktierungsöffnungen, welche sich durch die ILD-Schicht erstrecken, durch Verwendung anisotroper Ätzung, und anschließend das Aufbringen einer oder mehrere Metallschichten in den Durchkontaktierungsöffnungen, welche als die Source-/Drain-Durchkontaktierungen dienen sollen, umfassen. Um ein Überätzen der dielektrischen Materialien in der Nähe der Source-/Drain-Kontakte während des anisotropen Ätzprozesses zu vermeiden, kann vor der Bildung der ILD-Schicht selektiv eine zusätzliche Ätzstoppschicht über den dielektrischen Materialien gebildet werden. Die selektiv gebildete ESL weist eine andere Ätzselektivität auf als die ILD-Schicht, wodurch die selektiv gebildete ESL den Ätzprozess zum Bilden der Durchkontaktierungsöffnungen verlangsamen oder sogar stoppen kann, was wiederum ein Überätzen der dielektrischen Materialien unter der ESL verhindert und somit zu einem reduzierten Risiko von Ableitströmen führt.After front-end-of-line (FEOL) processing for transistor fabrication is complete, source/drain contacts are formed over source/drain regions of the transistors. Then source/drain vias are formed over the source/drain contacts to electrically connect the source/drain contacts to subsequently formed interconnect metal lines. The formation of the source/drain vias may include depositing an interlayer dielectric (ILD) layer over the source/drain contacts, forming via openings extending through the ILD layer using an anisotropic etch, and then depositing one or more metal layers in the via openings to serve as the source/drain vias. To avoid over-etching the dielectric materials near the source/drain contacts during the anisotropic etch process, an additional etch stop layer may be selectively formed over the dielectric materials prior to forming the ILD layer. The selectively formed ESL has a different etch selectivity than the ILD layer, allowing the selectively formed ESL to slow down or even stop the etch process for forming the via openings, which in turn prevents over-etching of the dielectric materials underneath the ESL and thus leads to a reduced risk of leakage currents.
Die
Die Isolationsbereiche 14 können eine Oxidtrennschicht (nicht gezeigt) aufweisen. Die Oxidtrennschicht kann durch eine thermische Oxidation einer Oberflächenschicht des Substrats 12 aus einem thermischen Oxid gebildet sein. Die Oxidtrennschicht kann auch eine aufgebrachte Siliziumoxidschicht, zum Beispiel gebildet durch Verwendung von Atomlagenabscheidung (ALD), hochdichter chemischer Plasma-Dampfabscheidung (HDPCVD) oder chemischer Dampfabscheidung (CVD), sein. Die Isolationsbereiche 14 können auch ein dielektrisches Material über der Oxidtrennschicht aufweisen, wobei das dielektrische Material unter Verwendung fließbarer chemischer Dampfabscheidung (FCVD), Rotationsbeschichtung oder dergleichen gebildet werden kann.The
Bezugnehmend auf
In den oben dargestellten Beispielausführungsformen können die Finnen durch ein beliebiges geeignetes Verfahren strukturiert werden. Zum Beispiel können die Finnen unter Verwendung eines oder mehrerer Fotolithografieprozesse, wie zum Beispiel Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse, strukturiert werden. In der Regel kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie- und selbstausrichtende Prozesse, wodurch sie die Schaffung von Strukturen ermöglichen, welche zum Beispiel Abstandsmaße aufweisen, welche kleiner sind als jene, welche andernfalls unter Verwendung eines einzigen, direkten Fotolithografieprozesses erzielbar wären. Zum Beispiel wird in einigen Ausführungsformen eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandselemente werden zusammen mit der strukturierten Opferschicht unter Verwendung eines selbstausrichtenden Prozesses gebildet. Anschließend wird die Opferschicht entfernt, und die verbleibenden Abstandselemente, oder Formkerne, können dann dazu verwendet werden, die Finnen zu strukturieren.In the example embodiments presented above, the fins may be patterned by any suitable method. For example, the fins may be patterned using one or more photolithographic processes, such as double patterning or multiple patterning processes. Typically, double patterning or multiple patterning processes combine photolithographic and self-aligned processes, thereby enabling the creation of structures having, for example, pitch dimensions that are smaller than those that would otherwise be achievable using a single, direct photolithographic process. For example, in some embodiments, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along with the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers, or mandrels, can then be used to pattern the fins.
Die Materialien der vorstehenden Finnen 104 können auch durch Materialien ersetzt werden, welche sich von jenem des Substrats 12 unterscheiden. Falls die vorstehenden Finnen 104 zum Beispiel als n-Transistoren dienen sollen, können die vorstehenden Finnen 104 aus Si, SiP, SiC, SiPC oder einer Halbleiterverbindung der Gruppe III-V, wie zum Beispiel InP, GaAs, AlAs, InAs, InAlAs, InGaAs oder dergleichen, gebildet sein. Falls die vorstehenden Finnen 104 hingegen als p-Transistoren dienen sollen, können die vorstehenden Finnen 104 aus Si, SiGe, SiGeB, Ge oder einer Halbleiterverbindung der Gruppe III-V, wie zum Beispiel InSb, GaSb, InGaSb oder dergleichen, gebildet sein.The materials of the protruding
Bezugnehmend auf die
Eine Maskenstruktur kann über der Dummy-Gate-Elektrodenschicht gebildet werden, um das Strukturieren zu unterstützen. In einigen Ausführungsformen weist eine Hartmaskenstruktur untere Masken 112 über einer Deckschicht aus Polysilizium und obere Masken 114 über den unteren Masken 112 auf. Die Hartmaskenstruktur ist aus einer oder mehreren Schichten aus SiO2, SiCN, SiON, Al2O3, SiN oder anderen geeigneten Materialien hergestellt. In bestimmten Ausführungsformen enthalten die unteren Masken 112 Siliziumoxid, und die oberen Masken 114 enthalten Siliziumnitrid. Durch Verwendung der Maskenstruktur als eine Ätzmaske wird die Dummy-Elektrodenschicht zu den Dummy-Gate-Elektroden 110 strukturiert, und die dielektrische Gate-Deckschicht wird zu den dielektrischen Gate-Schichten 108 strukturiert.A mask pattern may be formed over the dummy gate electrode layer to aid patterning. In some
Wie in
Nachdem die Bildung der Gate-Seitenwandabstandselemente 116 abgeschlossen ist, werden Source-/Drain-Strukturen 122 an Source-/Drain-Bereichen der Finne 104 gebildet, welche nicht durch die Dummy-Gate-Strukturen 106 und die Gate-Seitenwandabstandselemente 116 bedeckt sind. Die entstehende Struktur ist in
Die Source-/Drain-Bereiche der Finne 104 können durch Verwendung geeigneter selektiver Ätzbearbeitung vertieft werden, welche die Halbleiterfinne 104 angreift, während sie die Gate-Abstandselemente 116 und die oberen Masken 114 der Dummy-Gate-Strukturen 106 kaum angreift. Zum Beispiel kann das Vertiefen der Halbleiterfinne 104 durch eine chemische Trockenätzung mit einer Plasmaquelle und einem Ätzgas erfolgen. Die Plasmaquelle kann eine induktiv gekoppelte Plasmaätzung (ICR-Ätzung), eine transformatorgekoppelte Plasmaätzung (TCP-Ätzung), Elektron-Zyklotron-Resonanzätzung (ECR-Ätzung), reaktive Ionenätzung (RIE) oder dergleichen sein, und das Ätzgas kann Fluor, Chlor, Brom, Kombinationen davon oder dergleichen sein, welches die Halbleiterfinne 104 mit einer schnelleren Ätzrate ätzt, als es die Gate-Abstandselemente 116 und die oberen Masken 114 der Dummy-Gate-Strukturen 106 ätzt. In einigen anderen Ausführungsformen kann das Vertiefen der Halbleiterfinne 104 durch eine chemische Nassätzung erfolgen, wie zum Beispiel mit Ammoniak-Peroxid-Gemisch (APM), NH4OH, Tetramethylammoniumhydroxid (TMAH), Kombinationen davon oder dergleichen, welches die Halbleiterfinne 104 mit einer schnelleren Ätzrate ätzt, als es die Gate-Abstandselemente 116 und die oberen Masken 114 der Dummy-Gate-Strukturen 106 ätzt. In einigen anderen Ausführungsformen kann das Vertiefen der Halbleiterfinne 104 durch eine Kombination aus einer chemischen Trockenätzung und einer chemischen Nassätzung erfolgen.The source/drain regions of the
Sobald Vertiefungen in den Source-/Drain-Bereichen der Finne 104 geschaffen worden sind, werden epitaxiale Source-/Drain-Strukturen 122 in den Source-/Drain-Vertiefungen in der Finne 104 gebildet, indem ein oder mehrere Epitaxie- oder epitaxiale Prozesse (Epi-Prozesse) verwendet werden, welche ein oder mehrere epitaxiale Materialien an der Halbleiterfinne 104 bereitstellen. Während des epitaxialen Aufwachsprozesses begrenzen die Gate-Abstandselemente 116 das eine oder die mehreren epitaxialen Materialien auf die Source-/Drain-Bereiche in der Finne 104. In einigen Ausführungsformen unterscheiden sich die Gitterkonstanten der Epitaxiestrukturen 122 derart von der Gitterkonstante der Halbleiterfinne 104, dass der Kanalbereich in der Finne 104 und zwischen den Epitaxiestrukturen 122 durch die Epitaxiestrukturen 122 belastet oder gedehnt werden kann, um die Trägerbeweglichkeit der Halbleitervorrichtung zu verbessern und die Leistung der Vorrichtung zu erhöhen. Die Epitaxieprozesse umfassen CVD-Abscheidungsverfahren (zum Beispiel PECVD, Dampfphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie und/oder andere geeignete Prozesse. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden, welche mit der Zusammensetzung der darunterliegenden Finne 104 interagieren.Once recesses have been created in the source/drain regions of
In einigen Ausführungsformen können die epitaxialen Source-/Drain-Strukturen 122 Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP oder ein anderes geeignetes Material enthalten. Die epitaxialen Source-/Drain-Strukturen 122 können während der epitaxialen Prozesse in-situ dotiert werden, indem Dotierspezies eingebracht werden, wie zum Beispiel: p-Dotierstoffe, wie zum Beispiel Bor oder BF2; n-Dotierstoffe, wie zum Beispiel Phosphor oder Arsen; und/oder andere geeignete Dotierstoffe oder Kombinationen derselben. Falls die epitaxialen Source-/Drain-Strukturen 122 nicht in situ dotiert werden, wird ein Implantationsprozess (das heißt ein Übergangsimplantierungsprozess) durchgeführt, um die epitaxialen Source-/Drain-Strukturen 122 zu dotieren. In einigen Beispielausführungsformen enthalten die epitaxialen Source-/Drain-Strukturen 122 in einem n-Transistor SiP, während jene in einem p-Transistor GeSnB und/oder SiGeSnB enthalten. In Ausführungsformen mit unterschiedlichen Vorrichtungstypen, kann eine Maske, wie zum Beispiel ein Fotolack, über n-Vorrichtungsbereichen gebildet werden, während p-Vorrichtungsbereiche freigelegt werden, und p-epitaxiale Strukturen können an den freiliegenden Finnen 104 in den p-Vorrichtungsbereichen gebildet werden. Dann kann die Maske entfernt werden. In der Folge kann eine Maske, wie zum Beispiel ein Fotolack, über dem p-Vorrichtungsbereich gebildet werden, während die n-Vorrichtungsbereiche freigelegt werden, und n-epitaxiale Strukturen können an den freiliegenden Finnen 104 im n-Vorrichtungsbereich gebildet werden. Dann kann die Maske entfernt werden.In some embodiments, the source/drain
Sobald die epitaxialen Source-/Drain-Strukturen 122 gebildet worden sind, kann ein Temperprozess durchgeführt werden, um die p-Dotierstoffe oder die n-Dotierstoffe in den epitaxialen Source-/Drain-Strukturen 122 zu aktivieren. Der Temperprozess kann zum Beispiel ein schneller thermischer Temper-Prozess (RTA), ein Lasertemperprozess, ein thermischer Millisekundentemperprozess (MSA) oder dergleichen sein.Once the source/drain
Als nächstes wird in
In einigen Beispielen kann nach dem Bilden der ILD-Schicht 126 ein Planarisierungsprozess durchgeführt werden, um überschüssige Materialien der ILD-Schicht 126 zu entfernen. Zum Beispiel umfasst ein Planarisierungsprozess einen chemischmechanischen Planarisierungsprozess (CMP-Prozess), welcher Abschnitte der ILD-Schicht 126 (und der CESL, falls vorhanden), welche über den Dummy-Gate-Strukturen 106 angeordnet sind, entfernt. In einigen Ausführungsformen entfernt der CMP-Prozess auch die Hartmaskenschichten 112, 114 (wie in
Wie in
Danach werden jeweils Ersatz-Gate-Strukturen 130 in den Gate-Gräben GT1 gebildet, wie in
In einigen Ausführungsformen kann die Grenzflächenschicht der dielektrischen Gate-Schicht 132 ein dielektrisches Material, wie zum Beispiel Siliziumoxid (Si02), HfSiO oder Siliziumoxynitrid (SiON), enthalten. Die Grenzflächenschicht kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Dampfabscheidung (CVD) und/oder ein anderes geeignetes Verfahren gebildet werden. Die dielektrische Schicht mit hohem k-Wert der dielektrischen Gate-Schicht 132 kann Hafniumoxid (Hf02) enthalten. Alternativ dazu kann die dielektrische Gate-Schicht 132 andere Dielektrika mit hohem k-Wert, wie zum Beispiel Hafnium-Siliziumoxid (HfSiO), Hafnium-Siliziumoxynitrid (HfSiON), Hafnium-Tantaloxid (HfTaO), Hafnium-Titanoxid (HfTiO), Hafnium-Zirkoniumoxid (HfZrO), Lanthanoxid (LaO), Zirkoniumoxid (ZrO), Titanoxid (TiO), Tantaloxid (Ta2O5), Yttriumoxid (Y2O3), Strontium-Titanoxid (SrTiO3, STO), Barium-Titanoxid (BaTiO3, BTO), Barium-Zirkoniumoxid (BaZrO), Hafnium-Lanthanoxid (HfLaO), Lanthan-Siliziumoxid (LaSiO), Aluminium-Siliziumoxid (AlSiO), Aluminiumoxid (Al2O3), Siliziumnitrid (Si3N4), Oxynitride (SiON) und Kombinationen davon, enthalten.In some embodiments, the interface layer of
Die Austrittsarbeitsmetallschicht 134 kann Austrittsarbeitsmetalle enthalten, um eine geeignete Austrittsarbeit für die Metall-Gate-Strukturen mit hohem k-Wert 130 bereitzustellen. Für einen n-FinFET kann die Austrittsarbeitsmetallschicht 134 ein oder mehrere n-Austrittsarbeitsmetalle (N-Metalle) enthalten. Die n-Austrittsarbeitsmetalle können zum Beispiel Titanaluminid (TiAl), Titanaluminiumnitrid (TiAlN), Karbonitrid-Tantal (TaCN), Hafnium (Hf), Zirkonium (Zr), Titan (Ti), Tantal (Ta), Aluminium (AI), Metallkarbide (zum Beispiel Hafniumkarbid (HfC), Zirkoniumkarbid (ZrC), Titankarbid (TiC), Aluminiumkarbid (AlC)), Aluminide und/oder andere geeignete Materialien enthalten, sind aber nicht beschränkt auf diese. Andererseits kann die Austrittsarbeitsmetallschicht 134 für einen p-FinFET ein oder mehrere p-Austrittsarbeitsmetalle (P-Metalle) enthalten. Die p-Austrittsarbeitsmetalle können zum Beispiel Titannitrid (TiN), Wolframnitrid (WN), Wolfram (W), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Kobalt (Co), Nickel (Ni), leitfähige Metalloxide und/oder andere geeignete Materialien enthalten, sind aber nicht beschränkt auf diese.The work
In einigen Ausführungsformen kann das Füllmetall 136 zum Beispiel Wolfram, Aluminium, Kupfer, Nickel, Kobalt, Titan, Tantal, Titannitrid, Tantalnitrid, Nickelsilizid, Kobaltsilizid, TaC, TaSiN, TaCN, TiAl, TiAlN oder andere geeignete Materialien enthalten, ist aber nicht beschränkt auf diese.For example, in some embodiments, the
Nunmehr wird Bezug genommen auf
In der Folge wird eine dielektrische Kappenschicht 140 über dem Substrate 12 aufgebracht, bis die Vertiefungen R1 überfüllt sind, wie in
Bezugnehmend auf
Sobald die selbstausrichtenden Source-/Drain-Kontakte 144 gebildet worden sind, wird wie in
Ein beispielhafter selektiver ALD-Prozess, in welchem die ESL 146 gebildet wird, umfasst die folgenden Vorgänge. Die Struktur von
Wenn der Hydroxylvorläufer in die Reaktionskammer eingespritzt wird, bildet sich eine Chemisorptionsschicht des Hydroxylvorläufers selektiv an den freigelegten Flächen der dielektrischen Materialien (zum Beispiel den SAC-Kappen 142 und den Gate-Abstandselementen 116), bildet sich jedoch nicht an freiliegenden Flächen der metallischen Werkstoffe (zum Beispiel den Source-/Drain-Kontakten 144). In der Folge wird der restliche Hydroxylvorläufer für einen zweiten Zeitraum aus der Reaktionskammer entladen. Um den restlichen Hydroxylvorläufer wirksamer aus der Reaktionskammer zu entladen, kann während dieser Spüldauer Spülgas in die Reaktionskammer eingespritzt werden, wobei das Spülgas ein Inertgas, wie zum Beispiel N2, Ar, He oder ähnliche Inertgase, enthalten kann.When the hydroxyl precursor is injected into the reaction chamber, a chemisorption layer of the hydroxyl precursor forms selectively on the exposed surfaces of the dielectric materials (e.g., the SAC caps 142 and the gate spacers 116), but does not form on exposed surfaces of the metallic materials ( for example the source/drain contacts 144). Subsequently, the residual hydroxyl precursor is discharged from the reaction chamber for a second period of time. In order to more efficiently unload residual hydroxyl precursor from the reaction chamber, purge gas may be injected into the reaction chamber during this purge period, which purge gas may include an inert gas such as N 2 , Ar, He or similar inert gases.
Nach dem Entladen des restlichen Hydroxylvorläufers aus der Reaktionskammer wird für einen dritten Zeitraum ein Impuls eines metallischen Vorläufers in die Reaktionskammer eingespritzt. In diesem Fall besitzt der metallische Vorläufer eine hohe Reaktionswahrscheinlichkeit mit der Chemisorptionsschicht des Hydroxylvorläufers. Einige Beispiele für den metallischen Vorläufer enthalten einen Tetrachlor-Übergangsmetallkomplex. In einigen Ausführungsformen enthält der Tetrachlor-Übergangsmetallkomplex eine Chemikalie ausgewählt aus der Gruppe umfassend ZrCl4, HfCl4, AlCl4 und TiCl4. Der metallische Vorläufer reagiert mit der Chemisorptionsschicht des Hydroxylvorläufers. In der Folge bildet sich eine Atomlage aus mehrere ESL 146 an den freiliegenden Flächen der dielektrischen Materialien (zum Beispiel den SAC-Kappen 142 und den Gate-Abstandselementen 116), bildet sich jedoch nicht an den freiliegenden Flächen der metallischen Werkstoffe (zum Beispiel den Source-/Drain-Kontakten 144). In
In der Folge wird der restliche metallische Vorläufer für einen vierten Zeitraum aus der Reaktionskammer entladen. Um den restlichen metallischen Vorläufer wirksamer aus der Reaktionskammer zu entladen, kann während dieser vierten Spüldauer ein Inertgas, wie zum Beispiel N2, Ar, He oder dergleichen, in die Reaktionskammer eingespritzt werden.Subsequently, the remaining metallic precursor is discharged from the reaction chamber for a fourth period of time. During this fourth purge period, an inert gas, such as N 2 , Ar, He, or the like, may be injected into the reaction chamber to more efficiently discharge residual metal precursor from the reaction chamber.
In einigen Ausführungsformen umfasst der selektive ALD-Prozess eine Abfolge selektiver ALD-Zyklen, das heißt, die ersten bis vierten Zeiträume, wie oben beschrieben, während welchen jeweils abwechselnd der Hydroxylvorläufer und der metallische Vorläufer in die Reaktionskammer eingespritzt und danach aus der Reaktionskammer entladen werden, werden zusammengenommen als ein Abscheidungs- oder Schichtbildungszyklus betrachtet. Durch mehrfaches Wiederholen dieses Zyklus, werden die ESL 146 mit einer gewünschten Dicke gebildet. Die ESL 146 kann eine Dicke T1 von ungefähr 3 nm bis ungefähr 10 nm aufweisen. Falls die Dicke T1 weniger als ungefähr 3 nm beträgt, kann die ESL 146 zu dünn sein, um einen nachfolgenden Ätzprozess zu verlangsamen oder anzuhalten; falls die Dicke T1 größer als ungefähr 10 nm ist, kann die integrierte Schaltungsstruktur eine hohe parasitäre Kapazität aufweisen. In einigen Ausführungsformen wird optional ein CMP-Prozess durchgeführt, um die ESL 146 nach Abschluss des selektiven ALD-Prozesses zu planarisieren.In some embodiments, the selective ALD process includes a series of selective ALD cycles, that is, the first through fourth periods, as described above, during which the hydroxyl precursor and the metallic precursor are alternately injected into the reaction chamber and thereafter discharged from the reaction chamber , are collectively considered a deposition or film formation cycle. By repeating this cycle multiple times, the
Bezugnehmend auf
Bezugnehmend auf
In einigen Ausführungsformen wird vor dem Durchkontaktierungsätzprozess ET1 ein Fotolithografieprozess durchgeführt, um erwartete Strukturen in der Draufsicht der Durchkontaktierungsöffnungen O1 zu definieren. Zum Beispiel kann der Fotolithografieprozess eine Rotationsbeschichtung einer Fotolackschicht über die ILD-Schicht 148 umfassen, wie in
In einigen Ausführungsformen ist der Durchkontaktierungsätzprozess ET1 ein anisotroper selektiver Ätzprozess, wie zum Beispiel Plasmaätzung. Beim Beispiel einer Plasmaätzung wird das Halbleitersubstrat 12, welches die in
In einigen Ausführungsformen kann aufgrund von Prozessabweichungen eine bestimmte Fehlausrichtung (oder ein Überlagerungsfehler) zwischen den Durchkontaktierungsöffnungen O1 und den Source-/Drain-Kontakten 144 vorliegen. Oder die Größe (oder Breite) der Durchkontaktierungsöffnungen O1 kann in einigen Ausführungsformen größer sein als die Größe (oder Breite) der Source-/Drain-Kontakte 144. In jedem dieser Fälle können die Durchkontaktierungsöffnungen O1 die ESL 146 freilegen. Aufgrund der Ätzselektivität zwischen der ILD-Schicht 148 und der ESL 146 kann die ESL 146 jedoch den Ätzprozess zum Bilden der Durchkontaktierungsöffnungen O1 verlangsamen oder anhalten, was wiederum ein Überätzen der dielektrischen Materialien (zum Beispiel der Gate-Seitenwandabstandselemente 116 und/oder der dielektrischen Kappen 142) verhindert und ein verringertes Risiko für die Entstehung von Ableitströmen bewirkt.In some embodiments, there may be some misalignment (or overlay error) between via openings O1 and source/
In einigen Ausführungsformen werden die vorstehenden Ätzmittel und Ätzbedingungen des Durchkontaktierungsätzprozesses ET1 derart gewählt, dass die ESL 146 (zum Beispiel ein metallhaltiges Dielektrikum) eine langsamere Ätzrate aufweist als die ILD-Schicht 148 (zum Beispiel SiOx). Auf diese Weise kann die ESL 146 als ein erfassbarer Ätzendpunkt wirken, was wiederum verhindert, dass die ESL 146 punktiert oder durchbrochen wird und somit ein Überätzen der durch die ESL 146 bedeckten dielektrischen Materialien verhindert. Anders ausgedrückt wird der Durchkontaktierungsätzprozess ET1 darauf abgestimmt, Siliziumoxid mit einer schnelleren Ätzrate zu ätzen, als ein metallhaltiges Dielektrikum oder Siliziumnitrid geätzt wird.In some embodiments, the above etchants and etch conditions of the via etch process ET1 are chosen such that the ESL 146 (e.g., a metal-containing dielectric) has a slower etch rate than the ILD layer 148 (e.g., SiO x ). In this way, the
In einigen Ausführungsformen enthält die ILD-Schicht 148 Siliziumoxid, und die ESL 146 enthält ein metallhaltiges Dielektrikum. Der Durchkontaktierungsätzprozess ET1 kann eine geeignete Nassätzung, Trockenätzung (Plasmaätzung) and/oder andere Prozesse umfassen. Ein Trockenätzprozess kann zum Beispiel chlorhaltige Gase, fluorhaltige Gase, andere Ätzgase oder eine Kombination davon verwenden. Die Nassätzlösungen können NH4OH, HF (Fluorwasserstoffsäure) oder verdünnte HF, entionisiertes Wasser, TMAH (Tetramethylammoniumhydroxid), andere geeignete Nassätzlösungen oder Kombinationen davon enthalten. Auf diese Weise bleibt die Ätzrate des metallhaltigen Dielektrikums im Durchkontaktierungsätzprozess ET1 niedrig, was es wiederum möglich macht, Siliziumoxid (zum Beispiel das ILD-Material) mit einer schnelleren Ätzrate zu ätzen als jener, mit welcher metallhaltiges Dielektrikum (zum Beispiel die ESL 146) geätzt wird.In some embodiments,
In einigen Ausführungsformen enthält die ILD-Schicht 148 Siliziumoxid, und die ESL 146 enthält Siliziumnitrid. Man hat beobachtet, dass sich die Ätzrate von Siliziumnitrid erhöht, wenn das Ätzplasma aus einem gasförmigen Gemisch, welches ein Wasserstoffgas (H2-Gas) enthält, erzeugt wird. Folglich wird in Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung der Durchkontaktierungsätzprozess ET1 unter Verwendung eines wasserstofffreien gasförmigen Gemischs durchgeführt, um die Ätzrate für Siliziumnitrid zu verringern. Anders ausgedrückt wird das Plasma im Durchkontaktierungsätzprozess ET1 in einem gasförmigen Gemisch ohne Wasserstoffgas (H2-Gas) erzeugt. Auf diese Weise bleibt die Ätzrate für Siliziumnitrid im Durchkontaktierungsätzprozess ET1 niedrig, was es wiederum möglich macht, Siliziumoxid (zum Beispiel das ILD-Material) mit einer schnelleren Ätzrate zu ätzen als jener, mit welcher Siliziumnitrid (zum Beispiel die ESL 146) geätzt wird.In some embodiments,
In einigen Ausführungsformen, wie zum Beispiel in
Bezugnehmend auf
Wie in den
In einigen Ausführungsformen, wie in
Die
Nachdem die Struktur wie in
In einigen Ausführungsformen werden Metallkappen 138 durch einen geeigneten Prozess, wie zum Beispiel CVD oder ALD, jeweils oben auf den Ersatz-Gate-Strukturen 130 gebildet. In einigen Ausführungsformen werden die Metallkappen 138 an den Ersatz-Gate-Strukturen 130 unter Verwendung einer Herangehensweise von unten nach oben gebildet. Zum Beispiel werden die Metallkappen 138 selektiv an der Metallfläche, wie zum Beispiel der Austrittsarbeitsmetallschicht 134 und dem Füllmetall 136, aufgewachsen, wodurch die Seitenwände der Gate-Abstandselemente 116 im Wesentlichen frei von den aufgewachsenen Metallkappen 138 sind. Die Metallkappen 138 können als Beispiel, jedoch nicht als Einschränkung, im Wesentlichen fluorfreie Wolframfilme (FFW-Filme) sein, welche einen Gehalt an Fluorverunreinigungen von weniger als 5 Atomprozent und einen Gehalt von Chlorverunreinigungen von mehr als 3 Atomprozent aufweisen. Die FFW-Filme oder die FFWenthaltenden Filme können durch ALD oder CVD unter Verwendung eines oder mehrerer nicht auf Fluor basierender Wolframvorläufer, wie zum Beispiel, aber nicht beschränkt auf, Wolframpentachlorid (WCl5) oder Wolframhexachlorid (WCl6), gebildet werden. In einigen Ausführungsformen können Abschnitte der Metallkappen 138 über die dielektrische Gate-Schicht 132 hinweg fließen, sodass die Metallkappen 138 auch die freiliegende Fläche der dielektrischen Gate-Schichten 132 bedecken können. Da die Metallkappen 138 von unten nach oben gebildet werden, kann deren Bildung vereinfacht werden, zum Beispiel indem wiederholte Rückätzprozesse, welche dazu verwendet werden, unerwünschte metallische Werkstoffe, welche sich aus konformem Aufwachsen ergeben, zu entfernen, verringert werden.In some embodiments, metal caps 138 are each atop by a suitable process such as CVD or ALD the
Die Struktur von
Die Bildung der ESL 146 ist nicht auf die in
In einigen Ausführungsformen sind die Sperrschichten 152 aus BTA hergestellt. Die Struktur von
In einigen anderen Ausführungsformen sind die Sperrschichten 152 aus SAM hergestellt. Die SAM enthält silanartigen oder thiolartigen Hemmstoff. In einigen Ausführungsformen kann der silanartige Hemmstoff Octadecyltrichlorsilan (CH3(CH2)17SiCl3), Trichlor(iH, 1H, 2H, 2H- perfluoroctyl)silan (CF3(CF2)5(CH2)2SiCl3), Dimethyldichlorsilan ((CH3)2SiCl2)/ (Dimethylamino)trimethylsilan ((CH3)2NSi(CH3)3), 1-(Trimethylsilyl)pyrrolidin ((CH3)3Si-NC4H8), Hexamethyldisilazan ([(CH3)3Si]2NH) oder Bis(dimethylamino)dimethylsilan ([(CH3)2N]2Si(CH3)2) sein. In einigen anderen Ausführungsformen ist der thiolartige Hemmstoff Alkanthiol, Propanthiol, Butanthiol, Hexanthiol, Heptanthiol, Octadecanthiol, Nonanthiol oder Dodecanthiol. In einigen Ausführungsformen bildet sich der thiolartige Hemmstoff selektiv an einer Metallschicht, jedoch nicht an einer dielektrischen Schicht.In some other embodiments, the barrier layers 152 are made of SAM. The SAM contains silane type or thiol type inhibitor. In some embodiments, the silane-type inhibitor can be octadecyltrichlorosilane (CH 3 (CH 2 ) 17 SiCl 3 ), trichloro(iH,1H,2H,2H-perfluorooctyl)silane (CF 3 (CF 2 ) 5 (CH 2 ) 2 SiCl 3 ), dimethyldichlorosilane ((CH 3 ) 2 SiCl 2 )/ (dimethylamino)trimethylsilane ((CH 3 ) 2 NSi(CH 3 ) 3 ), 1-(trimethylsilyl)pyrrolidine ((CH 3 ) 3 Si-NC 4 H 8 ), hexamethyldisilazane ([(CH 3 ) 3 Si] 2 NH) or bis(dimethylamino)dimethylsilane ([(CH 3 ) 2 N] 2 Si(CH 3 ) 2 ). In some other embodiments, the thiol-type inhibitor is alkanethiol, propanethiol, butanethiol, hexanethiol, heptanethiol, octadecanethiol, nonanethiol, or dodecanethiol. In some embodiments, the thiol-type inhibitor forms selectively on a metal layer but not on a dielectric layer.
In einigen Ausführungsformen, in welchen die Sperrschichten 152 sich selbst anordnende Monoschichten (SAM) sind, weisen die Moleküle der Sperrschichten 152 jeweils einen ersten vorspringenden Endabschnitt (zum Beispiel eine Kopfgruppe) und einen zweiten vorspringenden Endabschnitt (zum Beispiel eine Endgruppe) auf, welche an gegenüberliegenden Seiten eines optionalen mittleren Abschnitts (Molekülkette) angeordnet sind. Der erste vorspringende Endabschnitt weist eine Gruppe auf, welche selektiv an hydroxylgruppenterminierten Flächen (das heißt -OH-terminierten Flächen, wie zum Beispiel Siliziumoxidflächen) angelagert ist, während sie sich nach der Entfernung von nativem Oxid durch NH4F nicht an wasserstoffterminierten Flächen (wie zum Beispiel Siliziumnitridflächen, welche eine H-Termination aufweisen) anlagert. Der zweite vorspringende Endabschnitt enthält eine Metalloxidabscheidungs-Hemmstoffgruppe. Der optionale mittlere Abschnitt kann eine Alkylkette enthalten. Die Van-der-Waals-Wechselwirkungen zwischen diesen Ketten bewirken, dass sich die selbst angeordneten Monoschichten ordnen. In einigen Ausführungsformen, in welchen die Sperrschichten 152 Alkanthiole (X-(CH2)n-SH) enthalten, kann die Kopfgruppe an eine Fläche eines metallischen Werkstoffs gebunden sein. Somit können sich die Sperrschichten 152 selektiv an einer Metallschicht bilden (aufgewachsen werden), jedoch nicht an einer dielektrischen Schicht.In some embodiments in which the barrier layers 152 are self-assembling monolayers (SAM), the molecules of the barrier layers 152 each have a first protruding end portion (e.g., a head group) and a second protruding end portion (e.g., an end group) which are attached to opposite sides of an optional middle section (molecular chain). The first protruding end portion has a group that selectively attaches to hydroxyl-terminated faces (ie, -OH-terminated faces, such as silica faces) while not attaching to hydrogen-terminated faces (such as for example silicon nitride surfaces which have an H-termination). The second projecting end portion includes a metal oxide deposition inhibitor group. The optional middle section can contain an alkyl chain. The van der Waals interactions between these chains cause the self-assembled monolayers to self-assemble. In some embodiments where the barrier layers 152 contain alkanethiols (X-(CH 2 ) n -SH), the head group may be bonded to a surface of a metallic material. Thus, the barrier layers 152 can selectively form (grow) on a metal layer but not on a dielectric layer.
Bezugnehmend auf
In der Folge wird Bezug genommen auf
Die
Nachdem die in
Als nächstes wird, wie in
Bezugnehmend auf
Bezugnehmend auf
In
Der Stoßkontakt 154 übernimmt die Geometrie der Durchkontaktierungsöffnungen O2 und O4. Anders ausgedrückt erstrecken sich Seitenwände des Stoßkontakts linear durch die ILD-Schicht 148 und weisen an der Grenzfläche zwischen der ILD-Schicht 148 und der ESL 146 gebildete Stufen (oder eingekerbte Ecken) auf. Zum Beispiel weist die ILD-Schicht 148 eine gestufte untere Fläche mit einer oberen Stufe, welche die obere Fläche 1461 der Ätzstoppschicht 146 kontaktiert, und einer unteren Stufe, welche eine obere Fläche (das heißt, die Fläche 1481) des Source-/Drain-Kontakts 144 kontaktiert, auf. Genauer gesagt bildet der Stoßkontakt 154 eine erste lineare Grenzfläche 1541 zur ILD-Schicht 148 und eine zweite lineare Grenzfläche 1542 zur ESL 146. Die erste lineare Grenzfläche 1541 und die zweite lineare Grenzfläche 1542 sind nicht deckungsgleich, und die erste lineare Grenzfläche 1541 und die zweite lineare Grenzfläche 1542 weisen eine Fehlausrichtung zueinander auf. In einigen Ausführungsformen ist die erste lineare Grenzfläche 1541 stärker geneigt als die zweite lineare Grenzfläche 1542. Ferner ist die zweite lineare Grenzfläche 1542 im Wesentlichen auf eine Seitenwand 1441 des Source-/Drain-Kontakts 144, welche mit dem Stoßkontakt 154 verbunden ist, ausgerichtet. Ferner weist der Stoßkontakt 154 eine größere Dicke über der Gate-Struktur 130 als über dem Source-/Drain-Kontakt 144 auf.
Die
Die
Bezugnehmend auf
Der epitaxiale Stapel 220 weist epitaxiale Schichten 222 einer ersten Zusammensetzung auf, zwischen welchen epitaxiale Schichten 224 einer zweiten Zusammensetzung angeordnet sind. Die erste und die zweite Zusammensetzung können sich voneinander unterscheiden. In einigen Ausführungsformen sind die epitaxialen Schichten 222 SiGe, und die epitaxialen Schichten 224 sind Silizium (Si). Es sind jedoch auch andere Ausführungsformen möglich, zum Beispiel jene, welche eine erste Zusammensetzung und eine zweite Zusammensetzung aufweisend unterschiedliche Oxidationsgeschwindigkeiten und/oder Ätzselektivität bereitstellen. In einigen Ausführungsformen enthalten die epitaxialen Schichten 222 SiGe, und in jenen Fällen, in welchen die epitaxialen Schichten 224 Si enthalten, ist die Si-Oxidationsgeschwindigkeit der epitaxialen Schichten 224 geringer als die SiGe-Oxidationsgeschwindigkeit der epitaxialen Schichten 222.The
Die epitaxialen Schichten 224 oder Abschnitte derselben können einen oder mehrere Nanoblattkanäle des Mehrfach-Gate-Transistors bilden. Der Begriff Nanoblatt wird hierin dazu verwendet, einen beliebigen Materialabschnitt mit nanoskaligen oder sogar mikroskaligen Abmessungen zu bezeichnen, welcher unabhängig von der Querschnittsform dieses Abschnitts eine längliche Form aufweist. Somit bezeichnet dieser Begriff längserstreckte Materialabschnitte sowohl mit kreisförmigem und im Wesentlichen kreisförmigem Querschnitt als auch strahlen- oder stabförmige Materialabschnitte, welche zum Beispiel einen zylindrisch geformten oder im Wesentlichen rechteckigen Querschnitt aufweisen. Die Verwendung der epitaxialen Schichten 224 zum Definieren eines oder mehrerer Kanäle eines Bauelements wird nachfolgend näher erörtert.The
Es ist festzuhalten, dass drei Schichten der epitaxialen Schichten 222 und drei Schichten der epitaxialen Schichten 224 abwechselnd angeordnet sind, wie in
Wie nachfolgend ausführlicher beschrieben, können die epitaxialen Schichten 224 als ein oder mehrere Kanalbereiche für eine nachfolgend gebildete Mehrfach-Gate-Vorrichtung dienen, und ihre Dicke wird basierend auf Erwägungen hinsichtlich der Vorrichtungsleistung gewählt. Die epitaxialen Schichten 222 in dem/den Kanalbereich(en) können schlussendlich entfernt werden, und dienen dazu, einen vertikalen Abstand zwischen benachbarten Kanalbereichen für eine nachfolgend gebildete Mehrfach-Gate-Vorrichtung zu definieren, wobei ihre Dicke basierend auf Erwägungen hinsichtlich der Vorrichtungsleistung gewählt wird. Folglich können die epitaxialen Schichten 222 auch als Opferschichten bezeichnet werden, und die epitaxialen Schichten 224 können auch als Kanalschichten bezeichnet werden.As described in more detail below, the
Als ein Beispiel kann das epitaxiale Aufwachsen der Schichten des Stapels 220 durch einen Molekularstrahlepitaxieprozess (MBE-Prozess), einen metallorganischen chemischen Dampfabscheidungsprozess (MOCVD-Prozess) und/oder andere geeignete epitaxiale Aufwachsprozesse ausgeführt werden. In einigen Ausführungsformen enthalten die epitaxial aufgewachsenen Schichten, wie zum Beispiel die epitaxialen Schichten 224, dasselbe Material wie das Substrat 210. In einigen Ausführungsformen enthalten die epitaxial aufgewachsenen Schichten 222 und 224 ein anderes Material als das Substrat 210. Wie oben erwähnt weisen zumindest in einigen Beispielen die epitaxialen Schichten 222 eine epitaxial aufgewachsene Silizium-Germanium-Schicht (SiGe-Schicht) auf, und die epitaxialen Schichten 224 weisen eine epitaxial aufgewachsene Siliziumschicht (Si-Schicht) auf. Alternativ dazu können die epitaxialen Schichten 222 und 224 in einigen Ausführungsformen jeweils andere Materialien enthalten, wie zum Beispiel Germanium, einen Verbundhalbleiter, wie zum Beispiel Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter, wie zum Beispiel SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP und/oder GaInAsP, oder Kombinationen davon. Wie oben erörtert können die Materialien der epitaxialen Schichten 222 und 224 basierend auf der Bereitstellung unterschiedlicher Oxidations- und/oder Ätzselektivitätseigenschaften gewählt werden. In einigen Ausführungsformen sind die epitaxialen Schichten 222 und 224 im Wesentlichen frei von Dotierstoffen (das heißt, sie weisen eine extrinsische Dotierstoffkonzentration von ungefähr 0 cm-3 bis ungefähr 1X1018 cm-3 auf), wobei während des epitaxialen Aufwachsprozesses zum Beispiel keine absichtliche Dotierung vorgenommen wird.As an example, the epitaxial growth of the layers of the
Bezugnehmend auf
In der dargestellten Ausführungsform gemäß den
Die Finnen 230 können anschließend unter Verwendung geeigneter Prozesse, wie zum Beispiel Fotolithografie- und Ätzprozesse, gefertigt werden. Der Fotolithografieprozess kann das Bilden einer Fotolackschicht (nicht gezeigt) über der HM-Schicht 910, das Belichten des Fotolacks zu einer Struktur, das Ausführen von Nachbelichtungsbackprozessen und das Entwickeln des Fotolacks zum Bilden einer strukturierten Maske, welche den Fotolack aufweist, umfassen. In einigen Ausführungsformen kann das Strukturieren des Fotolacks zum Bilden des strukturierten Maskenelements unter Verwendung eines Elektronenstrahl-Lithografieprozesses (E-Beam-Lithografieprozesses) oder eines Lithografieprozesses mit extremer ultravioletter Strahlung (EUV-Lithografieprozesses) aufweisend eine Wellenlänge von zum Beispiel ungefähr 1 - 200 nm erfolgen. Die strukturierte Maske kann dann dazu verwendet werden, Bereiche des Substrats 210 und darauf gebildeter Schichten zu schützen, während ein Ätzprozess Gräben 202 in ungeschützten Bereichen durch die HM-Schicht 910, durch den epitaxialen Stapel 220 und in das Substrat 210 hinein bildet, wodurch die Mehrzahl sich erstreckender Finnen 230 zurückbleibt. Die Gräben 202 können unter Verwendung einer Trockenätzung (zum Beispiel reaktives Ionenätzen), einer Nassätzung und/oder einer Kombination davon geätzt werden. Es können auch zahlreiche andere Ausführungsformen von Verfahren zum Bilden der Finnen am Substrat verwendet werden, wie zum Beispiel das Definieren des Finnenbereichs (zum Beispiel durch eine Maske oder Isolationsbereiche) und das epitaxiale Aufwachsen des epitaxialen Stapels 220 in der Form der Finnen 230.The
Wie in
In der Folge wird Bezug genommen auf die
Der Vorgang der Bildung der Dummy-Gates bildet zunächst eine dielektrische Dummy-Gate-Schicht 252 über den Finnen 230. In der Folge werden eine Dummy-Gate-Elektrodenschicht 254 und eine Hartmaske, welche mehrere Schichten 256 und 258 (zum Beispiel eine Oxidschicht 256 und eine Nitridschicht 258) aufweisen kann, über der dielektrischen Dummy-Gate-Schicht 252 gebildet. Dann wird die Hartmaske strukturiert, gefolgt von der Strukturierung der Dummy-Gate-Elektrodenschicht 252 durch Verwendung der strukturierten Hartmaske als eine Ätzmaske. In einigen Ausführungsformen wird die dielektrische Dummy-Gate-Schicht 252 nach dem Strukturieren der Dummy-Gate-Elektrodenschicht 254 aus den S/D-Bereichen der Finnen 230 entfernt. Der Ätzprozess kann eine Nassätzung, eine Trockenätzung und/oder eine Kombination davon umfassen. Der Ätzprozess wird derart gewählt, dass er im Wesentlichen selektiv die dielektrische Dummy-Gate-Schicht 252 ätzt, ohne die Finnen 230, die Dummy-Gate-Elektrodenschicht 254, die Oxidmaskenschicht 256 und die Nitridmaskenschicht 258 zu ätzen. Materialien der dielektrischen Dummy-Gate-Schicht und der Dummy-Gate-Elektrodenschicht sind ähnlich jenen der zuvor erörterten dielektrischen Dummy-Gate-Schicht 108 und Dummy-Gate-Elektrodenschicht 110, und werden somit der Kürze halber hier nicht wiederholt.The process of forming the dummy gates first forms a dummy
Nachdem die Bildung der Dummy-Gate-Strukturen 250 abgeschlossen ist, werden Gate-Abstandselemente 260 an Seitenwänden der Dummy-Gate-Strukturen 250 gebildet. Zum Beispiel wird eine Abstandselementmaterialschicht am Substrat 210 aufgebracht. Die Abstandselementmaterialschicht kann eine konforme Schicht sein, welche anschließend rückgeätzt wird, um Gate-Seitenwandabstandselemente zu bilden. In der dargestellten Ausführungsform ist eine Abstandselementmaterialschicht 260 konform an der Oberseite und den Seitenwänden der Dummy-Gate-Strukturen 250 angeordnet. Die Abstandselementmaterialschicht 260 kann ein dielektrisches Material, wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxynitride, SiCN-Filme, Siliziumoxykarbid, SiOCN-Filme und/oder Kombinationen davon, enthalten. In einigen Ausführungsformen weist die Abstandselementmaterialschicht 260 mehrere Schichten, wie zum Beispiel eine erste Abstandselementschicht 262 und eine über der ersten Abstandselementschicht 262 gebildete zweite Abstandselementschicht 264 (dargestellt in
Als nächstes, wie in den
Als nächstes sind die epitaxialen Schichten 222 in den
In den
In
In
Danach werden zuerst die Dummy-Gate-Strukturen 250 (wie in
In einigen Ausführungsformen werden die epitaxialen Schichten 222 unter Verwendung eines selektiven Nassätzprozesses entfernt. In einigen Ausführungsformen sind die epitaxialen Schichten 222 SiGe und die epitaxialen Schichten 224 sind Silizium, was das selektive Entfernen der epitaxialen Schichten 222 ermöglicht. In einigen Ausführungsformen umfasst das selektive Nassätzen eine APM-Ätzung (zum Beispiel mit einem Ammoniumhydroxid-Wasserstoffperoxid-Gemisch). In einigen Ausführungsformen umfasst das selektive Entfernen eine SiGe-Oxidation gefolgt von einer SiGeOx-Entfernung. Zum Beispiel kann die Oxidation durch O3 Clean bereitgestellt werden, und dann SiGeOx durch ein Ätzmittel, wie zum Beispiel NH4OH, entfernt werden, welches SiGeOx selektiv mit einer rascheren Ätzrate ätzt, als es Si ätzt. Darüber hinaus werden die Kanalschichten 224 durch den Kanalfreigabeprozess nicht wesentlich geätzt, da die Oxidationsgeschwindigkeit von Si viel geringer ist (manchmal 30-mal geringer) als die Oxidationsgeschwindigkeit von SiGe. Es ist anzumerken, dass sowohl der Vorgang der Kanalfreigabe als auch der vorherige Vorgang des seitlichen Vertiefens der Opferschichten (der in den
In den
In
In
In
Sobald die selbstausrichtenden Source-/Drain-Kontakte 350 gebildet worden sind, werden, wie in
In der Folge wird eine weitere ILD-Schicht 370 über den ESL 360 aufgebracht, wie in
Bezugnehmend auf
In einigen Ausführungsformen, welche in
Bezugnehmend auf
Die
Die
Nachdem die in
Als nächstes wird, wie in
Bezugnehmend auf
Bezugnehmend auf
Basierend auf den obigen Erörterungen ist ersichtlich, dass die vorliegende Offenbarung Vorteile bietet. Es versteht sich jedoch, dass andere Ausführungsformen zusätzliche Vorteile bieten können, und hierin nicht notwendigerweise sämtliche Vorteile offenbart sind, und dass kein bestimmter Vorteil für sämtliche Ausführungsformen erforderlich ist. Ein Vorteil besteht darin, dass das Risiko von Ableitströmen (zum Beispiel Ableitstrom von einer Source-/Drain-Durchkontaktierung zu einem Gate-Kontakt und/oder einer Gate-Struktur) aufgrund der Ätzstoppschicht verringert werden kann. Ein weiterer Vorteil besteht darin, dass ein Strukturierungsprozess für die Bildung der Ätzstoppschicht weggelassen werden kann. Noch ein weiterer Vorteil besteht darin, dass die Widerstandskapazitätsverzögerung (RC-Verzögerung) aufgrund eines großen Abstands von der Source-/Drain-Durchkontaktierung zu einem Gate-Kontakt verbessert werden kann.Based on the above discussions, it can be seen that the present disclosure provides advantages. However, it should be understood that other embodiments may provide additional benefits, and not all benefits are necessarily disclosed herein, and no particular benefit is required for all embodiments. An advantage is that the risk of leakage currents (e.g. leakage current from a source/drain via to a gate contact and/or gate structure) due to the etch stop layer can be reduced. Another advantage is that a patterning process for forming the etch stop layer can be omitted. Yet another advantage is that resistive-capacitance (RC) delay can be improved due to a long distance from source/drain via to a gate contact.
Im Einklang mit einigen Ausführungsformen umfasst ein Verfahren das Bilden einer Gate-Struktur über einem Substrat. Eine dielektrische Kappe wird über der Gate-Struktur gebildet. Ein Source-/Drain-Kontakt wird über einem Source-/Drain-Bereich nahe der Gate-Struktur und über dem Substrat gebildet. Eine Ätzstoppschicht wird selektiv über der dielektrischen Kappe gebildet, ohne den Source-/Drain-Kontakt zu überlappen. Ein Zwischenschichtdielektrikum wird über der Ätzstoppschicht und dem Source-/Drain-Kontakt aufgebracht. Eine Source-/Drain-Durchkontaktierung wird gebildet, welche sich durch das ILD und den Source-/Drain-Kontakt erstreckt.In accordance with some embodiments, a method includes forming a gate structure over a substrate. A dielectric cap is formed over the gate structure. A source/drain contact is formed over a source/drain region near the gate structure and over the substrate. An etch stop layer is selectively formed over the dielectric cap without overlapping the source/drain contact. An interlayer dielectric is deposited over the etch stop layer and the source/drain contact. A source/drain via is formed that extends through the ILD and the source/drain contact.
Im Einklang mit einigen Ausführungsformen weist eine Vorrichtung einen Source-/Drain-Kontakt, eine Ätzstoppschicht, eine dielektrische Zwischenschicht (ILD-Schicht) und eine Source-/Drain-Durchkontaktierung auf. Der Source-/Drain-Kontakt ist über einem Source-/Drain-Bereich eines Transistors angeordnet. Die Ätzstoppschicht ist über einer Gate-Struktur des Transistors angeordnet. Die Ätzstoppschicht weist einen gestuften Abstand über dem Source-/Drain-Kontakt und eine Seitenwand im Wesentlichen ausgerichtet auf eine Seitenwand des Source-/Drain-Kontakts auf. Die ILD-Schicht ist über der Ätzstoppschicht angeordnet. Die Source-/Drain-Durchkontaktierung erstreckt sich durch die ILD-Schicht und die Ätzstoppschicht bis zum Source-/Drain-Kontakt.In accordance with some embodiments, a device includes a source/drain contact, an etch stop layer, an interlayer dielectric (ILD) layer, and a source/drain via. The source/drain contact is arranged over a source/drain region of a transistor. The etch stop layer is arranged over a gate structure of the transistor. The etch stop layer has a stepped spacing over the source/drain contact and a sidewall substantially aligned with a sidewall of the source/drain contact. The ILD layer is arranged over the etch stop layer. The source/drain via extends through the ILD layer and the etch stop layer to the source/drain contact.
Im Einklang mit einigen Ausführungsformen weist eine Vorrichtung einen ersten und einen zweiten Source-/Drain-Kontakt, eine Ätzstoppschicht, eine dielektrische Zwischenschicht (ILD-Schicht) und eine Durchkontaktierungsstruktur auf. Der erste und der zweite Source-/Drain-Kontakt sind über einem ersten beziehungsweise einem zweiten Source-/Drain-Bereich eines Transistors angeordnet. Die Ätzstoppschicht ist über einer Gate-Struktur des Transistors angeordnet. Die ILD-Schicht ist über der Ätzstoppschicht angeordnet und weist eine gestufte untere Fläche auf, welche eine untere Stufe in Kontakt mit einer oberen Fläche des ersten Source-/Drain-Bereichs und eine obere Stufe in Kontakt mit einer oberen Fläche der Ätzstoppschicht aufweist. Die Durchkontaktierungsstruktur erstreckt sich durch die ILD-Schicht und die Ätzstoppschicht bis zur Gate-Struktur.In accordance with some embodiments, a device includes first and second source/drain contacts, an etch stop layer, an interlayer dielectric (ILD) layer, and a via structure. The first and second source/drain contacts are disposed over first and second source/drain regions, respectively, of a transistor. The etch stop layer is arranged over a gate structure of the transistor. The ILD layer is disposed over the etch stop layer and has a stepped bottom surface having a bottom step in contact with a top surface of the first source/drain region and a top step in contact with a top surface of the etch stop layer. The via structure extends through the ILD layer and the etch stop layer to the gate structure.
Das Vorstehende legt Merkmale verschiedener Ausführungsformen dar, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erlangen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten ferner erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie zahlreiche Änderungen, Ersetzungen und Neugestaltungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing sets forth features of various embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they can readily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purposes and/or obtain the same advantages of the embodiments presented herein. It should further be appreciated by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that they can make various changes, substitutions, and reconfigurations without departing from the spirit and scope of the present disclosure.
ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION
Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.
Zitierte PatentliteraturPatent Literature Cited
- US 63/084999 [0001]US63/084999 [0001]
Claims (20)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063084999P | 2020-09-29 | 2020-09-29 | |
US63/084,999 | 2020-09-29 | ||
US17/191,278 | 2021-03-03 | ||
US17/191,278 US11588030B2 (en) | 2020-09-29 | 2021-03-03 | Integrated circuit structure and manufacturing method thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
DE102021106311A1 true DE102021106311A1 (en) | 2022-03-31 |
Family
ID=79327275
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE102021106311.6A Pending DE102021106311A1 (en) | 2020-09-29 | 2021-03-16 | INTEGRATED CIRCUIT STRUCTURE AND METHOD OF MANUFACTURING THEM |
Country Status (5)
Country | Link |
---|---|
US (1) | US11588030B2 (en) |
KR (1) | KR102575956B1 (en) |
CN (1) | CN113948469A (en) |
DE (1) | DE102021106311A1 (en) |
TW (1) | TWI812954B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11328931B1 (en) * | 2021-02-12 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100672762B1 (en) | 2003-10-29 | 2007-01-22 | 주식회사 하이닉스반도체 | Method for manufacturing semiconductor device using reverse gate process |
US8232607B2 (en) | 2010-11-23 | 2012-07-31 | International Business Machines Corporation | Borderless contact for replacement gate employing selective deposition |
US8617941B2 (en) * | 2011-01-16 | 2013-12-31 | International Business Machines Corporation | High-speed graphene transistor and method of fabrication by patternable hard mask materials |
US9070711B2 (en) * | 2013-08-02 | 2015-06-30 | Globalfoundries Inc. | Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices |
US9184263B2 (en) | 2013-12-30 | 2015-11-10 | Globalfoundries Inc. | Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices |
CN105575885B (en) * | 2014-10-14 | 2021-07-06 | 联华电子股份有限公司 | Semiconductor element and manufacturing method thereof |
CN105810565B (en) * | 2014-12-31 | 2019-07-23 | 联华电子股份有限公司 | The method for forming semiconductor element |
US9570573B1 (en) | 2015-08-10 | 2017-02-14 | Globalfoundries Inc. | Self-aligned gate tie-down contacts with selective etch stop liner |
US10163704B2 (en) * | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10211100B2 (en) | 2017-03-27 | 2019-02-19 | Globalfoundries Inc. | Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor |
US10355095B2 (en) * | 2017-03-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with composite gate helmet |
US10083863B1 (en) * | 2017-05-30 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure for semiconductor device |
US10522392B2 (en) | 2017-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10861745B2 (en) | 2017-11-30 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10204797B1 (en) * | 2018-02-06 | 2019-02-12 | Globalfoundries Inc. | Methods, apparatus, and system for reducing step height difference in semiconductor devices |
US11069526B2 (en) | 2018-06-27 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a self-assembly layer to facilitate selective formation of an etching stop layer |
-
2021
- 2021-03-03 US US17/191,278 patent/US11588030B2/en active Active
- 2021-03-16 DE DE102021106311.6A patent/DE102021106311A1/en active Pending
- 2021-04-29 KR KR1020210055915A patent/KR102575956B1/en active IP Right Grant
- 2021-05-06 CN CN202110489769.4A patent/CN113948469A/en active Pending
- 2021-05-26 TW TW110119136A patent/TWI812954B/en active
Also Published As
Publication number | Publication date |
---|---|
TWI812954B (en) | 2023-08-21 |
TW202213523A (en) | 2022-04-01 |
KR102575956B1 (en) | 2023-09-06 |
US20230187511A1 (en) | 2023-06-15 |
KR20220043834A (en) | 2022-04-05 |
US11588030B2 (en) | 2023-02-21 |
US20220102508A1 (en) | 2022-03-31 |
CN113948469A (en) | 2022-01-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE102020101271B4 (en) | METHOD OF BOTTOM-UP FORMING OF DEVICE WITH PLUG AND DEVICE WITH PLUG | |
DE102019115481A1 (en) | SEMICONDUCTOR COMPONENT AND METHOD | |
DE102021104073B4 (en) | SELF-ALIGNED METAL GATE FOR MULTIGATE DEVICE AND MANUFACTURING METHOD | |
DE102018106631A1 (en) | Cutting method for semiconductor structure and structures formed thereby | |
DE102021101178B4 (en) | INTEGRATED CIRCUIT STRUCTURE WITH BACK SIDE DIELECTRIC LAYER WITH AIR GAP AND METHOD FOR THE PRODUCTION THEREOF | |
DE102021106831A1 (en) | ETCH PROFILE CONTROL OF GATE CONTACT OPENINGS | |
DE102021104811A1 (en) | ETCH PROFILE CONTROL FOR A GATE CONTACT OPENING | |
DE102021117896A1 (en) | SEMICONDUCTION DEVICE WITH GATE ISOLATION STRUCTURE AND FORMATION METHOD | |
DE102021109456A1 (en) | METHOD OF FORMING A SEMICONDUCTOR DEVICE | |
DE102021109770B4 (en) | HYBRID SEMICONDUCTOR DEVICE | |
DE102021110442A1 (en) | ETCH PROFILE CONTROL OF THE GATE CONTACT OPENING | |
DE102021104668A1 (en) | FIELD EFFECT TRANSISTOR DEVICE WITH GATE SPACER STRUCTURE | |
DE102021105733A1 (en) | CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME | |
DE102021100333A1 (en) | SEMICONDUCTOR DEVICE STRUCTURE | |
DE102021103217B4 (en) | Etching profile control of the via opening and corresponding semiconductor device | |
US20240266218A1 (en) | Integrated circuit structure and manufacturing method thereof | |
DE102018122654A1 (en) | FINNENFELDEFFEKTTRANSISTORVORRICHTUNG AND METHOD FOR MAKING THE SAME | |
DE102018124815B4 (en) | FIN field effect transistor device and method | |
DE102021106311A1 (en) | INTEGRATED CIRCUIT STRUCTURE AND METHOD OF MANUFACTURING THEM | |
US20230335435A1 (en) | Integrated circuit structure and manufacturing method thereof | |
DE102023109998A1 (en) | THRESHOLD VOLTAGE MODULATION THROUGH GATE HEIGHT VARIATION | |
DE102022132143A1 (en) | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES | |
DE102018101016A1 (en) | Method for cutting metal gates and structures formed therefrom | |
DE102018124812B4 (en) | GATE DIELECTRIUM PRESERVING GATE CUTTING PROCESS | |
DE102020119452A1 (en) | Semiconductor device and method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R016 | Response to examination communication |