[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

DE102020120097A1 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD - Google Patents

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD Download PDF

Info

Publication number
DE102020120097A1
DE102020120097A1 DE102020120097.8A DE102020120097A DE102020120097A1 DE 102020120097 A1 DE102020120097 A1 DE 102020120097A1 DE 102020120097 A DE102020120097 A DE 102020120097A DE 102020120097 A1 DE102020120097 A1 DE 102020120097A1
Authority
DE
Germany
Prior art keywords
integrated circuit
waveguide
semiconductor device
photonic integrated
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020120097.8A
Other languages
German (de)
Inventor
Chung-Ming Weng
Chen-Hua Yu
Chung-Shi Liu
Hao-Yi Tsai
Cheng-chieh Hsieh
Hung-Yi Kuo
Chih-Hsuan Tai
Hua-Kuei Lin
Tsung-Yuan Yu
Min-Hsiang Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/930,702 external-priority patent/US11614592B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020120097A1 publication Critical patent/DE102020120097A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12107Grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12173Masking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Photonische Vorrichtungen Herstellungsverfahren werden bereitgestellt. In Ausführungsformen werden ein Füllmaterial und/oder ein sekundärer Wellenleiter verwendet, um andere interne Strukturen wie Gitterkoppler vor Belastungen durch anschließende Verarbeitungsschritte zu schützen. Durch die Verwendung dieser Strukturen zu geeigneten Zeitpunkten während des Herstellungsprozesses können Schäden und Trümmerbildungen vermieden werden, die sonst den Herstellungsprozess der Vorrichtung oder deren Betrieb stören könnten.Photonic device manufacturing methods are provided. In embodiments, a filler material and / or a secondary waveguide are used to protect other internal structures such as grating couplers from stresses from subsequent processing steps. By using these structures at suitable times during the manufacturing process, damage and debris can be avoided, which could otherwise disrupt the manufacturing process of the device or its operation.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS REFERENCE

Diese Anmeldung beansprucht die Priorität der am 22. Januar 2020 eingereichten vorläufigen US-Patentanmeldung 62/964,375 , die durch Bezugnahme hierin aufgenommen wird.This application claims priority of the preliminary filing on January 22, 2020 U.S. Patent Application 62 / 964,375 which is incorporated herein by reference.

HINTERGRUNDBACKGROUND

Elektrische Signalübertragung und Signalverarbeitung sind die gängigsten Techniken für die Signalübertragung und Signalverarbeitung. Optische Signalübertragung und Signalverarbeitung sind in den letzten Jahren in immer mehr Anwendungen eingesetzt worden, insbesondere aufgrund der Verwendung von faseroptischen Anwendungen für die Signalübertragung.Electrical signal transmission and signal processing are the most common techniques for signal transmission and signal processing. Optical signal transmission and signal processing have been used in more and more applications in recent years, particularly due to the use of fiber optic applications for signal transmission.

FigurenlisteFigure list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden ausführlichen Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • 1A-1B veranschaulicht das Anordnen einer ersten photonischen integrierten Schaltung gemäß einigen Ausführungsformen.
  • 2 veranschaulicht das Bilden einer ersten Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • 3 veranschaulicht das Übertragen der Struktur auf ein zweites Trägersubstrat gemäß einigen Ausführungsformen.
  • 4 veranschaulicht das Bilden einer zweiten Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • 5 veranschaulicht das Bilden von externen Kontakten gemäß einigen Ausführungsformen.
  • 6 veranschaulicht das Bonden einer elektronischen integrierten Schaltung gemäß einigen Ausführungsformen.
  • 7 veranschaulicht das Anordnen einer ersten Unterfüllung gemäß einigen Ausführungsformen.
  • 8 veranschaulicht einen Vereinzelungsprozess gemäß einigen Ausführungsformen.
  • 9 veranschaulicht das Anordnen einer optischen Faser gemäß einigen Ausführungsformen.
  • 10A-10B veranschaulicht das Verkapseln der ersten photonischen integrierten Schaltung mit Halbleiter-Dies gemäß einigen Ausführungsformen.
  • 11A-11B veranschaulicht das Bilden einer zweiten optischen Faser gemäß einigen Ausführungsformen.
  • 12 veranschaulicht das Anbringen der Struktur an einem zweiten Trägersubstrat gemäß einigen Ausführungsformen.
  • 13 veranschaulicht das Bilden einer zweiten Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • 14 veranschaulicht das Bilden von externen Kontakten gemäß einigen Ausführungsformen.
  • 15 veranschaulicht das Binden der elektronischen integrierten Schaltung gemäß einigen Ausführungsformen.
  • 16 veranschaulicht das Anordnen der optischen Faser gemäß einigen Ausführungsformen.
  • 17 veranschaulicht das Verkapseln der ersten photonischen integrierten Schaltung mit der elektronischen integrierten Schaltung gemäß einigen Ausführungsformen.
  • 18 veranschaulicht eine erste Hartmaske gemäß einigen Ausführungsformen.
  • 19 veranschaulicht das Bilden einer Öffnung gemäß einigen Ausführungsformen.
  • 20 veranschaulicht einen Verdünnungsprozess gemäß einigen Ausführungsformen.
  • 21 veranschaulicht das Bilden einer zweiten Wellenleiter und einer ersten Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • 22 veranschaulicht eine vollständig ausgebildete Vorrichtung gemäß einigen Ausführungsformen.
Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various features are not shown to scale. Indeed, the dimensions of the various features may be arbitrarily enlarged or reduced for clarity of explanation.
  • 1A-1B FIG. 11 illustrates arranging a first photonic integrated circuit in accordance with some embodiments.
  • 2 illustrates forming a first redistribution structure in accordance with some embodiments.
  • 3 illustrates the transfer of the structure to a second carrier substrate in accordance with some embodiments.
  • 4th illustrates forming a second redistribution structure in accordance with some embodiments.
  • 5 illustrates the formation of external contacts in accordance with some embodiments.
  • 6th illustrates bonding of an electronic integrated circuit in accordance with some embodiments.
  • 7th Figure 3 illustrates placing a first underfill in accordance with some embodiments.
  • 8th illustrates a singulation process in accordance with some embodiments.
  • 9 Figure 3 illustrates the placement of an optical fiber in accordance with some embodiments.
  • 10A-10B FIG. 10 illustrates encapsulating the first photonic integrated circuit with semiconductor dies in accordance with some embodiments.
  • 11A-11B illustrates forming a second optical fiber in accordance with some embodiments.
  • 12th illustrates the attachment of the structure to a second carrier substrate in accordance with some embodiments.
  • 13th illustrates forming a second redistribution structure in accordance with some embodiments.
  • 14th illustrates the formation of external contacts in accordance with some embodiments.
  • 15th illustrates bonding of the electronic integrated circuit in accordance with some embodiments.
  • 16 Figure 3 illustrates the placement of the optical fiber in accordance with some embodiments.
  • 17th illustrates encapsulation of the first photonic integrated circuit with the electronic integrated circuit in accordance with some embodiments.
  • 18th illustrates a first hard mask in accordance with some embodiments.
  • 19th illustrates forming an opening in accordance with some embodiments.
  • 20th illustrates a dilution process in accordance with some embodiments.
  • 21 illustrates forming a second waveguide and a first redistribution structure in accordance with some embodiments.
  • 22nd Figure 3 illustrates a fully formed device in accordance with some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend spezifische Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Beispielsweise kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, kann aber auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Ferner können Bezugszeichen in den verschiedenen Beispielen der vorliegenden Offenbarung wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder Konfigurationen vor, die hierin diskutiert sind.The following disclosure offers many different embodiments or examples of implementing various features of the invention. To simplify the present disclosure, specific examples of components and arrangements are described below. These are of course only examples and are not intended to be limiting. For example, forming a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, but may also include embodiments in which additional features are between the first and the second feature so that the first and second features may not be in direct contact. Furthermore, reference characters may be repeated in the various examples of the present disclosure. This repetition is for the purpose of simplicity and clarity and does not per se dictate a relationship between the various embodiments and / or configurations discussed herein.

Ferner können hier der Einfachheit halber räumlich relative Begriffe wie „unten“, „unter“, „abwärts“, „über“, „oben“, „aufwärts“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmal(en) zu beschreiben, wie in den Zeichnungen dargestellt. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Abbildungen dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung während Benutzung oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die hier verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.Furthermore, for the sake of simplicity, spatially relative terms such as “below”, “below”, “downwards”, “above”, “above”, “upwards” and the like can be used here to describe the relationship of one element or feature to one or more others Describe any item or feature (s) as shown in the drawings. The spatially relative terms are intended to include various orientations of the device during use or operation in addition to the orientation shown in the figures. The device may be oriented differently (rotated 90 degrees or in other orientations) and the spatially relative descriptors used herein may also be interpreted accordingly.

Ausführungsformen werden nun im Hinblick auf spezifische Verfahren und Prozesse beschrieben, die zum Schutz empfindlicher Komponenten photonischer integrierter Schaltungen wie Gitterkoppler und Wellenleiter dienen. Die hierin erläuterten Ausführungsformen sollen jedoch repräsentativ sein und sollen die Ausführungsformen in keiner Weise einschränken.Embodiments will now be described in terms of specific methods and processes used to protect sensitive components of photonic integrated circuits such as grating couplers and waveguides. However, the embodiments discussed herein are intended to be representative and are not intended to limit the embodiments in any way.

Mit Bezug nun auf 1A-1B, wobei 1B eine Nahansicht des gestrichelten Kastens 110 in 1A ist, wird ein Verfahren zur Bildung einer steckbaren, modularisierten Vorrichtung dargestellt, die ein erstes Trägersubstrat 101, eine erste Klebeschicht 103 über dem ersten Trägersubstrat 101 und die Bildung von Durchkontaktierungen-durch-Isolator (through insulator vias, TIVs) 107 über der ersten Klebeschicht 103 aufweist. Das erste Trägersubstrat 101 enthält beispielsweise Materialien auf Siliziumbasis wie Glas oder Siliziumoxid oder andere Materialien wie Aluminiumoxid, Kombinationen dieser Materialien oder dergleichen. Das erste Trägersubstrat 101 ist planar, um eine Befestigung von Halbleitervorrichtungen wie beispielsweise eine erste photonische integrierte Schaltung 105 (nachstehend näher erläutert) aufzunehmen.With reference now to 1A-1B , in which 1B a close up view of the dashed box 110 in 1A is presented a method of forming a pluggable, modularized device having a first carrier substrate 101 , a first layer of adhesive 103 over the first carrier substrate 101 and the formation of through insulator vias (TIVs) 107 over the first layer of adhesive 103 having. The first carrier substrate 101 contains, for example, silicon-based materials such as glass or silicon oxide or other materials such as aluminum oxide, combinations of these materials or the like. The first carrier substrate 101 is planar to support a semiconductor device such as a first photonic integrated circuit 105 (explained in more detail below).

Die erste Klebeschicht 103 wird auf dem ersten Trägersubstrat 101 angeordnet, um die Haftung darüber liegender Strukturen (beispielsweise der ersten photonischen integrierten Schaltung 105) zu unterstützen. In einer Ausführungsform kann die erste Klebeschicht 103 einen Die-Attach-Film, einen ultravioletten Klebstoff aufweisen, der seine Hafteigenschaften verliert, wenn er ultraviolettem Licht ausgesetzt wird. Es können jedoch auch andere Arten von Klebstoffen wie druckempfindliche Klebstoffe, strahlungshärtende Klebstoffe, Epoxide, Kombinationen hiervon oder dergleichen verwendet werden. Die erste Klebeschicht 103 kann in halbflüssiger oder gelförmiger Form, die unter Druck leicht verformbar ist, auf das erste Trägersubstrat 101 aufgebracht werden.The first layer of adhesive 103 is on the first carrier substrate 101 arranged to ensure the adhesion of overlying structures (for example the first photonic integrated circuit 105 ) to support. In one embodiment, the first adhesive layer 103 a die attach film, an ultraviolet adhesive that loses its adhesive properties when exposed to ultraviolet light. However, other types of adhesives such as pressure sensitive adhesives, radiation curable adhesives, epoxies, combinations thereof, or the like can also be used. The first layer of adhesive 103 can in semi-liquid or gel-like form, which is easily deformable under pressure, on the first carrier substrate 101 be applied.

Die TIVs 107 werden über der ersten Klebeschicht 103 gebildet und weisen eine erste Keimschicht auf (nicht gesondert von den TIVs 107 dargestellt). Die erste Keimschicht wird über der ersten Klebeschicht 103 gebildet und weist eine dünne Schicht eines leitfähigen Materials auf, das die Bildung einer dickeren Schicht bei den nachfolgenden Verarbeitungsschritten unterstützt. Die erste Keimschicht kann eine Titanschicht aufweisen, die etwa 1.000 Å dick ist, gefolgt von einer Kupferschicht mit einer Dicke von etwa 5.000 Å. Die erste Keimschicht kann durch Prozesse wie Sputtern, Verdampfen oder PECVD-Prozesse, je nach gewünschten Materialien, erzeugt werden. Die erste Keimschicht kann derart gebildet werden, dass sie eine Dicke zwischen etwa 0,3 µm und etwa 1 µm, beispielsweise etwa 0,5 µm aufweist.The TIVs 107 will be over the first layer of adhesive 103 and have a first seed layer (not separate from the TIVs 107 shown). The first seed layer will be over the first adhesive layer 103 and comprises a thin layer of a conductive material that aids in the formation of a thicker layer in the subsequent processing steps. The first seed layer may include a titanium layer that is about 1,000 Å thick followed by a copper layer about 5,000 Å thick. The first seed layer can be generated by processes such as sputtering, evaporation or PECVD processes, depending on the desired materials. The first seed layer can be formed in such a way that it has a thickness between approximately 0.3 μm and approximately 1 μm, for example approximately 0.5 μm.

Nach der Bildung der ersten Keimschicht wird ein Photoresist (nicht gesondert abgebildet) über der ersten Keimschicht angeordnet und strukturiert. In einer Ausführungsform kann das Photoresist beispielsweise durch eine Aufschleuderbeschichtungs-Technik bis zu einer Höhe zwischen etwa 50 µm und etwa 250 µm, beispielsweise etwa 120 µm auf der ersten Keimschicht angeordnet werden. Das Photoresist kann dann nach dem Anordnen strukturiert werden, indem das Photoresist mit einer strukturierten Energiequelle (beispielsweise einer strukturierten Lichtquelle) belichtet wird, um eine chemische Reaktion auszulösen und dadurch eine physikalische Veränderung in denjenigen Abschnitten des Photoresists hervorzurufen, die der strukturierten Lichtquelle ausgesetzt werden. Dann wird ein Entwickler auf das belichtete Photoresist appliziert, um die physikalischen Veränderungen auszunutzen und je nach der gewünschten Struktur entweder den belichteten Abschnitt des Photoresists oder den unbelichteten Abschnitt des Photoresists selektiv zu entfernen.After the first seed layer is formed, a photoresist (not shown separately) is placed over the first seed layer and patterned. In one embodiment, the photoresist can be disposed on the first seed layer, for example by a spin-on coating technique, to a height between about 50 μm and about 250 μm, for example about 120 μm. The photoresist can then be patterned after it has been arranged by exposing the photoresist to a patterned energy source (for example a patterned light source) in order to trigger a chemical reaction and thereby cause a physical change in those portions of the photoresist that are exposed to the patterned light source. A developer is then applied to the exposed photoresist in order to take advantage of the physical changes and, depending on the desired structure, selectively remove either the exposed portion of the photoresist or the unexposed portion of the photoresist.

In einer Ausführungsform ist die in das Photoresist geformte Struktur eine Struktur für die TIVs 107. Die TIVs 107 sind in einer Anordnung derart gebildet, dass die elektrischen Pfade benachbart zu der ersten photonischen integrierten Schaltung 105 liegen, die nachfolgend angeordnet wird, und mit einer Beabstandung (pitch) von weniger als etwa 40 µm ausgebildet werden können. Freilich kann jede geeignete Anordnung für die Struktur der TIVs 107 verwendet werden, beispielsweise durch eine Anordnung derart, dass eine oder mehrere erste photonische integrierte Schaltungen 105 auf entgegengesetzten Seiten der TIVs 107 angeordnet werden.In one embodiment, the structure molded into the photoresist is a structure for the TIVs 107 . The TIVs 107 are formed in an arrangement such that the electrical paths are adjacent to the first photonic integrated circuit 105 which is subsequently arranged and can be formed with a spacing (pitch) of less than about 40 μm. Of course, any suitable arrangement for the structure of the TIVs 107 can be used, for example by an arrangement such that one or more first photonic integrated Circuits 105 on opposite sides of the TIVs 107 to be ordered.

In einer Ausführungsform werden die TIVs 107 innerhalb des Photoresists gebildet und enthalten ein oder mehrere leitfähige Materialien wie Kupfer, Wolfram, andere leitfähige Metallen oder dergleichen. Die TIVs 107 können beispielsweise durch Galvanisieren, stromloses Plattieren oder dergleichen gebildet werden. In einer Ausführungsform wird ein Galvanisierungsprozess verwendet, bei dem die erste Keimschicht und das Photoresist in eine Galvanierlösung teilweise oder vollständig eingetaucht werden. Die Oberfläche der ersten Keimschicht ist elektrisch mit der negativen Seite einer externen Gleichstromversorgung verbunden, so dass die erste Keimschicht als Kathode in dem Galvanisierungsprozess dient. Eine feste leitende Anode, wie beispielsweise eine Kupferanode, wird ebenfalls in die Lösung eingetaucht und wird an der positiven Seite der Stromversorgung angebracht. Die Atome von der Anode werden in die Lösung gelöst, aus der die Kathode, beispielsweise die erste Keimschicht, die gelösten Atome aufnimmt, wodurch die freigelegten leitenden Bereiche der ersten Keimschicht innerhalb der Öffnung des Photoresists plattiert werden.In one embodiment, the TIVs 107 are formed within the photoresist and contain one or more conductive materials such as copper, tungsten, other conductive metals, or the like. The TIVs 107 can be formed, for example, by electroplating, electroless plating, or the like. In one embodiment, an electroplating process is used in which the first seed layer and the photoresist are partially or fully immersed in an electroplating solution. The surface of the first seed layer is electrically connected to the negative side of an external DC power supply so that the first seed layer serves as a cathode in the electroplating process. A solid conductive anode, such as a copper anode, is also immersed in the solution and attached to the positive side of the power supply. The atoms from the anode are dissolved into the solution from which the cathode, e.g., the first seed layer, receives the dissolved atoms, thereby plating the exposed conductive areas of the first seed layer within the opening of the photoresist.

Nach der Bildung der TIVs 107 unter Verwendung des Photoresists und der ersten Keimschicht kann das Photoresist durch einen geeigneten Entfernungsprozess entfernt werden. In einer Ausführungsform kann ein Plasmaveraschungsprozess zur Entfernung des Photoresists verwendet werden, wobei die Temperatur des Photoresists erhöht werden kann, bis das Photoresist eine thermische Zersetzung durchläuft und entfernt werden kann. Freilich kann auch jeder andere geeignete Prozess, wie beispielsweise ein Nassabziehen, verwendet werden. Die Entfernung des Photoresists kann die darunter liegenden Abschnitte der ersten Keimschicht freilegen.After the formation of the TIVs 107 using the photoresist and the first seed layer, the photoresist can be removed by a suitable removal process. In one embodiment, a plasma ashing process can be used to remove the photoresist, wherein the temperature of the photoresist can be increased until the photoresist undergoes thermal degradation and can be removed. Of course, any other suitable process, such as wet stripping, can also be used. Removal of the photoresist can expose the underlying portions of the first seed layer.

Nach der Freilegung kann eine Entfernung der freigelegten Abschnitte der ersten Keimschicht durchgeführt werden. In einer Ausführungsform können die freigelegten Abschnitte der ersten Keimschicht (beispielsweise diejenigen Abschnitte, die nicht durch die TIVs 107 bedeckt sind) beispielsweise durch einen Nass- oder Trockenätzprozess entfernt werden. In einem Trockenätzprozess können die Reaktanten beispielsweise unter Verwendung der TIVs 107 als Masken auf die erste Keimschicht gerichtet werden. In einer anderen Ausführungsform können Ätzmittel gesprüht oder auf andere Weise mit der ersten Keimschicht in Kontakt gebracht werden, um die freigelegten Abschnitte der ersten Keimschicht zu entfernen. Nachdem der freigelegte Abschnitt der ersten Keimschicht weggeätzt worden ist, wird ein Abschnitt der ersten Klebeschicht 103 zwischen den TIVs 107 freigelegt.After exposure, the exposed sections of the first seed layer can be removed. In one embodiment, the exposed portions of the first seed layer (e.g., those portions that are not covered by the TIVs 107 are covered) can be removed, for example, by a wet or dry etching process. For example, in a dry etch process, the reactants can be processed using the TIVs 107 be directed as masks on the first seed layer. In another embodiment, etchants can be sprayed or otherwise contacted with the first seed layer to remove the exposed portions of the first seed layer. After the exposed portion of the first seed layer has been etched away, a portion of the first adhesive layer becomes 103 between the TIVs 107 exposed.

1A zeigt zusätzlich das Anordnen der ersten photonischen integrierten Schaltung 105 auf der ersten Klebeschicht 103. Die erste photonische integrierte Schaltung 105 wird zum Senden und Empfangen optischer Signale verwendet. Insbesondere wandelt die erste photonische integrierte Schaltung 105 elektrische Signale in optische Signale zur Übertragung entlang einer optischen Faser 901 um (nicht in 1A-1B dargestellt, aber nachstehend mit Bezug auf 9 dargestellt und beschrieben) und wandelt optische Signale von der optischen Faser 901 in elektrische Signale um. Somit ist die erste photonische integrierte Schaltung 105 verantwortlich für die Eingabe/Ausgabe (I/O) von optischen Signalen an die und von der optischen Faser 901. 1A additionally shows the arrangement of the first photonic integrated circuit 105 on the first adhesive layer 103 . The first photonic integrated circuit 105 is used to send and receive optical signals. In particular, the first photonic integrated circuit converts 105 electrical signals to optical signals for transmission along an optical fiber 901 around (not in 1A-1B but below with reference to FIG 9 shown and described) and converts optical signals from the optical fiber 901 into electrical signals. Thus, the first is a photonic integrated circuit 105 responsible for input / output (I / O) of optical signals to and from the optical fiber 901 .

1B zeigt eine Nahansicht des gestrichelten Kastens 110 in 1A und zeigt eine detailliertere Nahansicht der ersten photonischen integrierten Schaltung 105. In einer Ausführungsform kann die erste photonische integrierte Schaltung 105 unter Verwendung eines Silizium-auf-Isolator-Substrats (SOI-Substrats) 151 gebildet werden. Im Allgemeinen weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial 153 auf, wie beispielsweise Silizium, das auf einer Isolatorschicht 155 gebildet ist. Die Isolatorschicht 155 kann beispielsweise eine vergrabene Oxidschicht (BOX) oder eine Siliziumoxidschicht sein. Die Isolatorschicht 155 wird auf einem Substrat 158 bereitgestellt, typischerweise einem Siliziumsubstrat oder Glassubstrat. Andere Substrate wie beispielsweise ein Mehrschichtsubstrat oder Gradientensubstrat können ebenfalls verwendet werden. 1B Figure 10 shows a close-up view of the dashed box 110 in 1A and shows a more detailed close-up view of the first photonic integrated circuit 105 . In one embodiment, the first photonic integrated circuit 105 using a silicon-on-insulator substrate (SOI substrate) 151 are formed. In general, an SOI substrate has a layer made of a semiconductor material 153 on, such as silicon, on top of an insulator layer 155 is formed. The insulating layer 155 can for example be a buried oxide layer (BOX) or a silicon oxide layer. The insulating layer 155 is on a substrate 158 provided, typically a silicon substrate or glass substrate. Other substrates such as a multilayer substrate or gradient substrate can also be used.

1B zeigt zusätzlich die Bildung eines Gitterkopplers 157 über Wellenleiter 154, die innerhalb des Halbleitermaterials 153 gebildet sind. In einer Ausführungsform können die Wellenleiter 154 Silizium-Wellenleiter sein, die durch das Strukturieren des Halbleitermaterials 153 gebildet werden. Das Strukturieren des Halbleitermaterials 153 kann durch akzeptable Photolithographie- und Ätztechniken durchgeführt werden. Beispielsweise kann ein Photoresist auf der Vorderseite des Halbleitermaterials 153 gebildet und entwickelt werden. Das Photoresist kann mit Öffnungen strukturiert werden, die den Wellenleitern 154 entsprechen. Ein oder mehrere Ätzprozesse können unter Verwendung des strukturierten Photoresists als Ätzmaske durchgeführt werden. Insbesondere kann die Vorderseite des Halbleitermaterials 153 geätzt werden, um Aussparungen zu bilden, die die Wellenleiter 154 definieren; die verbleibenden nicht ausgesparten Abschnitte des Halbleitermaterials 153 bilden die Wellenleiter 154, wobei die Seitenwände der verbleibenden nicht ausgesparten Abschnitte die Seitenwände der Wellenleiter 154 definieren. Die Ätzprozesse können ein anisotropes Nass- oder Trockenätzen sein. Es ist zu beachten, dass die Abmessungen der Wellenleiter 154 von der Anwendung abhängen; in einer Ausführungsform weisen die Wellenleiter 154 eine Breite von etwa 500 nm bis etwa 3000 nm auf, wie etwa 500 nm, und weisen eine Höhe von etwa 220 nm bis etwa 300 nm auf, wie etwa 250 nm. 1B additionally shows the formation of a grating coupler 157 via waveguide 154 that are within the semiconductor material 153 are formed. In one embodiment, the waveguides 154 Silicon waveguides made by structuring the semiconductor material 153 are formed. The structuring of the semiconductor material 153 can be done by acceptable photolithography and etching techniques. For example, a photoresist can be applied to the front side of the semiconductor material 153 be formed and developed. The photoresist can be patterned with openings that support the waveguides 154 are equivalent to. One or more etching processes can be carried out using the structured photoresist as an etching mask. In particular, the front side of the semiconductor material can 153 be etched to form recesses that support the waveguide 154 define; the remaining non-recessed portions of the semiconductor material 153 form the waveguides 154 wherein the sidewalls of the remaining un-recessed sections are the sidewalls of the waveguide 154 define. The etching processes can be anisotropic wet or dry etching. It should be noted that the dimensions of the waveguide 154 depend on the application; in one embodiment point the waveguide 154 have a width of about 500 nm to about 3000 nm, such as about 500 nm, and have a height of about 220 nm to about 300 nm, such as about 250 nm.

Die Wellenleiter 154 weisen ferner die Gitterkoppler 157 auf, die in den oberen Abschnitten der Wellenleiter 154 gebildet sind. Die Gitterkoppler 157 ermöglichen es den Wellenleitern 154, Licht zu einer darüberliegenden Lichtquelle oder optischen Signalquelle (beispielsweise der optischen Faser 901) zu übertragen oder Licht von einer darüberliegenden Lichtquelle oder optischen Signalquelle (beispielsweise der optischen Faser 901) zu empfangen. Die Gitterkoppler 157 können durch akzeptable Photolithographie- und Ätztechniken hergestellt werden. In einer Ausführungsform werden die Gitterkoppler 157 gebildet, nachdem die Wellenleiter 154 definiert sind. Beispielsweise kann ein Photoresist auf der Vorderseite des Halbleitermaterials 153 gebildet und entwickelt werden (beispielsweise auf den Wellenleitern 154 und in den Aussparungen, die diese definieren). Das Photoresist kann mit Öffnungen strukturiert werden, die den Gitterkopplern 157 entsprechen, und ein oder mehrere Ätzprozesse können unter Verwendung des strukturierten Photoresists als Ätzmaske durchgeführt werden. Insbesondere kann die Vorderseite des Halbleitermaterials 153 geätzt werden, um Aussparungen in den Wellenleitern 154 zu bilden, die die Gitterkoppler 157 definieren. Die Ätzprozesse können ein anisotropes Nass- oder Trockenätzen sein.The waveguide 154 also have the grating couplers 157 on that in the top sections of the waveguide 154 are formed. The grid coupler 157 enable the waveguides 154 , Light to an overlying light source or optical signal source (for example the optical fiber 901 ) to transmit or light from an overlying light source or optical signal source (for example the optical fiber 901 ) to recieve. The grid coupler 157 can be made by acceptable photolithography and etching techniques. In one embodiment, the grating couplers 157 formed after the waveguide 154 are defined. For example, a photoresist can be applied to the front side of the semiconductor material 153 formed and developed (for example on the waveguides 154 and in the recesses that define them). The photoresist can be patterned with openings that the grating couplers 157 correspond, and one or more etching processes can be carried out using the structured photoresist as an etching mask. In particular, the front side of the semiconductor material can 153 be etched to make recesses in the waveguides 154 to form that the grating coupler 157 define. The etching processes can be anisotropic wet or dry etching.

Nachdem die Wellenleiter 154 und der Gitterkoppler 157 gebildet worden sind, wird eine dielektrische Schicht 159 an der Vorderseite des Halbleitermaterials 153 gebildet. Die dielektrische Schicht 159 wird über den Wellenleitern 154 und dem Gitterkoppler 157 und in den Aussparungen gebildet, die die Wellenleiter 154 und die Gitterkoppler 157 definieren. Die dielektrische Schicht 159 kann aus Siliziumoxid, Siliziumnitrid, einer Kombination hiervon oder dergleichen gebildet werden und kann durch CVD, PVD, Atomlagenabscheidung (ALD), einen Aufschleudern-auf-Dielektrikum-Prozess oder eine Kombination hiervon gebildet werden. Nach der Bildung kann die dielektrische Schicht 159 planarisiert werden, beispielsweise durch chemisch-mechanisches Polieren (CMP) oder mechanisches Schleifen, um eine Übertragung der Struktur der Wellenleiter 154 auf die dielektrische Schicht 159 zu vermeiden. In einer Ausführungsform ist die dielektrische Schicht 159 ein Oxid des Materials des Halbleitermaterials 153, wie beispielsweise Siliziumoxid. Aufgrund der unterschiedlichen Brechungsindizes der Materialien der Wellenleiter 154 und der dielektrischen Schicht 159 weisen die Wellenleiter 154 hohe interne Reflexionen auf, so dass das Licht in Abhängigkeit von der Wellenlänge des Lichts und den Reflexionsindizes der jeweiligen Materialien in den Wellenleitern 154 eingeschlossen wird. In einer Ausführungsform ist der Brechungsindex des Materials der Wellenleiter 154 höher als der Brechungsindex des Materials der dielektrischen Schicht 159.After the waveguide 154 and the grating coupler 157 have been formed, a dielectric layer 159 at the front of the semiconductor material 153 educated. The dielectric layer 159 is over the waveguides 154 and the grating coupler 157 and formed in the recesses that the waveguide 154 and the grating couplers 157 define. The dielectric layer 159 can be formed from silicon oxide, silicon nitride, a combination thereof, or the like, and can be formed by CVD, PVD, atomic layer deposition (ALD), a spin-on-dielectric process, or a combination thereof. Once formed, the dielectric layer can 159 be planarized, for example by chemical mechanical polishing (CMP) or mechanical grinding, in order to transfer the structure of the waveguide 154 on the dielectric layer 159 to avoid. In one embodiment, the dielectric layer is 159 an oxide of the material of the semiconductor material 153 such as silicon oxide. Due to the different refractive indices of the waveguide materials 154 and the dielectric layer 159 point the waveguide 154 high internal reflections, so that the light depends on the wavelength of the light and the reflection index of the respective materials in the waveguides 154 is included. In one embodiment, the index of refraction of the material is the waveguide 154 higher than the refractive index of the material of the dielectric layer 159 .

1B zeigt zusätzlich das Bilden einer Umverteilungsstruktur 161, wie beispielsweise eine Back-End-of-Line-Struktur (BEOL-Struktur) über dem Halbleitermaterial 153 und der dielektrischen Schicht 159, um elektrische Verbindungen zu den Strukturen innerhalb der ersten photonischen integrierten Schaltung 105 herzustellen. In einer Ausführungsform kann die Umverteilungsstruktur 161 aus einer oder mehreren Schichten leitender Materialien gebildet werden, die durch eine oder mehrere Schichten dielektrischer Materialien voneinander getrennt sind. In einigen Ausführungsformen wird das dielektrische Material abgeschieden und dann strukturiert, um Öffnungen zu bilden, und dann werden diese Öffnungen mit einem leitenden Material gefüllt und/oder überfüllt. Das leitende Material wird dann planarisiert, um das leitende Material entweder in einem Damaszener- oder Dual-Damaszener-Prozess in das dielektrische Material einzubetten. Nach der Ausbildung einer ersten Ebene können weitere Ebenen durch Wiederholung des Damaszener- oder Doppel-Damaszener-Prozesses gebildet werden. 1B additionally shows the formation of a redistribution structure 161 such as a back-end-of-line (BEOL) structure over the semiconductor material 153 and the dielectric layer 159 to make electrical connections to the structures within the first photonic integrated circuit 105 to manufacture. In one embodiment, the redistribution structure 161 formed from one or more layers of conductive materials separated from one another by one or more layers of dielectric materials. In some embodiments, the dielectric material is deposited and then patterned to form openings, and then these openings are filled and / or overfilled with a conductive material. The conductive material is then planarized to embed the conductive material in the dielectric material in either a damascene or dual damascene process. After the formation of a first level, further levels can be formed by repeating the Damascene or Double Damascene process.

Nach der Ausbildung der Umverteilungsstruktur 161 können Kontaktpads 162 über der Umverteilungsstruktur 161 gebildet werden (siehe 1A), um eine elektrische Verbindung zwischen der Umverteilungsstruktur 161 und darüber liegenden Strukturen herzustellen. In einer Ausführungsform werden die Kontaktpads 162 aus einem leitfähigen Material wie Aluminium gebildet, obwohl alternativ auch andere geeignete Materialien wie Kupfer, Wolfram oder dergleichen verwendet werden können. Die Kontaktpads 162 können durch einen Prozess wie CVD gebildet werden, obwohl alternativ auch andere geeignete Materialien und Prozesse verwendet werden können. Nach dem Abscheiden des Materials für die Kontaktpads 162 kann das Material zu den Kontaktpads 162 geformt werden, beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess.After the formation of the redistribution structure 161 can contact pads 162 above the redistribution structure 161 be formed (see 1A) to establish an electrical connection between the redistribution structure 161 and produce overlying structures. In one embodiment, the contact pads 162 formed from a conductive material such as aluminum, although other suitable materials such as copper, tungsten, or the like may alternatively be used. The contact pads 162 can be formed by a process such as CVD, although other suitable materials and processes can alternatively be used. After depositing the material for the contact pads 162 can the material to the contact pads 162 be shaped, for example by a photolithographic masking and etching process.

Ein Passivierungsfilm 163 wird auf der Rückseite der Umverteilungsstruktur 161 gebildet. Der Passivierungsfilm 163 kann aus einem oder mehreren dielektrischen Materialien, wie beispielsweise Siliziumoxid, Siliziumnitrid und dergleichen oder Kombinationen davon, durch einen Abscheidungsprozess wie chemische Gasphasenabscheidung, physikalische Gasphasenabscheidung, Atomlagenabscheidung, Kombinationen hiervon oder dergleichen gebildet werden. In einer bestimmten Ausführungsform kann der Passivierungsfilm 163 ein Dreischichtfilm sein, der zwei Schichten aus dielektrischen Materialien aufweist, welche sandwichartig an jeweiligen Seiten einer Schicht aus einem leitfähigen Material, beispielsweise einem Metall wie Aluminium, anliegen. Freilich kann jedes geeignete Material und jeder geeignete Herstellungsprozess verwendet werden.A passivation film 163 will be on the back of the redistribution structure 161 educated. The passivation film 163 may be formed from one or more dielectric materials such as silicon oxide, silicon nitride, and the like, or combinations thereof, by a deposition process such as chemical vapor deposition, physical vapor deposition, atomic layer deposition, combinations thereof, or the like. In a particular embodiment, the passivation film 163 be a three-layer film comprising two layers of dielectric materials sandwiched to respective ones Sides of a layer of a conductive material, for example a metal such as aluminum, abut. Of course, any suitable material and any suitable manufacturing process can be used.

Nach der Ausbildung der Passivierungsfilm 163 kann eine Schutzschicht 165 über dem Passivierungsfilm 163 gebildet werden. In einer Ausführungsform kann die Schutzschicht 165 ein dielektrisches Material auf Polymerbasis wie beispielsweise Polyimid sein, obwohl jedes geeignete Material wie Polybenzoxazol (PBO) oder ein Polyimidderivat verwendet werden kann. Die Schutzschicht 165 kann beispielsweise durch einen Aufschleuderbeschichtungsprozess angeordnet werden. Freilich kann jedes geeignete Material und jeder geeignete Prozess zur Abscheidung verwendet werden.After training the passivation film 163 can be a protective layer 165 over the passivation film 163 are formed. In one embodiment, the protective layer 165 a polymer based dielectric material such as polyimide, although any suitable material such as polybenzoxazole (PBO) or a polyimide derivative can be used. The protective layer 165 can be arranged, for example, by a spin-on coating process. Of course, any suitable material and any suitable process can be used for the deposition.

Nach der Ausbildung der Schutzschicht 165 können externe Kontakte 164 gebildet werden (siehe 1A), um eine externe Verbindung zu der ersten photonischen integrierten Schaltung 105 herzustellen. In einer Ausführungsform können die externen Kontakte 164 leitende Säulen sein, wie beispielsweise Kupfersäulen, und können gebildet werden, indem zunächst die Schutzschicht 165 und der Passivierungsfilms 163 strukturiert werden, um Abschnitte der darunterliegenden Kontaktpads 162 freizulegen, unter Verwendung beispielsweise eines photolithographischen Maskierungsprozesses mit einem Photoresist und eines Ätzprozesses. Nach dem Belichten der Kontaktpads 162 kann leitfähiges Material durch das Photoresist und die Schutzschicht 165 in die Öffnungen plattiert werden und das Photoresist kann entfernt werden. Die externen Kontakte 164 können mit einer Beabstandung (pitch) von mehr als etwa 25 µm gebildet werden. Freilich kann jedes geeignete Material, jedes geeignete Verfahren und jede geeignete Beabstandung (pitch) verwendet werden.After the protective layer has been formed 165 can external contacts 164 be formed (see 1A) to make an external connection to the first photonic integrated circuit 105 to manufacture. In one embodiment, the external contacts 164 Conductive pillars, such as copper pillars, and can be formed by first applying the protective layer 165 and the passivation film 163 be structured around sections of the underlying contact pads 162 using, for example, a photolithographic masking process with a photoresist and an etching process. After exposing the contact pads 162 can conductive material through the photoresist and the protective layer 165 plated in the openings and the photoresist removed. The external contacts 164 can be formed with a pitch greater than about 25 µm. Of course, any suitable material, any suitable method, and any suitable pitch can be used.

Nach der Ausbildung der externen Kontakte 164 werden die Schutzschicht 165, der Passivierungsfilm 163, die Umverteilungsstruktur 161 und ein Abschnitt der dielektrischen Schicht 159 strukturiert, um eine Öffnung 171 zu bilden, um die Übertragung von Licht durch diese Schichten und an den Gitterkoppler 157 zu ermöglichen. In einer Ausführungsform kann die Öffnung 171 durch einen photolithographischen Maskierungs- und Ätzprozess gebildet werden, wobei ein Photoresist über der Schutzschicht 165 angeordnet, belichtet und entwickelt wird, um den zu entfernenden Abschnitt der Schutzschicht 165 zu belichten. Dann werden ein oder mehrere anisotrope Ätzprozesse verwendet, um nacheinander durch die verbleibenden Schichten zu ätzen.After training the external contacts 164 become the protective layer 165 , the passivation film 163 , the redistribution structure 161 and a portion of the dielectric layer 159 structured around an opening 171 to form the transmission of light through these layers and to the grating coupler 157 to enable. In one embodiment, the opening 171 may be formed by a photolithographic masking and etching process with a photoresist over the protective layer 165 arranged, exposed and developed around the portion of the protective layer to be removed 165 to expose. One or more anisotropic etch processes are then used to sequentially etch through the remaining layers.

In einer Ausführungsform ist die Öffnung 171 so dimensioniert, um das Passieren von optischen Signalen an die und von der optischen Faser 901 zu ermöglichen. In einer Ausführungsform kann die Öffnung 171 an der Oberseite der Öffnung 171 eine erste Breite Wi zwischen etwa 30 µm und etwa 40 µm aufweisen und an der Unterseite der Öffnung 171 eine zweite Breite W2 zwischen etwa 20 µm und etwa 30 µm aufweisen. Zusätzlich kann die Öffnung 171 eine erste Höhe H1 von nicht mehr als etwa 13,5 µm aufweisen und sich um eine erste Tiefe Di von weniger als etwa 310 nm in die erste dielektrische Schicht 159 erstrecken. Freilich kann jede geeignete Abmessung verwendet werden.In one embodiment the opening is 171 sized to permit the passage of optical signals to and from the optical fiber 901 to enable. In one embodiment, the opening 171 at the top of the opening 171 have a first width Wi between about 30 µm and about 40 µm and at the bottom of the opening 171 a second width W2 between about 20 µm and about 30 µm. In addition, the opening 171 a first height H1 of no more than about 13.5 µm and extending into the first dielectric layer by a first depth Di of less than about 310 nm 159 extend. Of course, any suitable dimension can be used.

Nach der Ausbildung der Öffnung 171 kann die Öffnung 171 mit einem Füllmaterial 173 gefüllt werden. In einer Ausführungsform kann das Füllmaterial 173 ein Material sein, das durchlässig für das Passieren von Licht ist, aber dennoch die darunter liegenden Strukturen wie den Gitterkoppler 157 und die Wellenleiter 154 schützt. In bestimmten Ausführungsformen kann das Füllmaterial 173 ein Material wie Polyimid, Epoxid, Siloxan, Kombinationen hiervon oder dergleichen sein. Das Füllmaterial 173 kann durch einen Abscheidungsprozess wie Aufschleudern, chemische Gasphasenabscheidung, physikalische Gasphasenabscheidung, Atomlagenabscheidung oder dergleichen angeordnet oder abgeschieden werden. Das Füllmaterial 173 kann angeordnet oder abgeschieden werden, um die Öffnung 171 zu füllen und zu überfüllen, und kann bis zu einer zweiten Tiefe über der Schutzschicht 165 von etwa 13 µm bis etwa 31 µm abgeschieden werden. Freilich können jedes geeignete Material, jedes geeignete Herstellungsverfahren und jede geeignete Dicken verwendet werden.After the opening is formed 171 can the opening 171 with a filler material 173 be filled. In one embodiment, the filler material 173 be a material that is permeable to the passage of light, but still the underlying structures such as the grating coupler 157 and the waveguide 154 protects. In certain embodiments, the filler material 173 a material such as polyimide, epoxy, siloxane, combinations thereof, or the like. The filler material 173 can be arranged or deposited by a deposition process such as spin coating, chemical vapor deposition, physical vapor deposition, atomic layer deposition or the like. The filler material 173 can be placed or deposited around the opening 171 to fill and overfill, and can be up to a second depth above the protective layer 165 from about 13 µm to about 31 µm can be deposited. Of course, any suitable material, any suitable manufacturing process, and any suitable thickness can be used.

Nach der Herstellung der ersten photonischen integrierten Schaltung 105 kann die erste photonische integrierte Schaltung 105 zur Vorbereitung der Anordnung vereinzelt werden. In einer Ausführungsform kann die Vereinzelung unter Verwendung eines Sägeblattes (nicht gesondert abgebildet) durchgeführt werden, um den Isolator SOI-Substrat 151 und darüberliegende Strukturen zu durchtrennen. Wie der Fachmann jedoch erkennen wird, ist die Verwendung eines Sägeblattes für die Vereinzelung nur eine veranschaulichende Ausführungsform und soll nicht einschränkend sein. Jedes Verfahren zur Durchführung der Vereinzelung kann verwendet werden, wie beispielsweise die Verwendung einer oder mehrerer Ätzungen. Diese Verfahren und alle anderen geeigneten Verfahren können zur Vereinzelung der Struktur verwendet werden.After making the first photonic integrated circuit 105 can be the first photonic integrated circuit 105 be singled out in preparation for the arrangement. In one embodiment, the singulation can be carried out using a saw blade (not separately shown), around the isolator SOI substrate 151 and to cut through overlying structures. However, as those skilled in the art will recognize, the use of a saw blade for singulation is only an illustrative embodiment and is not intended to be limiting. Any method for performing the singulation can be used, such as the use of one or more etchings. These methods and any other suitable method can be used to singulate the structure.

Nach der Vereinzelung wird die erste photonische integrierte Schaltung 105 auf dem ersten Trägersubstrat 101 angeordnet. In einer Ausführungsform kann die erste photonische integrierte Schaltung 105 beispielsweise durch einen Pick-and-Place-Prozess auf der ersten Klebeschicht 103 angeordnet werden. Freilich kann jedes geeignete Verfahren für das Anordnen der ersten photonischen integrierten Schaltung 105 verwendet werden.After the isolation, the first photonic integrated circuit is created 105 on the first carrier substrate 101 arranged. In one embodiment, the first photonic integrated circuit 105 for example through a pick-and-place process on the first adhesive layer 103 to be ordered. Of course, any suitable method can be used for arranging the first photonic integrated circuit 105 be used.

Mit Bezug zurück auf 1A zeigt 1A ferner das Verkapseln der TIVs 107 und der ersten photonischen integrierten Schaltung 105. Das Verkapseln kann in einer Formvorrichtung (in 1A nicht einzeln dargestellt) durchgeführt werden, die einen oberen Formabschnitt und einen unteren Formabschnitt aufweisen kann, der von dem oberen Formabschnitt trennbar ist. Wenn der obere Formabschnitt abgesenkt wird, um an den unteren Formabschnitt anzuliegen, kann ein Formhohlraum für das erste Trägersubstrat 101, die TIVs 107 und die erste photonische integrierte Schaltung 105 gebildet werden.With reference back to 1A shows 1A furthermore the encapsulation of the TIVs 107 and the first photonic integrated circuit 105 . Encapsulation can be done in a molding device (in 1A not shown individually), which may have an upper mold section and a lower mold section which is separable from the upper mold section. When the upper mold section is lowered to abut the lower mold section, a mold cavity for the first carrier substrate 101 who have favourited TIVs 107 and the first photonic integrated circuit 105 are formed.

Während des Verkapselungsprozesses kann der obere Formabschnitt an den unteren Formabschnitt angrenzend angeordnet werden, wodurch das erste Trägersubstrat 101, die TIVs 107 und die erste photonische integrierte Schaltung 105 innerhalb des Formhohlraums eingeschlossen werden. Nach dem Einschließen können der obere Formabschnitt und der untere Formabschnitt eine luftdichte Abdichtung bilden, um das Einströmen und Ausströmen von Gasen in den und aus dem Formhohlraum zu steuern. Nach dem Abdichten kann ein Verkapselungsmaterial 109 innerhalb des Formhohlraums angeordnet werden. Das Verkapselungsmaterial 109 kann ein Formmassenharz wie Polyimid, PPS, PEEK, PES, ein hitzebeständiges Kristallharz, Kombinationen hiervon oder dergleichen sein. Das Verkapselungsmaterial 109 kann vor der Ausrichtung des oberen Formabschnitts und des unteren Formabschnitts in dem Formhohlraum angeordnet werden oder durch eine Einspritzöffnung in den Formhohlraum eingespritzt werden.During the encapsulation process, the upper mold section can be arranged adjacent to the lower mold section, thereby creating the first carrier substrate 101 who have favourited TIVs 107 and the first photonic integrated circuit 105 are included within the mold cavity. Once enclosed, the upper mold section and the lower mold section can form an airtight seal to control the inflow and outflow of gases into and out of the mold cavity. After sealing, an encapsulation material can be used 109 be placed within the mold cavity. The encapsulation material 109 may be a molding resin such as polyimide, PPS, PEEK, PES, a heat-resistant crystal resin, combinations thereof, or the like. The encapsulation material 109 may be placed in the mold cavity prior to aligning the upper mold section and the lower mold section or injected into the mold cavity through an injection port.

Nach der Einbringung des Verkapselungsmaterials 109 in den Formhohlraum, so dass das Verkapselungsmaterial 109 das erste Trägersubstrat 101, die TIVs 107 und die erste photonische integrierte Schaltung 105 einkapselt, kann das Verkapselungsmaterial 109 ausgehärtet werden, um das Verkapselungsmaterial 109 für einen optimalen Schutz zu härten. Während der genaue Aushärtungsprozess zumindest teilweise von dem Material des Verkapselungsmaterials 109 abhängt, könnte die Aushärtung in einer Ausführungsform, in der Formmasse als das Verkapselungsmaterial 109 gewählt wird, durch einen Prozess wie beispielsweise Erhitzen des Verkapselungsmaterials 109 auf zwischen etwa 100 °C und etwa 130 °C, beispielsweise etwa 125 °C für etwa 60 s bis etwa 3000 s, beispielsweise etwa 600 s, erfolgen. Zusätzlich können Initiatoren und/oder Katalysatoren in dem Verkapselungsmaterial 109 enthalten sein, um den Aushärtungsprozess besser zu steuern.After the encapsulation material has been introduced 109 into the mold cavity so that the encapsulation material 109 the first carrier substrate 101 who have favourited TIVs 107 and the first photonic integrated circuit 105 encapsulated, the encapsulation material 109 cured to the encapsulation material 109 harden for optimal protection. During the exact curing process at least partially from the material of the encapsulation material 109 Depending on, the curing could, in one embodiment, be in the molding compound as the encapsulation material 109 is selected by a process such as heating the encapsulation material 109 to between about 100 ° C and about 130 ° C, for example about 125 ° C for about 60 s to about 3000 s, for example about 600 s. In addition, initiators and / or catalysts can be present in the encapsulation material 109 included to better control the curing process.

Wie der Fachmann jedoch erkennen wird, ist der vorstehend erläuterte Aushärtungsprozess lediglich ein beispielhafter Prozess und beabsichtigt nicht, die vorliegenden Ausführungsformen einzuschränken. Es können auch andere Aushärtungsprozesse wie Bestrahlung oder sogar die Aushärtung der Verkapselungsmaterial 109 bei Umgebungstemperatur verwendet werden. Jeder geeignete Aushärtungsprozess kann verwendet werden und alle solche Verfahren sollen vollumfänglich in den Geltungsbereich der hierin erläuterten Ausführungsformen einbezogen werden.However, as those skilled in the art will recognize, the curing process discussed above is merely an exemplary process and is not intended to limit the present embodiments. Other curing processes such as irradiation or even curing of the encapsulation material can also be used 109 used at ambient temperature. Any suitable curing process can be used and all such methods are intended to be fully included within the scope of the embodiments discussed herein.

2 zeigt das Verdünnen des Verkapselungsmaterials 109, um die TTVs 107 und die externen Kontakte 164 der ersten photonischen integrierten Schaltung 105 für die weitere Verarbeitung freizulegen. Das Verdünnen kann beispielsweise durch einen mechanischen Schleif- oder chemisch-mechanischen Polierprozess (CMP) durchgeführt werden, wobei chemische Ätz- und Schleifmittel verwendet werden, um mit dem Verkapselungsmaterial 109 und dem Füllmaterial 173 zu reagieren und sie wegzuschleifen, bis die TIVs 107 und die externen Kontakte 164 der ersten photonischen integrierten Schaltung 105 freigelegt sind. Somit können die erste photonische integrierte Schaltung 105 und die TIVs 107 eine ebene Oberfläche aufweisen, die ferner koplanar mit dem Verkapselungsmaterial 109 ist. 2 shows the dilution of the encapsulation material 109 to the TTVs 107 and the external contacts 164 the first photonic integrated circuit 105 to expose for further processing. The thinning can be carried out, for example, by a mechanical grinding or chemical mechanical polishing (CMP) process, in which chemical etching and grinding agents are used, in order to with the encapsulation material 109 and the filler material 173 to react and drag them away until the TIVs 107 and the external contacts 164 the first photonic integrated circuit 105 are exposed. Thus, the first photonic integrated circuit 105 and the TIVs 107 have a planar surface that is also coplanar with the encapsulation material 109 is.

Der vorstehend erläuterte CMP-Prozess ist als eine beispielhafte Ausführungsform dargestellt und soll nicht einschränkend sein. Jeder andere geeignete Entfernungsprozess kann verwendet werden, um das Verkapselungsmaterial 109 und das Füllmaterial 173 zu verdünnen und die TIVs 107 freizulegen. Beispielsweise kann eine Reihe von chemischen Ätzungen verwendet werden. Dieser Prozess und jeder andere geeignete Prozess kann zur Verdünnung des Verkapselungsmaterials 109 und des Füllmaterials 173 verwendet werden und alle solche Verfahren sollen vollumfänglich in den Geltungsbereich der Ausführungsformen einbezogen werden.The CMP process discussed above is presented as an exemplary embodiment and is not intended to be limiting. Any other suitable removal process can be used to remove the encapsulation material 109 and the filler material 173 to dilute and the TIVs 107 to expose. For example, a variety of chemical etches can be used. This process and any other suitable process can be used to dilute the encapsulation material 109 and the filler material 173 are used and all such methods are intended to be fully included within the scope of the embodiments.

2 zeigt zusätzlich das Bilden einer ersten Umverteilungsstruktur 201 mit einer ersten Umverteilungsschicht 205, die sich durch eine erste dielektrische Schicht 203 erstreckt. In einer Ausführungsform kann die erste dielektrische Schicht 203 ein dielektrisches Material auf Polymerbasis wie Polybenzoxazol (PBO) sein, wobei jedes geeignete Material, wie Polyimid oder ein Polyimidderivat, verwendet werden kann. Die erste dielektrische Schicht 203 kann beispielsweise durch einen Aufschleuderbeschichtungsprozess bis zu einer Dicke zwischen etwa 5 µm und etwa 25 µm, beispielsweise etwa 7 µm, angeordnet werden, wobei jeder geeignete Prozess und jede geeignete Dicke verwendet werden kann. 2 additionally shows the formation of a first redistribution structure 201 with a first redistribution layer 205 that extends through a first dielectric layer 203 extends. In one embodiment, the first dielectric layer can 203 a polymer based dielectric material such as polybenzoxazole (PBO), any suitable material such as polyimide or a polyimide derivative can be used. The first dielectric layer 203 For example, it can be arranged to a thickness between about 5 µm and about 25 µm, for example about 7 µm, by a spin-coating process, any suitable process and thickness being used.

Nach dem Anordnen der ersten dielektrischen Schicht 203 kann die erste dielektrische Schicht 203 strukturiert werden, um leitende Abschnitte der darunter liegenden Strukturen freizulegen (beispielsweise der TIVs 107 und der externen Kontakte 164). In einer Ausführungsform kann die erste dielektrische Schicht 203 beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess strukturiert werden, wobei ein Photoresist angeordnet, belichtet und entwickelt wird und das Photoresist dann während eines anisotropen Ätzprozesses als Maske verwendet wird. Freilich kann jeder geeignete Prozess zur Strukturierung der ersten dielektrischen Schicht 203 verwendet werden.After arranging the first dielectric layer 203 can be the first dielectric layer 203 structured to be senior Expose sections of the underlying structures (e.g. the TIVs 107 and external contacts 164 ). In one embodiment, the first dielectric layer can 203 be patterned, for example, by a photolithographic masking and etching process, wherein a photoresist is arranged, exposed and developed and the photoresist is then used as a mask during an anisotropic etching process. Of course, any suitable process for structuring the first dielectric layer can be used 203 be used.

Nach der Strukturierung der ersten dielektrischen Schicht 203 kann die erste Umverteilungsschicht 205 gebildet werden, um einen Kontakt mit den darunter liegenden leitenden Bereichen herzustellen. In einer Ausführungsform kann die erste Umverteilungsschicht 205 gebildet werden, indem zunächst eine zweite Keimschicht aus einer Titan-Kupfer-Legierung durch einen geeigneten Herstellungsverfahren wie CVD oder Sputtern gebildet wird. Nach der Abscheidung der zweiten Keimschicht kann ein Photoresist (nicht gesondert abgebildet) auf der zweiten Keimschicht angeordnet werden, um die Bildung der ersten Umverteilungsstruktur 201 vorzubereiten. Nach der Bildung und Strukturierung des Photoresists kann ein leitfähiges Material wie beispielsweise Kupfer durch einen Abscheidungsprozess wie beispielsweise Plattieren auf der zweiten Keimschicht gebildet werden. Das leitende Material kann derart gebildet werden, dass es eine Dicke zwischen etwa 1 µm und etwa 10 µm, beispielsweise etwa 5 µm aufweist. Obwohl die hierin erwähnten Materialien und Prozesse geeignet sind, das leitende Material zu bilden, sind diese Materialien nur beispielhaft. Jedes andere geeignete Material, wie AlCu oder Au, und jeder andere geeignete Herstellungsprozess wie CVD oder PVD kann zur Bildung der ersten Umverteilungsschicht 205 verwendet werden.After structuring the first dielectric layer 203 can be the first redistribution layer 205 be formed to make contact with the underlying conductive areas. In one embodiment, the first redistribution layer 205 be formed by first forming a second seed layer made of a titanium-copper alloy by a suitable manufacturing process such as CVD or sputtering. After the second seed layer has been deposited, a photoresist (not separately shown) can be placed on the second seed layer to form the first redistribution structure 201 prepare. After the photoresist is formed and patterned, a conductive material such as copper can be formed on the second seed layer by a deposition process such as plating. The conductive material can be formed in such a way that it has a thickness between approximately 1 μm and approximately 10 μm, for example approximately 5 μm. Although the materials and processes mentioned herein are suitable for forming the conductive material, these materials are exemplary only. Any other suitable material such as AlCu or Au, and any other suitable manufacturing process such as CVD or PVD can be used to form the first redistribution layer 205 be used.

Nach der Ausbildung des leitenden Materials kann das Photoresist durch einen geeigneten Entfernungsprozess wie Veraschen, Nassätzen oder Plasmaätzen entfernt werden. Zusätzlich können die Abschnitte der zweiten Keimschicht, die zuvor von dem Photoresist bedeckt waren, nach der Entfernung des Photoresists entfernt werden, beispielsweise durch einen geeigneten Ätzprozess, bei dem das leitende Material als Maske verwendet wird.After the conductive material has been formed, the photoresist can be removed by a suitable removal process such as ashing, wet etching, or plasma etching. In addition, the portions of the second seed layer that were previously covered by the photoresist can be removed after the photoresist has been removed, for example by a suitable etching process in which the conductive material is used as a mask.

Nach der Ausbildung der ersten Umverteilungsschicht 205 wird eine zweite dielektrische Schicht 207 über der ersten Umverteilungsschicht 205 gebildet. In einer Ausführungsform kann die zweite dielektrische Schicht 207 der ersten dielektrischen Schicht 203 ähnlich sein, beispielsweise darin, dass sie ein Dielektrikum auf Polymerbasis ist, welches durch Aufschleuderbeschichtung gebildet wird. Freilich kann jedes geeignete Material und jeder geeignete Prozess zur Abscheidung verwendet werden.After the formation of the first redistribution layer 205 becomes a second dielectric layer 207 over the first redistribution layer 205 educated. In one embodiment, the second dielectric layer can 207 the first dielectric layer 203 be similar, for example in that it is a polymer based dielectric formed by spin coating. Of course, any suitable material and any suitable process can be used for the deposition.

Nach dem Anordnen der zweiten dielektrischen Schicht 207 kann die zweite dielektrische Schicht 207 strukturiert werden, um leitende Abschnitte der darunter liegenden Strukturen (beispielsweise der erste Umverteilungsschicht 205) freizulegen. In einer Ausführungsform kann die zweite dielektrische Schicht 207 beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess strukturiert werden, wobei ein Photoresist angeordnet, belichtet und entwickelt wird und das Photoresist dann während eines anisotropen Ätzprozesses als Maske verwendet wird. Freilich kann jeder geeignete Prozess zur Strukturierung der zweiten dielektrischen Schicht 207 verwendet werden.After placing the second dielectric layer 207 can be the second dielectric layer 207 can be structured around conductive sections of the underlying structures (e.g. the first redistribution layer 205 ) to expose. In one embodiment, the second dielectric layer can 207 be patterned, for example, by a photolithographic masking and etching process, wherein a photoresist is arranged, exposed and developed and the photoresist is then used as a mask during an anisotropic etching process. Of course, any suitable process for structuring the second dielectric layer can be used 207 be used.

In einer bestimmten Ausführungsform kann die erste Umverteilungsstruktur 201 so gebildet werden, dass die erste Umverteilungsstruktur 201 einen reduzierten Abstand zwischen leitenden Elementen aufweist. Beispielsweise können die leitenden Elemente so geformt sein, dass sie einen Abstand zwischen etwa 4 µm und etwa 20 µm haben. Es kann jedoch jede geeignete Beabstandung (pitch) verwendet werden.In a particular embodiment, the first redistribution structure 201 be formed so that the first redistribution structure 201 has a reduced spacing between conductive elements. For example, the conductive elements can be shaped so that they are spaced between approximately 4 μm and approximately 20 μm. However, any suitable pitch can be used.

Zusätzlich können nach der Strukturierung der zweiten dielektrischen Schicht 207 Kontaktpads 209 innerhalb der Öffnungen der zweiten dielektrischen Schicht 207 gebildet werden. In einer Ausführungsform können die Kontaktpads 209 Aluminium enthalten, es können aber auch andere Materialien wie beispielsweise Kupfer verwendet werden. Die Kontaktpads 209 können durch einen Abscheideprozess, wie beispielsweise Sputtern, gebildet werden, um eine Materialschicht (nicht abgebildet) zu bilden, die die Öffnungen innerhalb der zweiten dielektrischen Schicht 207 füllt. Nach dem Füllen kann das Material mit der zweiten dielektrischen Schicht 207 planarisiert werden, beispielsweise durch einen chemisch-mechanischen Polierprozess. Freilich kann jeder andere geeignete Prozess verwendet werden, um die Kontaktpads 209 zu bilden.In addition, after the structuring of the second dielectric layer 207 Contact pads 209 within the openings of the second dielectric layer 207 are formed. In one embodiment, the contact pads 209 Contain aluminum, but other materials such as copper can also be used. The contact pads 209 may be formed by a deposition process such as sputtering to form a layer of material (not shown) that defines the openings within the second dielectric layer 207 fills. After filling, the material can be coated with the second dielectric layer 207 be planarized, for example by a chemical-mechanical polishing process. Of course, any other suitable process can be used to create the contact pads 209 to build.

3 zeigt das Übertragen der Struktur auf ein zweites Trägersubstrat 301 und das Entfernen des ersten Trägersubstrats 101. In einer Ausführungsform können die zweite dielektrische Schicht 207 und die Kontaktpads 209 an dem zweiten Trägersubstrat 301 angebracht werden, beispielsweise unter Verwendung einer zweiten Klebeschicht 304. Das zweite Trägersubstrat 301 und die zweite Klebeschicht 304 können dem ersten Trägersubstrat 101 und der ersten Klebeschicht 103 (wie vorstehend mit Bezug auf 1A erläutert) ähnlich sein, obwohl jegliche geeignete Strukturen und jegliche geeignete Klebstoffe verwendet werden können. 3 shows the transfer of the structure to a second carrier substrate 301 and removing the first carrier substrate 101 . In one embodiment, the second dielectric layer 207 and the contact pads 209 on the second carrier substrate 301 can be attached, for example using a second adhesive layer 304 . The second carrier substrate 301 and the second adhesive layer 304 can the first carrier substrate 101 and the first adhesive layer 103 (as above with reference to 1A illustrated), although any suitable structures and adhesives can be used.

Nach der Übertragung der Struktur auf das zweite Trägersubstrat 301 kann das erste Trägersubstrat 101 entfernt werden. In einer Ausführungsform kann das erste Trägersubstrat 101 beispielsweise durch einen thermischen Prozess entbunden werden, um die Hafteigenschaften der ersten Klebeschicht 103 zu verändern. In einer bestimmten Ausführungsform wird eine Energiequelle wie ein Ultraviolettlaser (UV-Laser), ein Kohlendioxidlaser (CO2-Laser) oder ein Infrarotlaser (IR-Laser) verwendet, um die erste Klebeschicht 103 zu bestrahlen und zu erwärmen, bis die erste Klebeschicht 103 ihre Hafteigenschaften zumindest teilweise verliert. Nach der Durchführung können das erste Trägersubstrat 101 und die erste Klebeschicht 103 physisch voneinander getrennt und von der Struktur entfernt werden.After the structure has been transferred to the second carrier substrate 301 can be the first carrier substrate 101 removed. In a Embodiment can be the first carrier substrate 101 for example by means of a thermal process, in order to reduce the adhesive properties of the first adhesive layer 103 to change. In a particular embodiment, an energy source such as an ultraviolet laser (UV laser), a carbon dioxide laser (CO2 laser), or an infrared laser (IR laser) is used to create the first adhesive layer 103 to irradiate and heat until the first layer of adhesive 103 at least partially loses their adhesive properties. After the implementation, the first carrier substrate 101 and the first adhesive layer 103 physically separated from each other and removed from the structure.

Nach der Anbringung an dem zweiten Trägersubstrat 301 können die erste photonische integrierte Schaltung 105, das Verkapselungsmaterial 109 und die TIVs 107 verdünnt werden, um die Gesamthöhe der Struktur zu verringern. In einer Ausführungsform kann die Verdünnung beispielsweise durch einen chemisch-mechanischen Polierprozess durchgeführt werden. Freilich kann jeder geeignete Verdünnungsprozess verwendet werden, wie beispielsweise mechanisches Schleifen oder Ätzprozess.After attachment to the second carrier substrate 301 can the first photonic integrated circuit 105 , the encapsulation material 109 and the TIVs 107 be thinned to decrease the overall height of the structure. In one embodiment, the dilution can be carried out, for example, by a chemical-mechanical polishing process. Of course, any suitable thinning process can be used, such as, for example, mechanical grinding or etching process.

4 zeigt, dass, nach der Verdünnung der Struktur, eine zweite Umverteilungsstruktur 401 auf einer der ersten Umverteilungsstruktur 201 entgegengesetzten Seite der ersten photonischen integrierten Schaltung 105 gebildet werden kann und in elektrischer Verbindung mit den TIVs 107 gebildet werden kann. In einer Ausführungsform kann die zweite Umverteilungsstruktur 401 unter Verwendung einer Reihe abwechselnder Umverteilungsschichten und dielektrischer Schichten gebildet werden. Jede der dielektrischen Schichten kann ein ähnliches Material sein, das durch ähnliche Prozesse wie die erste dielektrische Schicht 203 (vorstehend mit Bezug auf 2 erläutert) gebildet wird, während jede der Umverteilungsschichten ein ähnliches Material sein kann, das durch ähnliche Prozesse wie die erste Umverteilungsschicht 205 (ebenfalls vorstehend mit Bezug auf 2 erläutert) gebildet wird. Freilich können jedes geeignete Material und jeder geeignete Herstellungsprozess verwendet werden. 4th shows that, after thinning the structure, a second redistribution structure 401 on one of the first redistribution structure 201 opposite side of the first photonic integrated circuit 105 can be formed and in electrical communication with the TIVs 107 can be formed. In one embodiment, the second redistribution structure 401 using a series of alternating redistribution layers and dielectric layers. Each of the dielectric layers can be a similar material obtained through similar processes as the first dielectric layer 203 (above with reference to 2 while each of the redistribution layers may be a similar material obtained through similar processes as the first redistribution layer 205 (also above with reference to 2 explained) is formed. Of course, any suitable material and any suitable manufacturing process can be used.

In einer Ausführungsform kann die zweite Umverteilungsstruktur 401 drei Umverteilungsschichten und vier dielektrische Schichten aufweisen. Diese Anzahl dient jedoch nur der Veranschaulichung und ist nicht einschränkend. Vielmehr kann jede geeignete Anzahl von Umverteilungsschichten und jede geeignete Anzahl von dielektrischen Schichten verwendet werden und alle derartigen Schichten sollen vollumfänglich in den Geltungsbereich der Ausführungsformen einbezogen sein.In one embodiment, the second redistribution structure 401 have three redistribution layers and four dielectric layers. However, this number is for illustrative purposes only and is not limiting. Rather, any suitable number of redistribution layers and any suitable number of dielectric layers can be used and all such layers are intended to be fully included within the scope of the embodiments.

Zusätzlich kann in einer bestimmten Ausführungsform die zweite Umverteilungsstruktur 401 so gebildet werden, dass die zweite Umverteilungsstruktur 401 eine reduzierte Beabstandung zwischen leitenden Elementen aufweist. Beispielsweise können die leitenden Elemente so gebildet sein, dass sie eine Beabstandung von etwa 4 µm bis etwa 20 µm aufweisen. Freilich kann jede geeignete Beabstandung (pitch) verwendet werden.In addition, in a specific embodiment, the second redistribution structure 401 be formed so that the second redistribution structure 401 has reduced spacing between conductive elements. For example, the conductive elements can be formed so that they have a spacing of about 4 μm to about 20 μm. Of course, any suitable pitch can be used.

5 zeigt das Bilden von Underbump-Metallisierungen (UBM) 501 in Zusammenhang mit der zweiten Umverteilungsstruktur 401. In einer Ausführungsform kann das Bilden der UBMs 501 dadurch eingeleitet werden, dass zunächst eine der Umverteilungsschichten in der zweiten Umverteilungsstruktur 401 belichtet wird, beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess. Freilich kann jeder geeignete Strukturierungsprozess verwendet werden. 5 shows the formation of underbump metallizations (UBM) 501 in connection with the second redistribution structure 401 . In one embodiment, the formation of the UBMs 501 be initiated by first one of the redistribution layers in the second redistribution structure 401 is exposed, for example by a photolithographic masking and etching process. Of course, any suitable structuring process can be used.

Nach der Belichtung können die UBMs 501 gebildet werden. In einer Ausführungsform können die UBMs 501 drei Schichten leitfähiger Materialien aufweisen, beispielsweise eine Titanschicht, eine Kupferschicht und eine Nickelschicht. Der Fachmann wird jedoch erkennen, dass es viele geeignete Anordnungen von Materialien und Schichten gibt, die für die Bildung der UBMs 501 geeignet sind, wie beispielsweise eine Anordnung von Chrom / Chrom-Kupferlegierung / Kupfer / Gold, eine Anordnung von Titan / Titan / Wolfram / Kupfer oder eine Anordnung von Kupfer / Nickel / Gold. Alle geeigneten Materialien oder Materialschichten, die für die UBMs 501 verwendet werden können, sollen vollumfänglich in den Geltungsbereich der Ausführungsformen einbezogen werden.After exposure, the UBMs 501 are formed. In one embodiment, the UBMs 501 have three layers of conductive materials, for example a titanium layer, a copper layer and a nickel layer. However, those skilled in the art will recognize that there are many suitable arrangements of materials and layers that can be used to form the UBMs 501 are suitable, such as an arrangement of chromium / chromium-copper alloy / copper / gold, an arrangement of titanium / titanium / tungsten / copper or an arrangement of copper / nickel / gold. Any suitable materials or layers of material used in the UBMs 501 can be used should be fully included in the scope of the embodiments.

In einer Ausführungsform werden die UBMs 501 erzeugt, indem jede Schicht über der zweiten Umverteilungsstruktur 401 gebildet wird. Die Bildung jeder Schicht kann durch einen Plattierungsprozess wie elektrochemisches Plattieren erfolgen, obwohl je nach gewünschten Materialien auch andere Herstellungsprozesse wie Sputtern, Verdampfen oder PECVD verwendet werden können. Die UBMs 501 können so gebildet werden, dass sie eine Dicke zwischen etwa 0,7 µm und etwa 10 µm, wie beispielsweise etwa 5 µm aufweisen.In one embodiment, the UBMs 501 generated by placing each layer over the second redistribution structure 401 is formed. Each layer can be formed by a plating process such as electrochemical plating, although other manufacturing processes such as sputtering, evaporation, or PECVD can be used depending on the materials desired. The UBMs 501 can be formed to have a thickness between about 0.7 µm and about 10 µm, such as about 5 µm.

5 zeigt zusätzlich die Bildung von ersten externen Kontakten 503 auf den UBMs 501. In einer Ausführungsform können die ersten externen Kontakte 503 beispielsweise Kontaktbumps als Teil eines Ball-Grid-Arrays (BGA) sein, wobei jede geeignete Verbindung verwendet werden kann. In einer Ausführungsform, in der die ersten externen Kontakte 503 Kontaktbumps sind, können die ersten externen Kontakte 503 ein Material wie Zinn oder andere geeignete Materialien wie Silber, bleifreies Zinn oder Kupfer enthalten. In einer Ausführungsform, in der die ersten externen Kontakte 503 Zinn-Lötmittelbumps sind, können die ersten externen Kontakte 503 gebildet werden, indem zunächst eine Zinnschicht durch Verfahren wie Verdampfen, Galvanisieren, Bedrucken, Lötmitteltransfer, Kugelplatzierung usw. bis zu einer Dicke von beispielsweise etwa 250 µm gebildet wird. Nach der Ausbildung einer Zinnschicht auf der Struktur kann ein Wiederaufschmelzvorgang durchgeführt werden, um das Material in die gewünschte Bump-Form zu bringen. 5 additionally shows the formation of the first external contacts 503 on the UBMs 501 . In one embodiment, the first external contacts 503 for example, contact bumps as part of a ball grid array (BGA), any suitable connection can be used. In one embodiment in which the first external contacts 503 Contact bumps are the first external contacts 503 contain a material such as tin or other suitable materials such as silver, lead-free tin, or copper. In one embodiment in which the first external contacts 503 Tin solder bumps are the first external contacts 503 educated by first forming a tin layer by methods such as evaporation, electroplating, printing, solder transfer, ball placement, etc. to a thickness of, for example, about 250 µm. After a layer of tin has been formed on the structure, a remelting process can be performed to bump the material into the desired shape.

6 zeigt, dass, nach der Ausbildung der ersten externen Kontakte 503, die ersten externen Kontakte 503 an einer Ringstruktur 601 angebracht werden können. Die Ringstruktur 601 kann ein Metallring sein, der Halt und Stabilität für die Struktur während und nach einem Entbindungsprozess bieten soll. In einer Ausführungsform werden die ersten externen Kontakte 503 an der Ringstruktur angebracht, beispielsweise durch einen ultravioletten Klebebandes 603, wobei alternativ jeder andere geeignete Klebstoff oder jede andere geeignete Befestigung verwendet werden kann. 6th shows that, after training the first external contacts 503 , the first external contacts 503 on a ring structure 601 can be attached. The ring structure 601 can be a metal ring that is supposed to provide support and stability for the structure during and after a delivery process. In one embodiment, the first external contacts 503 attached to the ring structure, for example by means of ultraviolet adhesive tape 603 alternatively, any other suitable adhesive or attachment may be used.

Nach der Anbringung der ersten externen Kontakte 503 an der Ringstruktur 601 kann das zweite Trägersubstrat 301 von der Struktur entbunden werden, beispielsweise durch einen thermischen Prozess, um die Hafteigenschaften der zweiten Klebeschicht 303 zu verändern. In einer bestimmten Ausführungsform wird eine Energiequelle wie ein Ultraviolettlaser (UV-Laser), ein Kohlendioxidlaser (CO2-Laser) oder ein Infrarotlaser (IR-Laser) verwendet, um die zweite Klebeschicht 303 zu bestrahlen und zu erwärmen, bis die zweite Klebeschicht 303 ihre Hafteigenschaften zumindest teilweise verliert. Nach der Durchführung können das zweite Trägersubstrat 301 und die zweite Klebeschicht 303 physisch voneinander getrennt und entfernt werden.After the first external contacts have been made 503 on the ring structure 601 can the second carrier substrate 301 are released from the structure, for example by a thermal process, in order to reduce the adhesive properties of the second adhesive layer 303 to change. In a particular embodiment, an energy source such as an ultraviolet laser (UV laser), a carbon dioxide laser (CO2 laser), or an infrared laser (IR laser) is used to create the second adhesive layer 303 to irradiate and heat until the second adhesive layer 303 at least partially loses their adhesive properties. After the implementation, the second carrier substrate 301 and the second adhesive layer 303 physically separated and removed from each other.

6 veranschaulicht zusätzlich das Anordnen von zweiten externen Anschlüssen 607 in Verbindung mit der ersten Umverteilungsstruktur 201. In einer Ausführungsform können die zweiten externen Anschlüsse 607 Kontaktbumps wie Mikrobumps oder C4-Bumps (controlled collapse chip connection bumps) sein und können ein Material wie Zinn oder andere geeignete Materialien wie Lötmittel, Silber oder Kupfer enthalten. In einer Ausführungsform, in der die zweiten externen Anschlüsse 607 Lötmittelbumps aus Zinn sind, können die zweiten externen Anschlüsse 607 gebildet werden, indem zunächst eine Zinnschicht durch jedes geeignete Verfahren wie Verdampfen, Galvanisieren, Bedrucken, Lötmittelübertragung, Kugelplatzierung usw. bis zu einer Dicke von beispielsweise etwa 100 µm gebildet wird. Nach der Ausbildung einer Zinnschicht auf der Struktur wird ein Wiederaufschmelzvorgang durchgeführt, um das Material in die gewünschte Bump-Form zu bringen. 6th additionally illustrates the arrangement of second external connections 607 in connection with the first redistribution structure 201 . In one embodiment, the second external connections 607 Contact bumps such as microbumps or C4 bumps (controlled collapse chip connection bumps) and can contain a material such as tin or other suitable materials such as solder, silver or copper. In one embodiment, in which the second external connections 607 If solder bumps are made of tin, the second external connections can 607 may be formed by first forming a tin layer by any suitable method such as evaporation, electroplating, printing, solder transfer, ball placement, etc. to a thickness of, for example, about 100 µm. After the formation of a tin layer on the structure, a remelting process is carried out to bring the material into the desired bump shape.

Nach der Ausbildung der zweiten externen Anschlüsse 607 kann eine erste elektronische integrierte Schaltung (EIC, electronic integrated circuit) 605 in Kontakt mit den zweiten externen Anschlüssen 607 und in elektrischen Kontakt mit der ersten photonischen integrierten Schaltung 105 gebracht werden. In einer Ausführungsform kann die erste elektronische integrierte Schaltung 605 Vorrichtungen aufweisen, die auf einer Oberfläche eines EIC-Substrats 609 (beispielsweise einem Siliziumsubstrat) ausgebildet sind und dazu verwendet werden, die erste photonische integrierte Schaltung 105 mit anderen Vorrichtungen zu verbinden. Beispielsweise kann die erste elektronische integrierte Schaltung 605 Steuereinheit, CMOS-Treiber, Transimpedanz-Verstärker und dergleichen aufweisen, um Funktionen wie die Steuerung der Hochfrequenz-Signalübertragung der ersten photonischen integrierten Schaltung 105 gemäß elektrischen Signalen (digital oder analog) auszuführen, die beispielsweise von separaten Logik-Dies empfangen werden.After the formation of the second external connections 607 may have a first electronic integrated circuit (EIC) 605 in contact with the second external terminals 607 and in electrical contact with the first photonic integrated circuit 105 to be brought. In one embodiment, the first electronic integrated circuit can 605 Have devices on a surface of an EIC substrate 609 (For example, a silicon substrate) are formed and used for the first photonic integrated circuit 105 to connect with other devices. For example, the first electronic integrated circuit 605 Control unit, CMOS driver, transimpedance amplifier and the like have to functions such as the control of the high-frequency signal transmission of the first photonic integrated circuit 105 according to electrical signals (digital or analog) received, for example, from separate logic dies.

In einer Ausführungsform kann die erste elektronische integrierte Schaltung 605 ferner EIC-Kontaktpads 611, eine EIC-Passivierungsschicht 613, eine EIC-Schutzschicht 615 und einen externen EIC-Kontakt 617 aufweisen. In einer Ausführungsform können die EIC-Kontaktpads 611, die EIC-Passivierungsschicht 613, die EIC-Schutzschicht 615 und der externe EIC-Kontakt 617 den Kontaktpads 162, dem Passivierungsfilm 163, der Schutzschicht 165 und den externen Kontakten 164 ähnlich sein, die vorstehend mit Bezug auf 1A erläutert sind. Freilich kann jede geeignete Struktur verwendet werden.In one embodiment, the first electronic integrated circuit can 605 also EIC contact pads 611 , an EIC passivation layer 613 , an EIC protective layer 615 and an external EIC contact 617 exhibit. In one embodiment, the EIC contact pads 611 , the EIC passivation layer 613 who have favourited EIC protective layer 615 and the external EIC contact 617 the contact pads 162 , the passivation film 163 , the protective layer 165 and the external contacts 164 be similar to those above with reference to FIG 1A are explained. Of course, any suitable structure can be used.

Die erste elektronische integrierte Schaltung 605 kann beispielsweise durch einen Pick-and-Place-Prozess auf dem zweiten externen Anschluss 607 angeordnet werden. Freilich kann jedes geeignete Verfahren für das Anordnen der ersten elektronischen integrierten Schaltung 605 verwendet werden. Nach der Herstellung des physischen Kontakts kann ein Bondungsprozess durchgeführt werden, um die erste elektronische integrierte Schaltung 605 mit den zweiten externen Anschlüssen 607 zu verbinden. Beispielsweise kann in einer Ausführungsform, in der die zweiten externen Anschlüsse 607 Lötmittelbumps sind, der Bondungsprozess einen Wiederaufschmelzvorgang umfassen, bei dem die Temperatur der zweiten externen Anschlüsse 607 auf einen Punkt erhöht wird, an welchem sich die zweiten externen Anschlüsse 607 verflüssigen und fließen, wodurch die erste elektronische integrierte Schaltung 605 mit den zweiten externen Anschlüssen 607 verbunden wird, wenn die zweiten externen Anschlüsse 607 wieder erstarren.The first electronic integrated circuit 605 can for example by a pick-and-place process on the second external connection 607 to be ordered. Of course, any suitable method for arranging the first electronic integrated circuit can be used 605 be used. After physical contact is made, a bonding process can be performed to the first electronic integrated circuit 605 with the second external connections 607 connect to. For example, in one embodiment, the second external connections 607 Solder bumps are the bonding process that involves a reflow process in which the temperature of the second external terminals 607 is increased to a point where the second external connections 607 liquefy and flow, creating the first electronic integrated circuit 605 with the second external connections 607 is connected when the second external connections 607 freeze again.

7 zeigt das Anordnen einer ersten Unterfüllung 701 zwischen der ersten elektronischen integrierten Schaltung 605 und der ersten Umverteilungsstruktur 201. In einer Ausführungsform ist die erste Unterfüllung 701 ein Schutzmaterial, das verwendet wird, um die erste elektronische integrierte Schaltung 605 und die erste Umverteilungsstruktur 201 vor Betriebs- und Umweltbeeinträchtigungen, wie beispielsweise Belastungen durch die Erzeugung von Wärme während des Betriebs, abzufedern und zu unterstützen. Die erste Unterfüllung 701 kann in den Raum zwischen der ersten elektronischen integrierten Schaltung 605 und der ersten Umverteilungsstruktur 201 eingespritzt oder auf andere Weise gebildet werden und kann beispielsweise ein flüssiges Epoxid enthalten, das zwischen der ersten elektronischen integrierten Schaltung 605 und der ersten Umverteilungsstruktur 201 abgeschieden und dann zur Verfestigung ausgehärtet wird. 7th shows the placement of a first underfill 701 between the first electronic integrated circuit 605 and the first Redistribution structure 201 . In one embodiment, the first is underfill 701 a protective material that is used to make the first electronic integrated circuit 605 and the first redistribution structure 201 to cushion and support against operational and environmental impairments, such as loads caused by the generation of heat during operation. The first underfill 701 can be in the space between the first electronic integrated circuit 605 and the first redistribution structure 201 injected or otherwise formed and may, for example, contain a liquid epoxy that is between the first electronic integrated circuit 605 and the first redistribution structure 201 deposited and then cured to solidify.

8 zeigt einen Vereinzelungsprozess, der zur Vereinzelung der Struktur verwendet wird. In einer Ausführungsform kann die Vereinzelung unter Verwendung eines Sägeblattes (in 8 durch die gestrichelten Kästen 801 dargestellt) durchgeführt werden, um die erste Unterfüllung 701 und das Verkapselungsmaterial 109 zu durchtrennen. Wie der Fachmann jedoch erkennen wird, ist die Verwendung eines Sägeblatts lediglich eine beispielhafte Ausführungsform für die Vereinzelung und soll nicht einschränkend sein. Jedes Verfahren zur Durchführung der für die Vereinzelung kann verwendet werden, wie beispielsweise die Verwendung einer oder mehrerer Ätzungen. Diese Verfahren und alle anderen geeigneten Verfahren können zur Vereinzelung der Struktur verwendet werden. 8th shows a singulation process that is used to singulate the structure. In one embodiment, the separation can be carried out using a saw blade (in 8th through the dashed boxes 801 shown) to be performed to the first underfill 701 and the encapsulation material 109 to cut through. As the person skilled in the art will recognize, however, the use of a saw blade is only an exemplary embodiment for the separation and is not intended to be limiting. Any method for performing the isolation can be used, such as the use of one or more etchings. These methods and any other suitable method can be used to singulate the structure.

9 zeigt das Anbringen einer optischen Faser 901, die als optischer Input/Output-Port für die erste photonische integrierte Schaltung 105 verwendet wird. In einer Ausführungsform ist die optische Faser 901 so angeordnet, dass die die optische Faser 901 und den Gitterkoppler 157 optisch koppelt, beispielsweise indem die optische Faser 901 so positioniert wird, dass optische Signale, die die optische Faser 901 verlassen, durch das Füllmaterial 173 geleitet werden und auf den Gitterkoppler 157 treffen. In ähnlicher Weise wird die optische Faser 901 so positioniert, dass optische Signale, die den Gitterkoppler 157 verlassen, zur Übertragung in die optische Faser 901 geleitet werden. In einer bestimmten Ausführungsform ist die optische Faser 901 so positioniert, dass die optische Faser auf einer dem Gitterkoppler 157 entgegengesetzten Seite des Füllmaterials 173 und der ersten Umverteilungsstruktur 201 liegt. Freilich kann jede geeignete Position verwendet werden. 9 shows the attachment of an optical fiber 901 that acted as an optical input / output port for the first photonic integrated circuit 105 is used. In one embodiment the optical fiber is 901 arranged so that the the optical fiber 901 and the grating coupler 157 optically couples, for example by placing the optical fiber 901 is positioned so that optical signals passing through the optical fiber 901 leave, through the filler material 173 and onto the grating coupler 157 meet. Similarly, the optical fiber 901 positioned so that optical signals passing the grating coupler 157 leave for transmission into the optical fiber 901 be directed. In a particular embodiment, the optical fiber is 901 positioned so that the optical fiber is on one of the grating couplers 157 opposite side of the filler material 173 and the first redistribution structure 201 lies. Of course, any suitable position can be used.

Falls erwünscht, kann ein Graben in der ersten Umverteilungsstruktur 201 gebildet werden, um das Anordnen der optischen Faser 901 zu erleichtern. In einer Ausführungsform kann der Graben innerhalb der zweiten Umverteilungsstruktur an jedem beliebigen Punkt während des Herstellungsprozesses gebildet werden, beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess. Freilich kann jedes geeignete Verfahren oder Kombination von Verfahren verwendet werden.If desired, a trench can be made in the first redistribution structure 201 be formed to arrange the optical fiber 901 to facilitate. In one embodiment, the trench can be formed within the second redistribution structure at any point during the manufacturing process, for example by a photolithographic masking and etching process. Of course, any suitable method or combination of methods can be used.

9 zeigt ferner ein optionales Bonden der ersten externen Kontakte 503 an ein weiteres Substrat 903. In einer Ausführungsform kann das Substrat 903 eine Leiterplatte (PCB) wie beispielsweise ein Laminatsubstrat sein, das als Stapel mehrerer dünner Schichten (oder Laminate) aus einem Polymermaterial wie Bismaleimidtriazin (BT), FR-4, ABF oder dergleichen gebildet wird. Freilich kann jedes geeignete Substrat, wie beispielsweise ein Silizium-Interposer, ein Siliziumsubstrat, ein organisches Substrat, ein Keramiksubstrat oder dergleichen verwendet werden und alle solchen Umverteilungssubstrate, die Stütze und Konnektivität für die ersten externen Kontakte 503 bieten, sollen vollumfänglich in den Geltungsbereich der Ausführungsformen einbezogen werden. 9 also shows an optional bonding of the first external contacts 503 to another substrate 903 . In one embodiment, the substrate 903 a printed circuit board (PCB) such as a laminate substrate formed as a stack of several thin layers (or laminates) from a polymer material such as bismaleimide triazine (BT), FR-4, ABF, or the like. Of course, any suitable substrate such as a silicon interposer, a silicon substrate, an organic substrate, a ceramic substrate or the like can be used and any such redistribution substrates, the support and connectivity for the first external contacts 503 should be fully included in the scope of the embodiments.

Durch die Verwendung des Füllmaterials 173 zum Füllen der Öffnung 171 wird eine zusätzliche Schutzschicht für den optischen Pfad zwischen der optischen Faser 901 und dem Gitterkoppler 157 bereitgestellt. Insbesondere wird durch die Anwesenheit des Füllmaterials 173 während Prozessen wie dem Planarisierungsprozess (vorstehend mit Bezug auf 2 beschrieben) verhindert, dass Trümmer aus dem Prozess in die Öffnung 171 eintreten und das Passieren der optischen Signale stören. Ferner werden empfindliche Strukturen wie die Gitterkoppler 157 durch die Anwesenheit des Füllmaterials 173 weiter vor solchen Prozessen geschützt, wodurch das Auftreten unerwünschter Defekte verhindert wird und die Gesamtausbeute des Herstellungsprozesses erhöht wird.By using the filler material 173 to fill the opening 171 becomes an additional protective layer for the optical path between the optical fiber 901 and the grating coupler 157 provided. In particular, it is due to the presence of the filler material 173 during processes such as the planarization process (above with reference to 2 described) prevents debris from the process from entering the opening 171 enter and disturb the passage of the optical signals. Furthermore, sensitive structures such as the grating coupler are used 157 by the presence of the filler material 173 further protected from such processes, thereby preventing the occurrence of undesirable defects and increasing the overall yield of the manufacturing process.

Ferner kann durch das beschriebene Packaging der ersten integrierten photonischen Schaltung 105 in ein eigenständiges Package modularisiert werden, das gute Die-to-Die-Bandbreiten erreichen kann, indem die Beabstandung (Abstände) der Umverteilungsstrukturen, der TIVs 107 und der externen Kontakte 164 reduziert werden. Somit kann das Standalone-Package je nach Wunsch schnell mit anderen Packages installiert oder sogar mit anderen Packages zusammen verpackt werden. Somit kann die erste photonische integrierte Schaltung 105 mit einem minimalen Re-Design in verschiedenartigen Anwendungen eingesetzt und integriert werden.Furthermore, the described packaging of the first integrated photonic circuit 105 be modularized into an independent package that can achieve good die-to-die bandwidths by the spacing (distances) of the redistribution structures, the TIVs 107 and external contacts 164 be reduced. This means that the standalone package can be quickly installed with other packages or even packaged together with other packages, as required. Thus, the first photonic integrated circuit 105 can be used and integrated in various applications with minimal redesign.

10A-10B zeigen eine weitere Ausführungsform, bei der die erste photonische integrierte Schaltung 105 Licht von einer optischen Faser 901, welche entlang einer Seite der ersten photonischen integrierten Schaltung 105 liegt, empfängt und/oder an eine optische Faser 901 sendet (siehe 16), anstatt über die obere Oberfläche Licht von einer optischen Faser 901 zu empfangen und/oder an diese zu senden, wobei 10B eine Nahansicht mit mehr Details des gestrichelten Kastens 1000 in 10A zeigt. In dieser Ausführungsform werden die TIVs 107 über dem ersten Trägersubstrat 101 gebildet, und die erste photonische integrierte Schaltung 105 wird auf dem ersten Trägersubstrat 101 angeordnet, wie vorstehend mit Bezug auf 1A beschrieben. Beispielsweise können die TIVs 107 durch einen Photoresiststrukturierungs- und Plattierungsprozess gebildet werden und die erste photonische integrierte Schaltung 105 wird durch einen Pick-and-Place-Prozess angeordnet. Freilich kann jedes geeignete Verfahren zur Herstellung oder Anordnung verwendet werden. 10A-10B show a further embodiment in which the first photonic integrated circuit 105 Light from an optical fiber 901 along one side of the first photonic integrated circuit 105 lies, receives and / or to an optical fiber 901 sends (see 16 ), rather than light from a top surface optical fiber 901 to receive and / or to send to this, whereby 10B a close-up view with more details of the dashed box 1000 in 10A indicates. In this embodiment, the TIVs 107 over the first carrier substrate 101 formed, and the first photonic integrated circuit 105 is on the first carrier substrate 101 arranged as above with reference to FIG 1A described. For example, the TIVs 107 are formed by a photoresist patterning and plating process and the first photonic integrated circuit 105 is arranged through a pick-and-place process. Of course, any suitable method of manufacture or arrangement can be used.

Zusätzlich kann in dieser Ausführungsform die erste photonische integrierte Schaltung 105 ohne die Öffnung 171 gebildet werden. Demnach wird das Füllmaterial 173 über dem Substrat 152 gebildet, reicht aber nicht in die Struktur hinein. Ohne die Entfernung der Materialien für die Öffnung 171 (beispielsweise die Materialien des Füllmaterials 173, der Schutzschicht 165, des Passivierungsfilms 163, der Umverteilungsstruktur 161 und der dielektrischen Schicht 159) bleibt das Material vorhanden, um die darunter liegenden Strukturen bei der nachfolgenden Verarbeitung wie beispielsweise chemisch-mechanischem Polieren zu schützen.In addition, in this embodiment, the first photonic integrated circuit 105 without the opening 171 are formed. Accordingly, the filler material 173 above the substrate 152 formed, but does not extend into the structure. Without removing the materials for the opening 171 (For example the materials of the filler material 173 , the protective layer 165 , the passivation film 163 , the redistribution structure 161 and the dielectric layer 159 ) the material remains in place to protect the underlying structures during subsequent processing such as chemical-mechanical polishing.

Zusätzlich wird in dieser Ausführung der Wellenleiter 154 modifiziert, um optische Signale von einem nachträglich gebildeten zweiten Wellenleiter 1101 empfangen. Insbesondere wird in dieser Ausführung das Material des Wellenleiters 154 (beispielsweise Silizium) beispielsweise durch einen photolithographischen Maskierungs- und Ätzprozess gebildet und als konischer Wellenleiter ausgebildet, um optische Signale zu empfangen, die nicht senkrecht zu dem Wellenleiter 154 sondern schräg empfangen werden. Freilich kann jedes geeignete Verfahren zur Bildung des Wellenleiters 154 zum Empfang dieser Signale verwendet werden.In addition, the waveguide is used in this version 154 modified to take optical signals from a post-formed second waveguide 1101 receive. In particular, the material of the waveguide is used in this embodiment 154 (e.g. silicon) e.g. formed by a photolithographic masking and etching process and designed as a conical waveguide in order to receive optical signals that are not perpendicular to the waveguide 154 but are received at an angle. Of course, any suitable method for forming the waveguide can be used 154 can be used to receive these signals.

10A zeigt zusätzlich das Anordnen eines ersten Halbleiter-Dies 1001 und eines zweiten Halbleiter-Dies 1003 auf dem ersten Trägersubstrat 101 zusammen mit der ersten photonischen integrierten Schaltung 105. In einer Ausführungsform sind der erste Halbleiter-Die 1001 und der zweite Halbleiter-Die 1003 eingerichtet, mit der ersten elektronischen integrierten Schaltung 605 und der ersten photonischen integrierten Schaltung 105 zusammenzuwirken, um eine gewünschte Funktion auszuführen, und können beispielsweise Logik-Dies, Speicher-Dies, ASIC-Dies oder dergleichen sein. 10A additionally shows the arrangement of a first semiconductor die 1001 and a second semiconductor die 1003 on the first carrier substrate 101 along with the first photonic integrated circuit 105 . In one embodiment, the first semiconductor die 1001 and the second semiconductor die 1003 set up with the first electronic integrated circuit 605 and the first photonic integrated circuit 105 cooperate to perform a desired function and can be, for example, logic dies, memory dies, ASIC dies, or the like.

Beispielsweise können in Ausführungsformen, in denen einer oder mehrere des ersten Halbleiter-Dies 1001 und des zweiten Halbleiter-Dies 1003 Logikvorrichtungen sind, der erste Halbleiter-Die 1001 und der zweite Halbleiter-Die 1003 Vorrichtungen sein wie beispielweise Zentraleinheiten (CPU), Grafikverarbeitungseinheiten (GPU), System-on-a-Chip-Vorrichtungen (SoC-Vorrichtungen), Anwendungsprozessor-Vorrichtungen (AP-Vorrichtungen), Mikrocontroller oder dergleichen. Zusätzlich können in Ausführungsformen, in denen einer oder mehrere des ersten Halbleiter-Dies 1001 und des zweiten Halbleiter-Dies 1003 Speichervorrichtungen sind, der erste Halbleiter-Die 1001 und der zweite Halbleiter-Die 1003 beispielsweise ein DRAM-Die (dynamic random access memory), ein SRAM-Die (static random access memory), ein HMC (hybrid memory cube), ein HBM (high bandwidth memory) oder dergleichen sein. Freilich sollen jegliche geeignete Funktionalitäten, die durch geeignete Strukturen definiert sind, vollumfänglich in den Geltungsbereich der Ausführungsformen einbezogen werden.For example, in embodiments in which one or more of the first semiconductor dies 1001 and the second semiconductor die 1003 Logic devices are the first semiconductor die 1001 and the second semiconductor die 1003 Devices such as central processing units (CPU), graphics processing units (GPU), system-on-a-chip (SoC) devices, application processor devices (AP) devices, microcontrollers, or the like. Additionally, in embodiments in which one or more of the first semiconductor dies 1001 and the second semiconductor die 1003 Storage devices are the first semiconductor die 1001 and the second semiconductor die 1003 for example, a DRAM die (dynamic random access memory), an SRAM die (static random access memory), an HMC (hybrid memory cube), an HBM (high bandwidth memory) or the like. Of course, any suitable functionalities that are defined by suitable structures should be fully included in the scope of the embodiments.

In einer Ausführungsform können ferner sowohl der erste Halbleiter-Die 1001 als auch der zweite Halbleiter-Die 1003 Die-Kontaktpads 1002, eine Die-Passivierungsschicht 1004, eine Die-Schutzschicht 1005 und externe Die-Kontakte 1006 aufweisen. In einer Ausführungsform können die Die-Kontaktpads 1002, die Die-Passivierungsschicht 1004, die Die-Schutzschicht 1005 und die externe Die-Kontakte 1006 den Kontaktpads 162, dem Passivierungsfilm 163, der Schutzschicht 165 und dem externen Kontakt 164 wie vorstehend mit Bezug auf 1A beschrieben ähnlich sein. Freilich kann jede geeignete Struktur verwendet werden.In one embodiment, both the first semiconductor die 1001 as well as the second semiconductor die 1003 The contact pads 1002 , a die passivation layer 1004 , a die protective layer 1005 and external die contacts 1006 exhibit. In one embodiment, the die contact pads 1002 who have favourited Die Passivation Layer 1004 who have favourited Die Protective Layer 1005 and the external die contacts 1006 the contact pads 162 , the passivation film 163 , the protective layer 165 and the external contact 164 as above with reference to 1A be similar to the one described. Of course, any suitable structure can be used.

10A zeigt ferner das Verkapseln der TIVs 107, der ersten photonischen integrierten Schaltung 105, des ersten Halbleiter-Dies 1001 und des zweiten Halbleiter-Dies 1003. In einer Ausführungsform kann das Verkapseln wie vorstehend mit Bezug auf 1A und 2 beschrieben durchgeführt werden. Beispielsweise wird das Verkapselungsmaterial 109 um die TIVs 107, die erste photonische integrierte Schaltung 105, den ersten Halbleiter-Die 1001 und den zweiten Halbleiter-Die 1003 angeordnet und das Verkapselungsmaterial 109 kann planarisiert werden, um überschüssige Abschnitte zu entfernen und die TIVs 107, die erste photonische integrierte Schaltung 105, den ersten Halbleiter-Die 1001 und den zweiten Halbleiter-Die 1003 freizulegen. Freilich kann jedes geeignete Material und jedes geeignete Verfahren verwendet werden. 10A also shows the encapsulation of the TIVs 107 , the first photonic integrated circuit 105 , the first semiconductor die 1001 and the second semiconductor die 1003 . In one embodiment, the encapsulation may be as described above with reference to FIG 1A and 2 as described. For example, the encapsulation material 109 to the TIVs 107 , the first photonic integrated circuit 105 , the first semiconductor die 1001 and the second semiconductor die 1003 arranged and the encapsulation material 109 can be planarized to remove excess sections and the TIVs 107 , the first photonic integrated circuit 105 , the first semiconductor die 1001 and the second semiconductor die 1003 to expose. Of course, any suitable material and any suitable method can be used.

10A zeigt zusätzlich, dass, nach der Anordnung des Verkapselungsmaterials 109 und der Durchführung des chemisch-mechanischen Polierprozesses, eine zweite Öffnung 1007 als ein Fenster ausgebildet werden kann, das sich von einer Seite der ersten photonischen integrierten Schaltung 105 aus erstreckt. Da der Planarisierungsprozess für das Verkapseln jedoch bereits stattgefunden hat, kann der chemisch-mechanische Polierprozess die Strukturen innerhalb der zweiten Öffnung 1007 nicht mehr beschädigen und Trümmer aus dem Planarisierungsprozess können nicht in die zweite Öffnung 1007 gelangen. 10A additionally shows that, after the encapsulation material has been placed 109 and performing the chemical mechanical polishing process, a second opening 1007 can be formed as a window extending from one side of the first photonic integrated circuit 105 from extends. However, since the planarization process for encapsulation has already taken place, can the chemical-mechanical polishing process the structures inside the second opening 1007 no more damage and debris from the planarization process cannot enter the second opening 1007 reach.

In einer Ausführungsform kann die Bildung der zweiten Öffnung 1007 durch einen photolithographischen Maskierungs- und Ätzprozess erfolgen. Beispielsweise wird in einer Ausführungsform ein Photoresist über der Struktur angeordnet, mittels einer strukturierten Energiequelle abgebildet und entwickelt. Wenn das Photoresist als Maske angeordnet ist, werden ein oder mehrere Ätzprozesse verwendet, um durch die gewünschten Materialien zu ätzen und die zweite Öffnung 1007 zu bilden.In one embodiment, the formation of the second opening 1007 be done by a photolithographic masking and etching process. For example, in one embodiment, a photoresist is placed over the structure, imaged using a structured energy source, and developed. When the photoresist is arranged as a mask, one or more etch processes are used to etch through the desired materials and the second opening 1007 to build.

Mit Blick auf 10B ist die zweite Öffnung 1007 in einer Ausführungsform so gebildet, um sich in die erste photonische integrierte Schaltung 105 bis zu einer zweiten Tiefe D2 zwischen etwa 13,5 µm und etwa 17,5 µm zu erstrecken. Zusätzlich kann die zweite Öffnung 1007 so gebildet sein, um sich von einer Seitenwand der ersten photonischen integrierten Schaltung 105 nach innen bis zu einem ersten Abstand Disi zu erstrecken, der ausreicht, um die zweite Öffnung 1007 über den Wellenleitern 154 innerhalb der ersten photonischen integrierten Schaltung 105 zu bilden, wobei der erste Abstand Disi zwischen etwa 100 µm und etwa 2,5 mm beträgt. Freilich kann jede geeignete Abmessung verwendet werden.With a view to 10B is the second opening 1007 in one embodiment formed so as to integrate into the first photonic integrated circuit 105 to a second depth D2 to extend between about 13.5 µm and about 17.5 µm. In addition, the second opening 1007 so formed to stand out from a sidewall of the first photonic integrated circuit 105 extend inwardly to a first distance Disi sufficient to enclose the second opening 1007 over the waveguides 154 within the first photonic integrated circuit 105 to form, wherein the first distance Disi is between about 100 microns and about 2.5 mm. Of course, any suitable dimension can be used.

Zusätzlich kann während der Bildung der zweiten Öffnung 1007 ein Abschnitt des Verkapselungsmaterials 109 von der ersten photonischen integrierten Schaltung 105 entfernt und ausgespart werden. In einer Ausführungsform kann das Verkapselungsmaterial 109 um einen dritten Abstand D3 zwischen etwa 5 µm und etwa 20 µm unter der Oberfläche der zweiten Öffnung 1007 ausgespart werden. Freilich kann jede geeignete Abmessung verwendet werden.In addition, during the formation of the second opening 1007 a portion of the encapsulation material 109 from the first photonic integrated circuit 105 removed and left out. In one embodiment, the encapsulation material can 109 by a third distance D 3 between about 5 µm and about 20 µm below the surface of the second opening 1007 be left out. Of course, any suitable dimension can be used.

11A-11B zeigen die Bildung eines zweiten Wellenleiters 1101 innerhalb der zweiten Öffnung 1007, wobei 11B eine Nah- und Detailansicht des gestrichelten Kastens 1100 in 11A zeigt. In einer Ausführungsform wird der zweite Wellenleiter 1101 verwendet, um optische Signale von der optischen Faser 901 (in dieser Ausführungsform in 16 unten dargestellt) an die Wellenleiter 154 zu leiten, die bereits in der ersten photonischen integrierten Schaltung 105 sind. Durch die Verwendung des zweiten Wellenleiters 1101, der nach dem Verkapselungsprozess gebildet wird, bleiben die Wellenleiter 154 geschützt und sind weniger anfällig für Defekte während des Herstellungsprozesses. 11A-11B show the formation of a second waveguide 1101 inside the second opening 1007 , in which 11B a close-up and detailed view of the dashed box 1100 in 11A indicates. In one embodiment, the second waveguide is 1101 used to take optical signals from the optical fiber 901 (in this embodiment in 16 shown below) to the waveguide 154 to conduct that already in the first photonic integrated circuit 105 are. By using the second waveguide 1101 , which is formed after the encapsulation process, the waveguides remain 154 protected and less prone to defects during the manufacturing process.

Um die Bildung des zweiten Wellenleiters 1101 einzuleiten, wird die Aussparung des Verkapselungsmaterials 109 mit einem dielektrischen Füllmaterial 1103 gefüllt. In einer Ausführungsform kann das dielektrische Füllmaterial 1103 ein Material wie Polybenzoxazol (PBO) sein, wobei auch jedes andere geeignete Material wie Polyimid oder ein Polyimidderivat verwendet werden kann. Die erste dielektrische Schicht 203 kann beispielsweise durch einen Aufschleuderbeschichtungs-Prozess angeordnet werden, um die zweite Öffnung 1007 zu füllen. Wenn angeordnet, kann das dielektrische Füllmaterial 1103 ausgespart werden, beispielsweise durch einen Nass- oder Trockenätzprozess.About the formation of the second waveguide 1101 initiate, the recess of the encapsulation material 109 with a dielectric filler material 1103 filled. In one embodiment, the dielectric filler material 1103 a material such as polybenzoxazole (PBO), although any other suitable material such as polyimide or a polyimide derivative can also be used. The first dielectric layer 203 can be placed around the second opening, for example by a spin-on coating process 1007 to fill. If arranged, the dielectric filler material 1103 be cut out, for example by a wet or dry etching process.

Wenn das dielektrische Füllmaterial 1103 angeordnet ist, wird der zweite Wellenleiter 1101 gebildet. In einer Ausführungsform kann der zweite Wellenleiter 1101 jede Art von Wellenleiter sein, wie beispielsweise ein planarer Wellenleiter oder ein Kanalwellenleiter, und kann zwei verschiedene Materialien enthalten, nämlich ein Kernmaterial und ein Verkleidungsmaterial, wobei das Kernmaterial einen höheren Brechungsindex aufweist als das Verkleidungsmaterial.When the dielectric filler material 1103 is arranged, becomes the second waveguide 1101 educated. In one embodiment, the second waveguide can 1101 be any type of waveguide, such as a planar waveguide or a channel waveguide, and may contain two different materials, namely a core material and a cladding material, the core material having a higher index of refraction than the cladding material.

In einer Ausführungsform kann der zweite Wellenleiter 1101 ein Polymerwellenleiter sein und das Kernmaterial und das Verkleidungsmaterial enthält ein Kombination von Polymermaterialien wie Poly(methylmethacrylat) (PMMA), Polystyrol (PS), Polycarbonat, Polyurethan, Benzocyclo-Butan, Perfluorvinylether-Cyclopolymer, Tetrafluorethylen, Perfluorvinylether-Copolymer, Silikon, fluoriertem Poly(arylenethersulfid, Poly(pentafluorstyrol), fluorierten Dendrimeren, fluorierten hyperverzweigten Polymeren oder dergleichen. In einer anderen Ausführungsform können das Kernmaterial und das Verkleidungsmaterial deuterierte und halogenierte Polyacrylate, fluorierte Polyimide, Perfluorcyclobutylarylether-Polymere, nichtlineare optische Polymere oder dergleichen enthalten. In einer weiteren Ausführungsform können das Kernmaterial und das Verkleidungsmaterial Silizium oder Siliziumdioxid enthalten, um den Brechungsindex zwischen den Materialien zu nutzen, um den Weg der optischen Signale durch den zweiten Wellenleiter 1101 zu begrenzen und einzuschränken.In one embodiment, the second waveguide can 1101 be a polymer waveguide and the core material and the cladding material contains a combination of polymer materials such as poly (methyl methacrylate) (PMMA), polystyrene (PS), polycarbonate, polyurethane, benzocyclo-butane, perfluorovinyl ether cyclopolymer, tetrafluoroethylene, perfluorovinyl ether copolymer, silicone, fluorinated poly (arylene ether sulfide, poly (pentafluorostyrene), fluorinated dendrimers, fluorinated hyperbranched polymers, or the like. In another embodiment, the core material and cladding material can include deuterated and halogenated polyacrylates, fluorinated polyimides, perfluorocyclobutyl aryl ether polymers, nonlinear optical polymers, or the like. In another embodiment For example, the core material and the cladding material may contain silicon or silicon dioxide to take advantage of the refractive index between the materials to guide the path of the optical signals through the second waveguide 1101 to limit and restrict.

Das Kernmaterial und das Verkleidungsmaterial des zweiten Wellenleiters 1101 können beispielsweise gebildet werden, indem zunächst jede Schicht oder Schichtkombination innerhalb der zweiten Öffnung 1007 durch einen Prozess wie Aufschleuderbeschichtung, Rakeln, Extrusion, Laminieren oder dergleichen angeordnet wird. Während jede Schicht gebildet wird, kann die jeweilige Schicht strukturiert und geformt werden, um die optischen Signale an den und von dem Wellenleiter 154, der sich weiter innerhalb der ersten photonischen integrierten Schaltung 105 befindet, zu steuern und zu leiten. Beispielsweise, während jede Materialschicht des zweiten Wellenleiters 1101 gebildet wird, kann eine Reihe von einer oder mehreren Ätzungen, wie Nass- oder Trockenätzungen, verwendet werden, um die Materialschichten nach Wunsch zu formen. Freilich kann jedes geeignete Verfahren verwendet werden.The core material and the cladding material of the second waveguide 1101 For example, they can be formed by first placing each layer or layer combination within the second opening 1007 by a process such as spin coating, doctor blading, extrusion, lamination, or the like. As each layer is formed, the respective layer can be patterned and shaped to provide optical signals to and from the waveguide 154 which is further within the first photonic integrated circuit 105 is located, to control and direct. For example, during each material layer of the second waveguide 1101 is formed can be a number one or more etches, such as wet or dry etches, can be used to shape the layers of material as desired. Of course, any suitable method can be used.

11A-11B zeigen zusätzlich, dass die erste Umverteilungsstruktur 201 nach der Ausbildung des zweiten Wellenleiters 1101 über dem Verkapselungsmaterial 109 und der ersten photonischen integrierten Schaltung 105 gebildet werden kann. In einer Ausführungsform kann die erste Umverteilungsstruktur 201 wie vorstehend mit Bezug auf 2 beschrieben gebildet werden, beispielsweise durch Anordnen und Strukturieren dielektrischer Schichten und anschließendes Bilden von leitfähigen Leitungen über den dielektrischen Schichten und durch diese hindurch. Freilich kann jedes geeignete Materialien und jedes geeignete Verfahren verwendet werden. 11A-11B additionally show that the first redistribution structure 201 after the formation of the second waveguide 1101 over the encapsulation material 109 and the first photonic integrated circuit 105 can be formed. In one embodiment, the first redistribution structure 201 as above with reference to 2 described, for example by arranging and structuring dielectric layers and then forming conductive lines over the dielectric layers and through them. Of course, any suitable material and any suitable method can be used.

Da diese Ausführungsform einen zweiten Wellenleiter 1101 verwendet, der eine Verbindung der optischen Faser 901 von einer Seite der ersten photonischen integrierten Schaltung 105 aus ermöglicht, können die leitenden Schichten der ersten Umverteilungsstruktur 201 zusätzlich über dem Wellenleiter 154 und dem zweiten Wellenleiter 1101 gebildet werden. Auf diese Weise kann eine größere Fläche für die Herstellung bereitgestellt werden.Because this embodiment has a second waveguide 1101 used to connect the optical fiber 901 from one side of the first photonic integrated circuit 105 allows the conductive layers of the first redistribution structure 201 additionally over the waveguide 154 and the second waveguide 1101 are formed. In this way, a larger area can be made available for production.

12 zeigt das Übertragen der Struktur auf das zweite Trägersubstrat 301 unter Verwendung der zweiten Klebeschicht 303. In einer Ausführungsform kann die Übertragung wie vorstehend mit Bezug auf 3 beschrieben durchgeführt werden, beispielsweise durch das Anbringen der ersten Umverteilungsstruktur 201 an der zweiten Klebeschicht 303, das Entfernen des ersten Trägersubstrats 101 und anschließendes Verdünnen des Verkapselungsmaterials, der TIVs 107, der ersten photonischen integrierten Schaltung 105, des ersten Halbleiter-Dies 1001 und des zweiten Halbleiter-Dies 1003. Freilich kann jedes geeignete Verfahren verwendet werden. 12th shows the transfer of the structure to the second carrier substrate 301 using the second adhesive layer 303 . In one embodiment, the transmission may be as described above with respect to 3 described, for example by attaching the first redistribution structure 201 on the second adhesive layer 303 , removing the first carrier substrate 101 and then diluting the encapsulation material, the TIVs 107 , the first photonic integrated circuit 105 , the first semiconductor die 1001 and the second semiconductor die 1003 . Of course, any suitable method can be used.

13 zeigt das Bilden der zweiten Umverteilungsstruktur 401 in elektrischer Verbindung mit den TIVs 107. In einer Ausführungsform kann die zweite Umverteilungsstruktur 401 wie vorstehend mit Bezug auf 4 beschrieben gebildet werden, beispielsweise durch Anordnen und Strukturieren dielektrischer Schichten und anschließendes Bilden von leitfähigen Leitungen über den dielektrischen Schichten und durch diese hindurch, und das Wiederholen dieses Prozesses nach Belieben. Freilich kann jedes geeignete Material und jedes geeignete Verfahren verwendet werden. 13th shows the formation of the second redistribution structure 401 in electrical connection with the TIVs 107 . In one embodiment, the second redistribution structure 401 as above with reference to 4th for example, by arranging and patterning dielectric layers and then forming conductive lines over and through the dielectric layers, and repeating this process as desired. Of course, any suitable material and any suitable method can be used.

14 zeigt das Bilden der UBMs 501 und das Bilden der ersten externen Kontakte 503. In einer Ausführungsform können die UBMs 501 und die ersten externen Kontakte 503 wie vorstehend mit Bezug auf 5 beschrieben gebildet werden. Beispielsweise können die UBMs 501 durch eine der dielektrischen Schichten der zweiten Umverteilungsstruktur 401 gebildet werden, die ersten externen Kontakte 503 werden gebildet und/oder über den UBMs 501 angeordnet und ein Wiederaufschmelz-Prozess kann durchgeführt werden. Freilich kann jedes geeignete Verfahren und jedes geeignete Material verwendet werden. 14th shows the formation of the UBMs 501 and making the first external contacts 503 . In one embodiment, the UBMs 501 and the first external contacts 503 as above with reference to 5 are formed as described. For example, the UBMs 501 through one of the dielectric layers of the second redistribution structure 401 the first external contacts are formed 503 are formed and / or via the UBMs 501 arranged and a remelting process can be carried out. Of course, any suitable method and material can be used.

15 zeigt das Anordnen der Struktur auf der Ringstruktur 601, das Entfernen des zweiten Trägersubstrats 301, das Anordnen der zweiten externen Verbinder 607 und das Anordnen der ersten elektronischen integrierten Schaltung 605 beispielsweise durch einen Pick-and-Place-Prozess. 15th shows the arrangement of the structure on the ring structure 601 , removing the second carrier substrate 301 , arranging the second external connector 607 and arranging the first electronic integrated circuit 605 for example through a pick-and-place process.

15 zeigt zusätzlich das Anordnen eines dritten Halbleiter-Chips 1501. In einer Ausführungsform kann der dritte Halbleiter-Die 1501 dem ersten Halbleiter-Die 1001 und/oder dem zweiten Halbleiter-Die 1003 ähnlich sein (beispielsweise kann er ein Logik-Die, ein Speicher-Die oder dergleichen sein) und kann auf ähnliche Weise angeordnet werden (beispielsweise durch einen Pick-and-Place-Prozess). Freilich kann jede geeignete Funktionalität und jedes geeignete Verfahren für das Anordnen verwendet werden. 15th additionally shows the arrangement of a third semiconductor chip 1501 . In one embodiment, the third semiconductor die 1501 the first semiconductor die 1001 and / or the second semiconductor die 1003 be similar (e.g. it can be a logic die, a memory die, or the like) and can be arranged in a similar manner (e.g. by a pick-and-place process). Of course, any suitable functionality and any suitable method can be used for the arrangement.

16 zeigt das Bonden der ersten elektronischen integrierten Schaltung 605 und des dritten Halbleiter-Dies 1501 an die erste Umverteilungsstruktur 201. In einer Ausführungsform kann das Bonden wie vorstehend mit Bezug auf 7 beschrieben durchgeführt werden, beispielsweise durch das Durchführen eines Wiederaufschmelz-Prozesses. Freilich kann jedes geeignete Verfahren für das Bonden verwendet werden. 16 shows the bonding of the first electronic integrated circuit 605 and the third semiconductor die 1501 to the first redistribution structure 201 . In one embodiment, the bonding may be as described above with respect to FIG 7th described, for example by performing a remelting process. Of course, any suitable method can be used for the bonding.

16 zeigt zusätzlich das Anordnen der ersten Unterfüllung 701 zwischen der ersten Umverteilungsstruktur 201 und der ersten elektronischen integrierten Schaltung 605 und dem dritten Halbleiter-Die 1501 und das Vereinzeln der Struktur derart, dass der zweite Wellenleiter 1101 planar mit dem Verkapselungsmaterial 109 und der ersten Umverteilungsstruktur 201 ist. In einer Ausführungsform können das Anordnen der ersten Unterfüllung 701 und der Vereinzelungsprozess wie vorstehend mit Bezug auf 7 und 8 beschrieben durchgeführt werden. Freilich kann jedes geeignete Verfahren und jedes geeignete Material verwendet werden. 16 additionally shows the arrangement of the first underfill 701 between the first redistribution structure 201 and the first electronic integrated circuit 605 and the third semiconductor die 1501 and separating the structure in such a way that the second waveguide 1101 planar with the encapsulation material 109 and the first redistribution structure 201 is. In one embodiment, the arrangement of the first underfill 701 and the singulation process as above with reference to FIG 7th and 8th as described. Of course, any suitable method and material can be used.

16 zeigt schließlich das Anordnen der optischen Faser 901 in optischer Verbindung mit dem zweiten Wellenleiter 1101. In einer Ausführungsform leitet die optische Faser 901 optische Signale in den zweiten Wellenleiter 1101, der die optischen Signale empfängt und in die erste photonische integrierte Schaltung 105 und hin zu dem Wellenleiter 154 führt. Durch die Verwendung des zweiten Wellenleiters 1101 kann der Wellenleiter 154 geschützt bleiben und es können weniger Defekte während des Herstellungsprozesses auftreten. 16 Finally, Figure 8 shows the placement of the optical fiber 901 in optical connection with the second waveguide 1101 . In one embodiment, the optical fiber conducts 901 optical signals in the second waveguide 1101 that receives the optical signals and into the first photonic integrated circuit 105 and towards the waveguide 154 leads. By using the second waveguide 1101 can the waveguide 154 remain protected and fewer defects can occur during the manufacturing process.

17 zeigt eine weitere Ausführungsform, in der die Strukturen der ersten photonischen integrierten Schaltung 105 (wie vorstehend mit Bezug auf 1A-1B beschrieben) durch das Füllmaterial und die Ausbildung des zweiten Wellenleiters 1101 geschützt werden. In dieser Ausführungsform ist jedoch die erste elektronische integrierte Schaltung 605 zusammen mit der ersten photonischen integrierten Schaltung 105 in das Verkapselungsmaterial 109 eingebettet. In dieser Ausführung werden die TIVs 107 nicht über dem ersten Trägersubstrat 101 gebildet und sowohl die erste photonische integrierte Schaltung 105 als auch die erste elektronische integrierte Schaltung 605 werden gebildet, vereinzelt und auf dem ersten Trägersubstrat 101 und der ersten Klebeschicht 103 angeordnet, beispielsweise durch einen Pick-and-Place-Prozess. 17th shows another embodiment in which the structures of the first photonic integrated circuit 105 (as above with reference to 1A-1B described) by the filler material and the formation of the second waveguide 1101 to be protected. In this embodiment, however, is the first electronic integrated circuit 605 along with the first photonic integrated circuit 105 into the encapsulation material 109 embedded. In this implementation, the TIVs 107 not over the first carrier substrate 101 formed and both the first photonic integrated circuit 105 as well as the first electronic integrated circuit 605 are formed, singulated and on the first carrier substrate 101 and the first adhesive layer 103 arranged, for example by a pick-and-place process.

Zusätzlich zeigt 17 auch das Anordnen des Verkapselungsmaterials 109 um die erste photonische integrierte Schaltung 105 und um die erste elektronische integrierte Schaltung 605. In einer Ausführungsform kann das Verkapselungsmaterial 109 wie vorstehend mit Bezug auf 1A beschrieben angeordnet werden. Freilich kann jedes geeignete Material und jedes geeignete Verfahren verwendet werden, um die erste photonische integrierte Schaltung 105 und die erste elektronische integrierte Schaltung 605 zu verkapseln.Additionally shows 17th also arranging the encapsulation material 109 the first photonic integrated circuit 105 and the first electronic integrated circuit 605 . In one embodiment, the encapsulation material can 109 as above with reference to 1A are arranged as described. Of course, any suitable material and any suitable method can be used to form the first photonic integrated circuit 105 and the first electronic integrated circuit 605 to encapsulate.

18 zeigt einen Planarisierungsprozess, um überschüssiges Material des Verkapselungsmaterials 109 und des Füllmaterials 173 zu entfernen und die externen Kontakte 164 der ersten photonischen integrierten Schaltung 105 und den externen EIC-Kontakt 617 der ersten elektronischen integrierten Schaltung 605 freizulegen. In einer Ausführungsform kann der Planarisierungsprozess ein chemisch-mechanischer Polierprozess sein, obwohl jeder geeignete Planarisierungsprozess wie beispielsweise mechanisches Schleifen oder sogar eine Reihe von einem oder mehreren Ätzprozessen verwendet werden kann. 18th Figure 12 shows a planarization process to remove excess encapsulation material 109 and the filler material 173 to remove and the external contacts 164 the first photonic integrated circuit 105 and the external EIC contact 617 the first electronic integrated circuit 605 to expose. In one embodiment, the planarization process can be a chemical mechanical polishing process, although any suitable planarization process such as mechanical grinding or even a series of one or more etching processes can be used.

18 zeigt auch das Abscheiden und das Strukturieren einer ersten Hartmaskenschicht 1801 über dem Verkapselungsmaterial 109, der ersten photonischen integrierten Schaltung 105 und der ersten elektronischen integrierten Schaltung 605. In einer Ausführungsform kann die erste Hartmaskenschicht 1801 ein Material wie Titan, Tantal, Kombinationen hiervon oder dergleichen enthalten, wobei ein Abscheidungsprozess wie chemische Gasphasenabscheidung, physikalische Gasphasenabscheidung, Atomlagenabscheidung, Kombinationen hiervon oder dergleichen verwendet wird. Freilich kann jedes geeignete Material und jedes geeignete Verfahren zur Abscheidung verwendet werden. 18th also shows the deposition and structuring of a first hard mask layer 1801 over the encapsulation material 109 , the first photonic integrated circuit 105 and the first electronic integrated circuit 605 . In one embodiment, the first hard mask layer 1801 a material such as titanium, tantalum, combinations thereof, or the like, using a deposition process such as chemical vapor deposition, physical vapor deposition, atomic layer deposition, combinations thereof, or the like. Of course, any suitable material and any suitable method of deposition can be used.

Nach dem Abscheiden der ersten Hartmaskenschicht 1801 kann die erste Hartmaskenschicht 1801 strukturiert werden, um einen Abschnitt des Füllmaterials 173 über dem Gitterkoppler 157 für die Entfernung freizulegen. In einer Ausführungsform kann die erste Hartmaskenschicht 1801 durch einen photolithographischen Maskierungs- und Ätzprozess strukturiert werden, um die Öffnung 1703 über dem Gitterkoppler 157 zu bilden. Freilich kann jedes geeignete Verfahren zur Strukturierung der ersten Hartmaskenschicht 1801 verwendet werden.After the first hard mask layer has been deposited 1801 can be the first hard mask layer 1801 be textured around a section of filler material 173 above the grating coupler 157 expose for removal. In one embodiment, the first hard mask layer 1801 patterned around the opening by a photolithographic masking and etching process 1703 above the grating coupler 157 to build. Of course, any suitable method for structuring the first hard mask layer can be used 1801 be used.

In einer Ausführungsform kann die erste Hartmaskenschicht 1801 so strukturiert werden, um eine Öffnung mit einer dritten Breite W3 aufzuweisen, die für das anschließende Entfernen des darunter liegenden Füllmaterials 173 und für das Bilden des zweiten Wellenleiters 1101 ausreicht (in 18 nicht dargestellt, aber nachstehend mit Bezug auf 21 dargestellt und beschrieben). Daher kann die dritte Breite W3 in einigen Ausführungen zwischen etwa 100 µm und etwa 2,5 mm betragen, wobei jede geeignete Abmessung verwendet werden kann.In one embodiment, the first hard mask layer 1801 be structured to have an opening with a third width W 3 for subsequent removal of the underlying filler material 173 and for forming the second waveguide 1101 sufficient (in 18th not shown, but with reference to FIG 21 shown and described). Therefore, in some implementations, the third width W 3 can be between about 100 µm and about 2.5 mm, and any suitable dimension can be used.

19 zeigt, dass nach dem Strukturieren der ersten Hartmaskenschicht 1801 der freigelegte Abschnitt des Füllmaterials 173 entfernt werden kann, um den Gitterkoppler 157 und den Wellenleiter 154 freizulegen und eine dritte Öffnung 1901 zu bilden. In einer Ausführungsform kann das Entfernen durch einen Veraschungsprozess in Anwesenheit von Sauerstoff durchgeführt werden. In einem solchen Prozess wird die Temperatur des Füllmaterials 173 erhöht, bis die freigelegten Abschnitte in Kontakt mit der Sauerstoffumgebung einen Zersetzungsprozess durchlaufen und entfernt werden. Freilich kann jedes geeignete Verfahren, wie beispielsweise ein anisotropes Ätzverfahren, verwendet werden, um die freigelegten Abschnitte des Füllmaterials 173 zu entfernen und den Gitterkoppler 157 freizulegen. In einer Ausführungsform kann die dritte Öffnung 1901 mit der dritten Breite W3 und einer dritten Tiefe D3 von etwa 13,5 µm bis etwa 31 µm gebildet werden. Freilich kann jede geeignete Abmessung verwendet werden. 19th shows that after patterning the first hard mask layer 1801 the exposed portion of the filler material 173 can be removed to the grating coupler 157 and the waveguide 154 to expose and a third opening 1901 to build. In one embodiment, the removal can be performed by an ashing process in the presence of oxygen. In such a process, the temperature of the filler material 173 increased until the exposed portions in contact with the oxygen environment undergo a decomposition process and are removed. Of course, any suitable method, such as an anisotropic etching method, can be used to create the exposed portions of the filler material 173 and remove the grating coupler 157 to expose. In one embodiment, the third opening 1901 with the third width W 3 and a third depth D 3 of approximately 13.5 μm to approximately 31 μm. Of course, any suitable dimension can be used.

20 zeigt einen optionalen Trimmprozess, der verwendet werden kann, um das Füllmaterial 173 weg von dem Gitterkoppler 157 auszusparen. In einer Ausführungsform kann die erste Hartmaskenschicht 1801 beispielsweise durch einen Nass- oder Trockenätzprozess entfernt werden. Freilich kann jedes geeignete Verfahren für das Entfernen der ersten Hartmaskenschicht 1801 verwendet werden. 20th shows an optional trimming process that can be used to trim the fill material 173 away from the grating coupler 157 to leave out. In one embodiment, the first hard mask layer 1801 for example, can be removed by a wet or dry etching process. Of course, any suitable method for removing the first hard mask layer can be used 1801 be used.

Nach dem Entfernen der ersten Hartmaskenschicht 1801 kann ein separater photolithographischer Maskierungs- und Ätzprozess verwendet werden, um das Material des Füllmaterials 173 auszusparen. Durch das Aussparen des Materials kann die dritte Öffnung 1901 auf eine vierte Breite W4 zwischen etwa 100 µm und etwa 2,5 mm erweitert werden. Freilich kann jede geeignete Abmessung verwendet werden.After removing the first hard mask layer 1801 For example, a separate photolithographic masking and etching process can be used to fill the material 173 to leave out. By leaving out the material, the third opening 1901 can be expanded to a fourth width W 4 between approximately 100 μm and approximately 2.5 mm. Of course, any suitable dimension can be used.

21 veranschaulicht, dass der zweite Wellenleiter 1101 nach dem Trimmprozess innerhalb der ersten photonischen integrierten Schaltung 105 gebildet werden kann. In einer Ausführungsform kann der zweite Wellenleiter 1101 wie vorstehend mit Bezug auf 11 beschrieben gebildet werden. Beispielsweise wird eine Reihe von Polymermaterialien in die dritte Öffnung 1901 abgeschieden und strukturiert. Nach dem Abscheiden können die Materialien planarisiert werden. Freilich kann jedes geeignete Verfahren zur Ausbildung des zweiten Wellenleiters 1101 verwendet werden. 21 illustrates that the second waveguide 1101 after the trimming process within the first photonic integrated circuit 105 can be formed. In one embodiment, the second waveguide can 1101 as above with reference to 11 are formed as described. For example, a number of polymer materials are placed in the third opening 1901 secluded and structured. After the deposition, the materials can be planarized. Of course, any suitable method for forming the second waveguide can be used 1101 be used.

21 zeigt auch, dass die erste Umverteilungsstruktur 201 nach dem Bilden des zweiten Wellenleiters 1101 gebildet werden kann, um die erste photonische integrierte Schaltung 105 und die erste elektronische integrierte Schaltung 605 miteinander zu verbinden. In einer Ausführungsform kann die erste Umverteilungsstruktur 201 wie vorstehend mit Bezug auf 2 beschrieben gebildet werden. Beispielsweise wird eine Reihe von dielektrischen und leitenden Materialien abwechselnd abgeschieden und/oder strukturiert, um die erste Umverteilungsstruktur 201 zu bilden. Freilich kann jedes geeignete Verfahren und jedes geeignete Material verwendet werden. 21 also shows that the first redistribution structure 201 after forming the second waveguide 1101 can be formed to the first photonic integrated circuit 105 and the first electronic integrated circuit 605 to connect with each other. In one embodiment, the first redistribution structure 201 as above with reference to 2 are formed as described. For example, a series of dielectric and conductive materials are deposited and / or patterned alternately around the first redistribution structure 201 to build. Of course, any suitable method and material can be used.

21 veranschaulicht zusätzlich das Bilden der UBMs 502 und der ersten externen Kontakte 503. In einer Ausführungsform können die UBMs 501 und die ersten externen Kontakte 503 wie vorstehend mit Bezug auf 5 beschrieben gebildet werden. Beispielsweise können die UBMs 501 durch eine der dielektrischen Schichten der ersten Umverteilungsstruktur 201 gebildet werden, die ersten externen Kontakte 503 werden über den UBMs 501 gebildet und/oder angeordnet, und ein Wiederaufschmelz-Prozess kann durchgeführt werden. Freilich kann jedes geeignete Verfahren und jedes geeignete Material verwendet werden. 21 additionally illustrates the formation of the UBMs 502 and the first external contacts 503 . In one embodiment, the UBMs 501 and the first external contacts 503 as above with reference to 5 are formed as described. For example, the UBMs 501 through one of the dielectric layers of the first redistribution structure 201 the first external contacts are formed 503 are above the UBMs 501 formed and / or arranged, and a remelting process can be performed. Of course, any suitable method and material can be used.

21 schließlich zeigt das Anordnen der optischen Faser 901 über dem zweiten Wellenleiter 1101. In einer Ausführungsform kann die optische Faser 901 in einem Graben angeordnet werden, der innerhalb der ersten Umverteilungsstruktur 201 gebildet ist, und so positioniert werden, um optische Signale an den zweiten Wellenleiter 1101 zu senden und von diesem zu empfangen. Freilich kann jede geeignete Anordnung verwendet werden. 21 finally shows the placement of the optical fiber 901 over the second waveguide 1101 . In one embodiment, the optical fiber 901 be arranged in a trench within the first redistribution structure 201 is formed and so positioned to transmit optical signals to the second waveguide 1101 to send and receive from this. Of course, any suitable arrangement can be used.

Durch das Verwenden des Füllmaterials 173 zum Schutz der darunter liegenden Strukturen während des Planarisierungsprozesses des Verkapselungsmaterials 109 können keine Trümmer aus dem Planarisierungsprozess eingebettet werden und die nachfolgende Verarbeitung oder den Betrieb der ersten photonischen integrierten Schaltung 105 stören. Ferner ist das Material des Füllmaterials 173 vorhanden, um die darunter liegenden Strukturen während des Planarisierungsprozesses zu schützen, was zu weniger Schäden und zu weniger Defekten führt.By using the filler material 173 to protect the underlying structures during the planarization process of the encapsulation material 109 no debris from the planarization process and subsequent processing or operation of the first photonic integrated circuit can be embedded 105 to disturb. Furthermore, the material is the filler material 173 present to protect the underlying structures during the planarization process, resulting in less damage and fewer defects.

22 veranschaulicht eine weitere Ausführungsform, bei der die in 1A-9 dargestellte modularisierte Ausführungsform stattdessen vollständig in eine Vorrichtung mit dem ersten Halbleiter-Die 1001, dem zweiten Halbleiter-Die 1003, dem dritten Halbleiter-Die 1501 und einer vierten Halbleitervorrichtung 2201 (die dem ersten Halbleiter-Die 1001 ähnlich sein kann) integriert ist. In dieser Ausführungsform wird die erste photonische integrierte Vorrichtung 105 wie vorstehend mit Bezug auf 1A-1B beschrieben mit dem ersten Halbleiter-Die 1001 und dem zweiten Halbleiter-Die 1003 verkapselt und dann wird die erste photonische integrierte Schaltung 105 wie vorstehend mit Bezug auf die 1B beschrieben verarbeitet. Nach dem Verkapseln werden die erste Umverteilungsstruktur 201 und die zweite Umverteilungsstruktur 401 gebildet und die erste elektronische integrierte Schaltung 605 und der dritte Halbleiter-Die 1501 werden gebondet. Zusätzlich wird die vierte Halbleitervorrichtung 2201 in ähnlicher Weise an die erste Umverteilungsstruktur 201 gebondet wie der dritte Halbleiter-Die 1501. 22nd illustrates another embodiment in which the in 1A-9 The illustrated modularized embodiment is instead completely integrated into a device with the first semiconductor die 1001 , the second semiconductor die 1003 , the third semiconductor die 1501 and a fourth semiconductor device 2201 (which was the first semiconductor die 1001 may be similar) is integrated. In this embodiment, the first photonic integrated device 105 as above with reference to 1A-1B described with the first semiconductor die 1001 and the second semiconductor die 1003 encapsulated and then the first photonic integrated circuit 105 as above with respect to the 1B described processed. After encapsulation, the first redistribution structure 201 and the second redistribution structure 401 formed and the first electronic integrated circuit 605 and the third semiconductor die 1501 are bonded. In addition, the fourth semiconductor device becomes 2201 similarly to the first redistribution structure 201 bonded like the third semiconductor die 1501 .

Durch die Integration der ersten photonischen integrierten Schaltung 105 mit dem ersten Halbleiter-Die 1001, dem zweiten Halbleiter-Die 1003, dem dritten Halbleiter-Die 1501 und der vierten Halbleitervorrichtung 2201 kann eine vollständig integrierte Vorrichtung erhalten werden, worin sämtliche gewünschte Funktionalität mit verpackt ist, die leicht auf jede gewünschte Bandbreite skalierbar ist. In einer bestimmten Ausführungsform können der erste Halbleiter-Die 1001 und der zweite Halbleiter-Die 1003 beide Logik-Dies (beispielsweise LSIs) sein, der dritte Halbleiter-Die 1501 kann eine ASIC-Vorrichtung sein und die vierte Halbleitervorrichtung 2201 kann eine Speichervorrichtung wie beispielsweise ein Speicher mit hoher Bandbreite sein. Freilich kann jede geeignete Kombination von Vorrichtungen verwendet werden, um eine vollständig integriertes Vorrichtung mit der ersten photonischen integrierten Schaltung 105 zu bilden.By integrating the first photonic integrated circuit 105 with the first semiconductor die 1001 , the second semiconductor die 1003 , the third semiconductor die 1501 and the fourth semiconductor device 2201 a fully integrated device can be obtained in which all the desired functionality is packaged, which is easily scalable to any desired bandwidth. In a particular embodiment, the first semiconductor die 1001 and the second semiconductor die 1003 both logic dies (e.g. LSIs), the third semiconductor die 1501 may be an ASIC device and the fourth semiconductor device 2201 may be a storage device such as high bandwidth memory. Of course, any suitable combination of devices can be used to form a fully integrated device with the first photonic integrated circuit 105 to build.

Durch die Verwendung der hier beschriebenen Ausführungsformen kann eine kostengünstige photonische Vorrichtung aus Silizium bereitgestellt werden, die homogene Schutzschichten wie das Füllmaterial 173 und/oder Sekundärwellenleiter (beispielsweise der zweite Wellenleiter 1101) ohne die Verwendung von Durchkontaktierungen durch Silizium (through silicon vias) in der ersten photonischen integrierten Schaltung 105 verwendet. Durch die Verwendung dieser Strukturen können andere Strukturen, wie beispielsweise Gitterkoppler 157 oder Wellenleiter 154, während bestimmter In-situ-Herstellungsprozesse wie beispielsweise chemisch-mechanischer Polierprozesse vor Beschädigung und Kontaminierung geschützt bleiben. Durch die Ausnutzung dieser Schutzwirkung kann der gesamte Herstellungsprozess vereinfacht werden, der die Kantenkoppler-zu-Gitterkopplerfaser-Anordnung umfasst.By using the embodiments described herein, a Inexpensive photonic devices made of silicon are provided which have homogeneous protective layers as the filler material 173 and / or secondary waveguide (e.g. the second waveguide 1101 ) without the use of through-silicon vias in the first photonic integrated circuit 105 used. By using these structures, other structures, such as grating couplers 157 or waveguide 154 , remain protected from damage and contamination during certain in-situ manufacturing processes such as chemical-mechanical polishing processes. By utilizing this protective effect, the entire manufacturing process, which includes the edge coupler-to-grating coupler fiber arrangement, can be simplified.

Gemäß einer Ausführungsform umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung Folgendes: das Entfernen eines Abschnitts einer ersten photonischen integrierten Schaltungsvorrichtung, um eine Öffnung als optischen Pfad zu einem Gitterkoppler innerhalb der ersten photonischen integrierten Schaltungsvorrichtung zu bilden; das Füllen der Öffnung mit einem Füllmaterial; und das Bilden einer ersten Umverteilungsschicht über dem Füllmaterial. In einer Ausführungsform umfasst das Verfahren ferner: das Bilden einer Durchkontaktierung auf einem Trägersubstrat; das Anordnen der ersten photonischen integrierten Schaltungsvorrichtung benachbart zu der Durchkontaktierung auf dem Trägersubstrat; und das Verkapseln der Durchkontaktierung und der ersten photonischen integrierten Schaltungsvorrichtung mit einem Verkapselungsmaterial, wobei das Bilden der ersten Umverteilungsschicht die erste Umverteilungsschicht über dem Füllmaterial bildet. In einer Ausführungsform umfasst das Verfahren ferner das Planarisieren des Füllmaterials, der Durchkontaktierung und des Verkapselungsmaterials vor dem Bilden der ersten Umverteilungsschicht. In einer Ausführungsform umfasst das Verfahren ferner das Bilden einer zweiten Umverteilungsschicht auf einer Seite der ersten photonischen integrierten Schaltungsanordnung, die der ersten Umverteilungsschicht entgegengesetzt ist. In einer Ausführungsform umfasst das Verfahren ferner das Anbringen einer ersten elektronischen integrierten Schaltung an der ersten Umverteilungsschicht. In einer Ausführungsform enthält das Füllmaterial Polyimid.According to one embodiment, a method of manufacturing a semiconductor device comprises: removing a portion of a first photonic integrated circuit device to form an opening as an optical path to a grating coupler within the first photonic integrated circuit device; filling the opening with a filler material; and forming a first redistribution layer over the filler material. In one embodiment, the method further comprises: forming a via on a carrier substrate; arranging the first photonic integrated circuit device adjacent to the via on the carrier substrate; and encapsulating the via and the first photonic integrated circuit device with an encapsulation material, wherein forming the first redistribution layer forms the first redistribution layer over the filler material. In one embodiment, the method further comprises planarizing the fill material, the via, and the encapsulation material prior to forming the first redistribution layer. In one embodiment, the method further comprises forming a second redistribution layer on a side of the first photonic integrated circuit arrangement that is opposite to the first redistribution layer. In one embodiment, the method further comprises attaching a first electronic integrated circuit to the first redistribution layer. In one embodiment, the filler material contains polyimide.

Gemäß einer Ausführungsform weist eine Halbleitervorrichtung Folgendes auf: eine erste photonische integrierte Schaltung, die aufweist: ein Halbleitersubstrat; einen Wellenleiter, der innerhalb des Halbleitersubstrats gebildet ist; einen Gitterkoppler, der innerhalb des Halbleitersubstrats gebildet ist; ein Füllmaterial, das über dem Gitterkoppler liegt; und externe Kontakte, die planar mit dem Füllmaterial sind; und eine Umverteilungsschicht, die über dem Füllmaterial und den externen Kontakten liegt. In einer Ausführungsform weist die Halbleitervorrichtung ferner ein Verkapselungsmaterial auf, das die erste photonische integrierte Schaltung umgibt. In einer Ausführungsform weist die Halbleitervorrichtung ferner Durchkontaktierungen auf, die sich von einer ersten Seite des Verkapselungsmaterials zu einer zweiten Seite des Verkapselungsmaterials erstrecken. In einer Ausführungsform weist die Halbleitervorrichtung ferner eine elektronische integrierte Schaltung auf, die mit der Umverteilungsschicht verbunden ist. In einer Ausführungsform weist die Halbleitervorrichtung ferner einen ersten Halbleiter-Die auf, der innerhalb des Verkapselungsmaterials liegt. In einer Ausführungsform weist die Halbleitervorrichtung ferner eine elektronische integrierte Schaltung auf, die mit der Umverteilungsschicht verbunden ist. In einer Ausführungsform weist die Halbleitervorrichtung ferner eine optische Faser auf, die über der Umverteilungsschicht liegt. In einer Ausführungsform ist das Füllmaterial Polyimid.According to an embodiment, a semiconductor device comprises: a first photonic integrated circuit comprising: a semiconductor substrate; a waveguide formed inside the semiconductor substrate; a grating coupler formed within the semiconductor substrate; a filler material overlying the grating coupler; and external contacts that are planar with the filler material; and a redistribution layer overlying the filler material and the external contacts. In one embodiment, the semiconductor device further comprises an encapsulation material surrounding the first photonic integrated circuit. In one embodiment, the semiconductor device further has vias that extend from a first side of the encapsulation material to a second side of the encapsulation material. In one embodiment, the semiconductor device further comprises an electronic integrated circuit connected to the redistribution layer. In one embodiment, the semiconductor device further comprises a first semiconductor die that lies within the encapsulation material. In one embodiment, the semiconductor device further comprises an electronic integrated circuit connected to the redistribution layer. In one embodiment, the semiconductor device further includes an optical fiber overlying the redistribution layer. In one embodiment the filler material is polyimide.

Gemäß einer weiteren Ausführungsform weist eine Halbleitervorrichtung Folgendes auf: eine photonische integrierte Schaltung aufweisend: einen ersten Wellenleiter über einem Substrat; und einen zweiten Wellenleiter, der zumindest teilweise über dem ersten Wellenleiter liegt; und eine Umverteilungsschicht, die über dem zweiten Wellenleiter liegt, wobei die Umverteilungsschicht eine Oberfläche aufweist, die koplanar mit einer Oberfläche des zweiten Wellenleiters ist. In einer Ausführungsform weist die Halbleitervorrichtung ferner auf: ein Verkapselungsmaterial, das die photonische integrierte Schaltung einkapselt; und Durchkontaktierungen, die sich durch das Verkapselungsmaterial erstrecken. In einer Ausführungsform weist die Halbleitervorrichtung ferner einen ersten Halbleiter-Die innerhalb des Verkapselungsmaterials auf. In einer Ausführungsform weist die Halbleitervorrichtung ferner eine zweite Umverteilungsschicht auf, die auf einer Seite des ersten Halbleiter-Dies liegt, welche der Umverteilungsschicht entgegengesetzt ist. In einer Ausführungsform ist der erste Wellenleiter ein Silizium-Wellenleiter und der zweite Wellenleiter ein Polymer-Wellenleiter. In einer Ausführungsform weist die Halbleitervorrichtung ferner auf: ein dielektrisches Material, das zwischen dem zweiten Wellenleiter und dem Verkapselungsmaterial liegt; und eine optische Faser, die benachbart zu dem zweiten Wellenleiter liegt.According to another embodiment, a semiconductor device comprises: a photonic integrated circuit comprising: a first waveguide over a substrate; and a second waveguide at least partially overlying the first waveguide; and a redistribution layer overlying the second waveguide, the redistribution layer having a surface coplanar with a surface of the second waveguide. In one embodiment, the semiconductor device further comprises: an encapsulation material that encapsulates the photonic integrated circuit; and vias extending through the encapsulation material. In one embodiment, the semiconductor device further comprises a first semiconductor die within the encapsulation material. In one embodiment, the semiconductor device furthermore has a second redistribution layer, which lies on a side of the first semiconductor die which is opposite to the redistribution layer. In one embodiment, the first waveguide is a silicon waveguide and the second waveguide is a polymer waveguide. In one embodiment, the semiconductor device further comprises: a dielectric material sandwiched between the second waveguide and the encapsulation material; and an optical fiber adjacent to the second waveguide.

Die vorstehenden Ausführungen umreißen die Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung ohne weiteres als Grundlage für die Gestaltung oder Änderung anderer Prozesse und Strukturen verwendet werden kann, um die gleichen Zwecke zu verwirklichen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erreichen. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass verschiedene Änderungen, Substitutionen und Modifikationen vorgenommen werden können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing outlines the features of various embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should recognize that the present disclosure can readily be used as a basis for designing or changing other processes and structures in order to achieve the same purposes and / or achieve the same advantages of the embodiments presented here. The It should also be recognized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that various changes, substitutions, and modifications can be made without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 62964375 [0001]US 62964375 [0001]

Claims (20)

Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Entfernen eines Abschnitts einer ersten photonischen integrierten Schaltungsvorrichtung, um eine Öffnung als einen optischen Pfad zu einem Gitterkoppler innerhalb der ersten photonischen integrierten Schaltungsvorrichtung zu bilden; Füllen der Öffnung mit einem Füllmaterial; und Bilden einer ersten Umverteilungsschicht über dem Füllmaterial.A method of manufacturing a semiconductor device comprising: Removing a portion of a first photonic integrated circuit device to form an opening as an optical path to a grating coupler within the first photonic integrated circuit device; Filling the opening with a filler material; and Forming a first redistribution layer over the filler material. Verfahren nach Anspruch 1, ferner umfassend: Bilden einer Durchkontaktierung auf einem Trägersubstrat; Anordnen der ersten photonischen integrierten Schaltungsvorrichtung benachbart zu der Durchkontaktierung auf dem Trägersubstrat; und Verkapseln der Durchkontaktierung und der ersten photonischen integrierten Schaltungsanordnung mit einem Verkapselungsmaterial, wobei das Bilden der ersten Umverteilungsschicht die erste Umverteilungsschicht über dem Füllmaterial bildet.Procedure according to Claim 1 , further comprising: forming a via on a carrier substrate; Arranging the first photonic integrated circuit device adjacent to the via on the carrier substrate; and encapsulating the via and the first photonic integrated circuit arrangement with an encapsulation material, wherein the formation of the first redistribution layer forms the first redistribution layer over the filler material. Verfahren nach Anspruch 2, ferner umfassend: vor dem Bilden der ersten Umverteilungsschicht, Planarisieren des Füllmaterials, der Durchkontaktierung und des Verkapselungsmaterials.Procedure according to Claim 2 , further comprising: prior to forming the first redistribution layer, planarizing the fill material, the via, and the encapsulation material. Verfahren nach Anspruch 3, ferner umfassend: Bilden einer zweiten Umverteilungsschicht auf einer Seite der ersten photonischen integrierten Schaltungsanordnung, die der ersten Umverteilungsschicht entgegengesetzt ist.Procedure according to Claim 3 , further comprising: forming a second redistribution layer on a side of the first photonic integrated circuit arrangement that is opposite to the first redistribution layer. Verfahren nach Anspruch 4 ferner umfassend: Anbringen einer ersten elektronischen integrierten Schaltung an der ersten Umverteilungsschicht.Procedure according to Claim 4 further comprising: attaching a first electronic integrated circuit to the first redistribution layer. Verfahren nach einem der vorstehenden Ansprüche, wobei das Füllmaterial Polyimid enthält.A method according to any preceding claim, wherein the filler material contains polyimide. Halbleitervorrichtung aufweisend: eine erste photonische integrierte Schaltung aufweisend: - ein Halbleitersubstrat; - einen Wellenleiter, der innerhalb des Halbleitersubstrats gebildet ist; - einen Gitterkoppler, der innerhalb des Halbleitersubstrats gebildet ist; - ein Füllmaterial, das über dem Gitterkoppler liegt; und - externe Kontakte, die planar mit dem Füllmaterial sind; und eine Umverteilungsschicht, die über dem Füllmaterial und den externen Kontakten liegt.A semiconductor device comprising: a first photonic integrated circuit comprising: - a semiconductor substrate; a waveguide formed within the semiconductor substrate; a grating coupler formed within the semiconductor substrate; a filler material overlying the grating coupler; and external contacts that are planar with the filler material; and a redistribution layer overlying the filler material and external contacts. Halbleitervorrichtung nach Anspruch 7, ferner aufweisend ein Verkapselungsmaterial, das die erste photonische integrierte Schaltung umgibt.Semiconductor device according to Claim 7 , further comprising an encapsulation material surrounding the first photonic integrated circuit. Halbleitervorrichtung nach Anspruch 8, ferner aufweisend Durchkontaktierungen, die sich von einer ersten Seite des Verkapselungsmaterials zu einer zweiten Seite des Verkapselungsmaterials erstrecken.Semiconductor device according to Claim 8 , further comprising vias extending from a first side of the encapsulation material to a second side of the encapsulation material. Halbleitervorrichtung nach Anspruch 9, ferner aufweisend eine elektronische integrierte Schaltung, die an die Umverteilungsschicht gebondet ist.Semiconductor device according to Claim 9 , further comprising an electronic integrated circuit bonded to the redistribution layer. Halbleitervorrichtung nach Anspruch 9 oder 10, ferner aufweisend einen ersten Halbleiter-Die innerhalb des Verkapselungsmaterials.Semiconductor device according to Claim 9 or 10 , further comprising a first semiconductor die within the encapsulation material. Halbleitervorrichtung nach Anspruch 11, ferner aufweisend eine elektronische integrierte Schaltung, die an die Umverteilungsschicht gebondet ist.Semiconductor device according to Claim 11 , further comprising an electronic integrated circuit bonded to the redistribution layer. Halbleitervorrichtung nach einem der Ansprüche 7 bis 12, ferner aufweisend eine optische Faser, die über der Umverteilungsschicht angeordnet ist.Semiconductor device according to one of the Claims 7 until 12th , further comprising an optical fiber disposed over the redistribution layer. Halbleitervorrichtung nach einem der vorstehenden Ansprüche 7 bis 13, wobei das Füllmaterial Polyimid ist.Semiconductor device according to one of the preceding Claims 7 until 13th , wherein the filler material is polyimide. Halbleitervorrichtung aufweisend: eine photonische integrierte Schaltung, aufweisend: - einen ersten Wellenleiter über einem Substrat; und - einen zweiten Wellenleiter zumindest teilweise über dem ersten Wellenleiter; und eine Umverteilungsschicht, die über dem zweiten Wellenleiter liegt, wobei die Umverteilungsschicht eine Oberfläche aufweist, die koplanar mit einer Oberfläche des zweiten Wellenleiters ist.A semiconductor device comprising: a photonic integrated circuit, comprising: a first waveguide over a substrate; and a second waveguide at least partially over the first waveguide; and a redistribution layer overlying the second waveguide, the redistribution layer having a surface coplanar with a surface of the second waveguide. Halbleitervorrichtung nach Anspruch 15, ferner aufweisend: ein Verkapselungsmaterial, das die photonische integrierte Schaltung verkapselt; und Durchkontaktierungen, die sich durch das Verkapselungsmaterial erstrecken.Semiconductor device according to Claim 15 , further comprising: an encapsulation material that encapsulates the photonic integrated circuit; and vias extending through the encapsulation material. Halbleitervorrichtung nach Anspruch 16, ferner aufweisend einen ersten Halbleiter-Die innerhalb des Verkapselungsmaterials.Semiconductor device according to Claim 16 , further comprising a first semiconductor die within the encapsulation material. Halbleitervorrichtung nach Anspruch 17, ferner aufweisend eine zweite Umverteilungsschicht, die auf einer Seite des ersten Halbleiter-Dies angeordnet ist, die der Umverteilungsschicht entgegengesetzt ist.Semiconductor device according to Claim 17 , further comprising a second redistribution layer which is arranged on a side of the first semiconductor die that is opposite to the redistribution layer. Halbleitervorrichtung nach Anspruch 17 oder 18, wobei der erste Wellenleiter ein Silizium-Wellenleiter ist und der zweite Wellenleiter ein Polymer-Wellenleiter ist.Semiconductor device according to Claim 17 or 18th , wherein the first waveguide is a silicon Is waveguide and the second waveguide is a polymer waveguide. Halbleitervorrichtung nach Anspruch 19, ferner aufweisend: ein dielektrisches Material, das zwischen dem zweiten Wellenleiter und dem Verkapselungsmaterial angeordnet ist; und eine optische Faser benachbart zu dem zweiten Wellenleiter.Semiconductor device according to Claim 19 , further comprising: a dielectric material disposed between the second waveguide and the encapsulation material; and an optical fiber adjacent the second waveguide.
DE102020120097.8A 2020-01-22 2020-07-30 SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD Pending DE102020120097A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062964375P 2020-01-22 2020-01-22
US62/964,375 2020-01-22
US16/930,702 2020-07-16
US16/930,702 US11614592B2 (en) 2020-01-22 2020-07-16 Semiconductor devices and methods of manufacture

Publications (1)

Publication Number Publication Date
DE102020120097A1 true DE102020120097A1 (en) 2021-07-22

Family

ID=76650413

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020120097.8A Pending DE102020120097A1 (en) 2020-01-22 2020-07-30 SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD

Country Status (1)

Country Link
DE (1) DE102020120097A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020128429B4 (en) 2020-03-27 2023-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Package device with optical path and method for producing same
US11899242B2 (en) 2020-03-27 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a packaged device with optical pathway

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020128429B4 (en) 2020-03-27 2023-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Package device with optical path and method for producing same
US11899242B2 (en) 2020-03-27 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a packaged device with optical pathway

Similar Documents

Publication Publication Date Title
DE102019105763B4 (en) INTEGRATED PHOTONIC PACKAGE AND METHOD FOR PRODUCING SAME
DE102019117283B4 (en) Optical transceiver and manufacturing process therefor
DE102014117649B4 (en) Semiconductor packaging system and method
DE102019115275A1 (en) Semiconductor interconnect structure and method
DE102015105981A1 (en) Housing and method for forming housings
DE102019118492A1 (en) EMBEDDED VOLTAGE REGULATOR STRUCTURE AND METHOD FOR MAKING SAME
DE102018121879B4 (en) Method for manufacturing a semiconductor package
DE102016100021A1 (en) Housing structures and methods of their manufacture
DE102020119295B4 (en) SEMICONDUCTOR COMPONENTS AND METHODS OF MANUFACTURING THE SAME
DE102019117199A1 (en) FAN-OUT PACKAGES AND METHOD FOR THE PRODUCTION THEREOF
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019114074A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020130996A1 (en) SEMICONDUCTOR PACKAGE AND METHOD FOR MANUFACTURING IT
DE102020119103A1 (en) PHOTONIC SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
DE102018124848A1 (en) Package structure and procedure
DE102021115388A1 (en) CHIPLET INTERPOSER
DE102021119243A1 (en) SHAPED THIS INTO SEMICONDUCTOR PACKAGES AND THEIR MANUFACTURING PROCESSES
DE102020120097A1 (en) SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
DE102017126181A1 (en) Conductive vias in semiconductor packages and methods of making same
DE102009047872B4 (en) Semiconductor device with a buried waveguide for the intra-component optical communication
DE102019125790A1 (en) INTEGRATED CIRCUIT PACKAGE AND PROCEDURE
DE102019118466A1 (en) SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
DE102018123492A1 (en) SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102020106799A1 (en) SEMICONDUCTOR COMPONENTS AND METHOD OF MANUFACTURING

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication