[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

CN117320540A - Semiconductor structure and manufacturing method thereof - Google Patents

Semiconductor structure and manufacturing method thereof Download PDF

Info

Publication number
CN117320540A
CN117320540A CN202311143147.1A CN202311143147A CN117320540A CN 117320540 A CN117320540 A CN 117320540A CN 202311143147 A CN202311143147 A CN 202311143147A CN 117320540 A CN117320540 A CN 117320540A
Authority
CN
China
Prior art keywords
layer
conductor plate
dielectric layer
dielectric
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311143147.1A
Other languages
Chinese (zh)
Inventor
余立中
蔡欣宏
侯承浩
沈香谷
黄镇球
陈殿豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/188,196 external-priority patent/US20240088204A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117320540A publication Critical patent/CN117320540A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D1/00Resistors, capacitors or inductors
    • H10D1/60Capacitors
    • H10D1/68Capacitors having no potential barriers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D1/00Resistors, capacitors or inductors
    • H10D1/60Capacitors
    • H10D1/68Capacitors having no potential barriers
    • H10D1/692Electrodes

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor structure and a method of manufacturing the same are provided. An exemplary method includes depositing a first conductive material layer on a substrate, patterning the first conductive material to form a first conductor plate over the substrate, forming a first high-K dielectric layer on the first conductor plate, forming a second high-K dielectric layer on the first high-K dielectric layer, forming a third high-K dielectric layer on the second high-K dielectric layer, and forming a second conductor plate over the third high-K dielectric layer and vertically overlapping the first conductor plate, wherein a composition of the first high-K dielectric layer is the same as a composition of the third high-K dielectric layer and different from a composition of the second high-K dielectric layer.

Description

半导体结构及其制造方法Semiconductor structures and manufacturing methods

技术领域Technical field

本申请的实施例涉及半导体结构及其制造方法。Embodiments of the present application relate to semiconductor structures and methods of fabricating the same.

背景技术Background technique

半导体集成电路(IC)行业经历了快速增长。集成电路材料和设计的技术进步产生了一代又一代的集成电路,每一代的电路都比上一代更小、更复杂。然而,这些进步增加了处理和制造IC的复杂性,为了实现这些进步,需要在IC处理和制造方面进行类似的发展。在IC发展的过程中,功能密度(即每个芯片面积互连器件的数量)通常增加,而几何尺寸(即可以使用制造工艺创建的最小组件)减少。The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in integrated circuit materials and design have produced successive generations of integrated circuits, each smaller and more complex than the last. However, these advances have increased the complexity of handling and manufacturing ICs, and to achieve these advances, similar developments in IC handling and manufacturing are required. As ICs evolve, functional density (i.e., the number of interconnected devices per chip area) typically increases while geometric size (i.e., the smallest component that can be created using a manufacturing process) decreases.

随着IC器件几何尺寸的减小,需要将大表面积的无源器件移动到后端制程(BEOL)结构。金属-绝缘体-金属(MIM)电容器是此类无源器件的示例之一。典型的MIM电容器包括通过多个导体板,多个导体板通过多个绝缘体层彼此绝缘。尽管现有的MIM电容器及其制造工艺通常足以达到其预期目的,但它们在各个方面不都完全令人满意。As IC device geometries decrease, there is a need to move large surface area passive components into back-end-of-line (BEOL) structures. Metal-insulator-metal (MIM) capacitors are one example of such passive devices. A typical MIM capacitor consists of multiple conductor plates that are insulated from each other by multiple insulator layers. Although existing MIM capacitors and their manufacturing processes are generally adequate for their intended purposes, they are not entirely satisfactory in every respect.

发明内容Contents of the invention

根据本申请的实施例的一个方面,提供了一种制造半导体结构的方法,包括:在衬底上方沉积第一导电材料层;图案化第一导电材料层以在衬底上方形成第一导体板;在第一导体板上方形成第一高K介电层;在第一高K介电层上形成第二高K介电层;在第二高K介电层上形成第三高K介电层;以及形成位于第三高K介电层上方并与第一导体板垂直重叠的第二导体板,其中,第一高K介电层的组成与第三高K介电层的组成相同,并且与第二高K介电层的组成不同。According to one aspect of an embodiment of the present application, a method of manufacturing a semiconductor structure is provided, including: depositing a first conductive material layer over a substrate; patterning the first conductive material layer to form a first conductor plate over the substrate ; forming a first high-K dielectric layer over the first conductor plate; forming a second high-K dielectric layer on the first high-K dielectric layer; forming a third high-K dielectric layer on the second high-K dielectric layer layer; and forming a second conductor plate above and vertically overlapping the first conductor plate, wherein the composition of the first high-K dielectric layer is the same as the composition of the third high-K dielectric layer, And the composition is different from the second high-K dielectric layer.

根据本申请的实施例的另一个方面,提供了一种制造半导体结构的方法,包括:在衬底上方的第一绝缘层上形成第一导体板;形成沿着第一导体板的顶表面和侧壁表面延伸的第二绝缘层;在第一导体板上方共形地形成多层介电结构,其中,多层介电结构与第一绝缘层和第二绝缘层均直接接触,并且其中多层介电结构由高K介电层形成;以及形成位于多层介电结构上方并与第一导体板垂直重叠的第二导体板。According to another aspect of an embodiment of the present application, a method of manufacturing a semiconductor structure is provided, including: forming a first conductor plate on a first insulating layer over a substrate; forming a top surface along the first conductor plate and a second insulating layer extending from the sidewall surface; a multi-layer dielectric structure is conformally formed above the first conductor plate, wherein the multi-layer dielectric structure is in direct contact with both the first insulating layer and the second insulating layer, and wherein multiple A layer dielectric structure is formed from a high-K dielectric layer; and a second conductor plate is formed over the multi-layer dielectric structure and vertically overlapping the first conductor plate.

根据本申请的实施例的又一个方面,提供了一种半导体结构,该半导体结构包括位于衬底上方的第一绝缘层上的金属-绝缘体-金属(MIM)电容器。MIM电容器包括:第一导体板,位于第一绝缘层上,第二绝缘层,沿着第一导体板的侧壁和顶表面延伸并在第一导体板的侧壁和顶表面上延伸,共形介电结构,位于衬底和第一导体板上方,其中,共形介电结构由多个高K介电层形成,以及第二导体板,位于共形介电结构上方并与第一导体板垂直重叠,其中,共形介电结构与第二绝缘层和第一绝缘层均直接接触。According to yet another aspect of embodiments of the present application, a semiconductor structure is provided that includes a metal-insulator-metal (MIM) capacitor on a first insulating layer over a substrate. The MIM capacitor includes: a first conductor plate located on a first insulating layer, and a second insulating layer extending along and on the side walls and top surface of the first conductor plate. a conformal dielectric structure over the substrate and a first conductor plate, wherein the conformal dielectric structure is formed from a plurality of high-K dielectric layers, and a second conductor plate over the conformal dielectric structure and connected to the first conductor plate The plates overlap vertically, with the conformal dielectric structure in direct contact with both the second insulating layer and the first insulating layer.

附图说明Description of drawings

当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。Aspects of the invention are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be emphasized that, in accordance with standard practice in the industry, various components are not drawn to scale and are for illustration purposes only. Indeed, the dimensions of the various components may be arbitrarily increased or reduced for clarity of discussion.

图1是根据本公开的各个方面的制造半导体结构的方法的流程图。1 is a flow diagram of a method of fabricating a semiconductor structure in accordance with various aspects of the present disclosure.

图2、图3、图4、图5、图6、图7、图8、图9、图10、图11、图12、图13、图14、图15和图16是根据本公开的各个方面在图1的方法的各个制造阶段期间的工件的局部截面图。Figures 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, and 16 are various diagrams according to the present disclosure. Partial cross-sectional view of a workpiece during various manufacturing stages of the method of FIG. 1 .

图17和图18是根据本公开的各个方面在图1的方法的各个制造阶段期间的替代工件的局部截面图。17 and 18 are partial cross-sectional views of alternative workpieces during various manufacturing stages of the method of FIG. 1 in accordance with various aspects of the present disclosure.

图19是根据本公开的各个方面的用于制造另一半导体结构的方法的流程图。19 is a flow diagram of a method for fabricating another semiconductor structure in accordance with various aspects of the present disclosure.

图20、图21和图22是根据本公开的各个方面在图19的方法的各个制造阶段期间的工件的局部截面图。20, 21, and 22 are partial cross-sectional views of a workpiece during various manufacturing stages of the method of FIG. 19 in accordance with various aspects of the present disclosure.

图23和图24是根据本公开的各个方面在图19的方法的各个制造阶段期间的替代工件的局部截面图。23 and 24 are partial cross-sectional views of alternative workpieces during various manufacturing stages of the method of FIG. 19 in accordance with various aspects of the present disclosure.

具体实施方式Detailed ways

以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然,这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个示例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示讨论的各个实施例和/或配置之间的关系。The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the invention. Of course, these are examples only and not intended to be limiting. For example, in the following description, forming the first component over or on the second component may include an embodiment in which the first component and the second component are formed in direct contact, and may also include an embodiment in which the first component and the second component may be formed in direct contact. Additional components so that the first component and the second component may not be in direct contact. Furthermore, the present invention may repeat reference numbers and/or letters in various examples. This repetition is for simplicity and clarity and does not by itself indicate a relationship between the various embodiments and/or configurations discussed.

此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的间隔关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,间隔关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的间隔关系描述符可以同样地作相应地解释。In addition, for convenience of description, spaced relational terms such as “below”, “below”, “lower”, “above”, “upper”, etc. may be used herein to describe what is shown in the figures. The relationship of one element or component to another element or component. The spacing relation terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spacing relation descriptors used herein interpreted accordingly.

此外,当用“约”、“近似”等来描述一个数字或数字范围时,该术语旨在涵盖在考虑到本领域普通技术人员所理解的制造过程中固有出现的变化的合理范围内的数字。例如,基于与制造具有与数字相关的特性的特征相关的已知制造公差,数字的数量或范围涵盖所描述的数字的合理范围,包括,例如在所描述数字的+/-10%以内。例如,厚度为“约5nm”的材料层可以包含4.25nm至5.75nm的尺寸范围,其中与沉积材料层相关的制造公差是本领域普通技术人员已知的+/-15%。此外,本公开可以在各种示例中重复参考标号和/或字母。这种重复是出于简单和清晰的目的,并且其本身并不限定所讨论的各种实施例和/或配置之间的关系。Furthermore, when "about," "approximately," etc. are used to describe a number or range of numbers, the term is intended to encompass numbers that are within a reasonable range taking into account the variations inherent in the manufacturing process as understood by those of ordinary skill in the art. . For example, the number or range of a number encompasses a reasonable range of the number described, including, for example, within +/- 10% of the number described, based on known manufacturing tolerances associated with manufacturing features having characteristics associated with the number. For example, a layer of material having a thickness of "about 5 nm" may comprise a size range of 4.25 nm to 5.75 nm, where the manufacturing tolerance associated with depositing the material layer is +/- 15% known to one of ordinary skill in the art. Furthermore, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for simplicity and clarity and does not in itself limit the relationship between the various embodiments and/or configurations discussed.

金属-绝缘体-金属(MIM,Metal-Insulator-Metal)电容器已广泛用于功能电路,如混合信号电路、模拟电路、射频(RF)电路、动态随机存取存储器(DRAM)和逻辑运算电路。在片上系统(SOC)应用中,用于不同功能电路的不同电容器必须集成在同一芯片上,以达到不同的目的。例如,在混合信号电路中,电容器被用作去耦电容器和高频噪声滤波器。对于DRAM和嵌入式DRAM电路,电容器用于存储器存储,而对于RF电路,在振荡器和相移网络中使用电容器以实现耦合和/或旁路目的。对于微处理器,电容器用于去耦。顾名思义,MIM电容器包括交错的金属层和绝缘体层的夹层结构。示例MIM电容器包括多个导体板,每个导体板通过绝缘体层与相邻导体板绝缘。如今,MIM电容器也在高性能计算(HPC,high-performance computing)中实施。在HPC中实施的那些MIM电容器可能需要高电容。尽管现有的MIM电容器在提供高电容方面可能是令人满意的,但由于设置在两个相邻导体板之间的绝缘体层会经历与时间相关介电击穿(TDDB)故障,因此它们的寿命可能很短。Metal-Insulator-Metal (MIM, Metal-Insulator-Metal) capacitors have been widely used in functional circuits, such as mixed-signal circuits, analog circuits, radio frequency (RF) circuits, dynamic random access memory (DRAM) and logic operation circuits. In system-on-chip (SOC) applications, different capacitors for different functional circuits must be integrated on the same chip to achieve different purposes. For example, in mixed-signal circuits, capacitors are used as decoupling capacitors and high-frequency noise filters. For DRAM and embedded DRAM circuits, capacitors are used for memory storage, while for RF circuits, capacitors are used in oscillators and phase-shifting networks for coupling and/or bypass purposes. For microprocessors, capacitors are used for decoupling. As the name suggests, MIM capacitors consist of a sandwich structure of interleaved metal and insulator layers. An example MIM capacitor includes a plurality of conductor plates, each conductor plate being insulated from adjacent conductor plates by a layer of insulator. Today, MIM capacitors are also implemented in high-performance computing (HPC). Those MIM capacitors implemented in HPC may require high capacitance. Although existing MIM capacitors may be satisfactory in providing high capacitance, their performance suffers from time-dependent dielectric breakdown (TDDB) failure due to the insulator layer disposed between two adjacent conductor plates. Lifespan may be short.

本公开提供了具有改进的TDDB性能的金属-绝缘体-金属(MIM)电容器及其形成方法。MIM电容器包括设置在两个相邻导体板之间的多层绝缘体结构。在示例性实施例中,形成MIM电容器的方法包括在衬底上沉积第一导电层,执行蚀刻工艺以图案化第一导电层以形成第一导体板,对第一导体板执行氮化处理,在第一导体板上形成第一氧化铪锆(HZO,hafnium-zirconium oxide)层,在第一氧化铪锆(HZO)层上形成氧化钛层或氧化铝层,然后在氧化钛层或者氧化铝层上形成第二氧化铪锆层。通过在第一和第二氧化铪锆层之间插入氧化钛层或氧化铝层,第一和第二氧化铪锆层中的缺陷可能不太容易连接(linked)。这样,可以减少或消除沿着第一和第二氧化铪锆层的晶界的导电路径。因此,有利地改善了MIM电容器的TDDB性能。The present disclosure provides metal-insulator-metal (MIM) capacitors with improved TDDB performance and methods of forming the same. MIM capacitors include a multilayer insulator structure disposed between two adjacent conductor plates. In an exemplary embodiment, a method of forming a MIM capacitor includes depositing a first conductive layer on a substrate, performing an etching process to pattern the first conductive layer to form a first conductor plate, performing a nitriding process on the first conductor plate, A first hafnium-zirconium oxide (HZO) layer is formed on the first conductor plate, a titanium oxide layer or an aluminum oxide layer is formed on the first hafnium-zirconium oxide (HZO) layer, and then a titanium oxide layer or aluminum oxide layer is formed on the first conductor plate. A second hafnium zirconium oxide layer is formed on the second layer. By interposing a titanium oxide or aluminum oxide layer between the first and second hafnium zirconium oxide layers, defects in the first and second hafnium zirconium oxide layers may be less easily linked. In this way, conductive paths along the grain boundaries of the first and second hafnium zirconium oxide layers may be reduced or eliminated. Therefore, the TDDB performance of the MIM capacitor is advantageously improved.

现在将参考附图更详细地描述本公开的各个方面。在这方面,图1是示出根据本公开的实施例的用于制造半导体结构的方法100的流程图。下面结合图2-图18描述方法100,图2-图18是根据方法100的实施例的在不同制造阶段的工件200的局部截面图。图19是示出根据本公开的实施例的用于制造半导体结构的方法300的流程图。下面结合图1-图18和图20-图24描述方法300,图2-图24是根据方法300的实施例的工件200’在不同制造阶段的局部截面图。由于工件200/200’将在制造工艺结束时被制造成半导体结构,因此根据上下文需要,工件也可以被称为半导体结构200/200’。方法100和300仅仅是示例,并不旨在将本公开限制于其中明确示出的内容。可以在方法100/300之前、期间和之后提供附加的步骤,并且对于该方法的附加实施例,所描述的一些步骤可以被替换、消除或移动。出于简单的原因,这里并没有详细描述所有的步骤。此外,在整个本申请中,除非另有规定,否则相同的附图标号表示相同的部件。Various aspects of the present disclosure will now be described in greater detail with reference to the accompanying drawings. In this regard, FIG. 1 is a flowchart illustrating a method 100 for fabricating a semiconductor structure in accordance with an embodiment of the present disclosure. Method 100 is described below in conjunction with FIGS. 2-18 , which are partial cross-sectional views of workpiece 200 at various stages of manufacturing according to embodiments of method 100 . 19 is a flowchart illustrating a method 300 for fabricating a semiconductor structure in accordance with an embodiment of the present disclosure. The method 300 is described below in conjunction with Figures 1-18 and 20-24, which are partial cross-sectional views of the workpiece 200' at different manufacturing stages according to embodiments of the method 300. Since the workpiece 200/200' will be fabricated into a semiconductor structure at the end of the manufacturing process, the workpiece may also be referred to as a semiconductor structure 200/200' depending on context. Methods 100 and 300 are examples only and are not intended to limit the disclosure to what is expressly shown therein. Additional steps may be provided before, during, and after method 100/300, and some of the steps described may be replaced, eliminated, or moved for additional embodiments of the method. For reasons of simplicity, not all steps are described in detail here. Furthermore, throughout this application, like reference numerals refer to like parts unless otherwise specified.

参考图1和图2,方法100包括提供工件200的方框102。工件200包括衬底202,衬底202可以由硅或诸如锗的其他半导体材料制成。衬底202还可以包括化合物半导体,诸如碳化硅、砷化镓、砷化铟或磷化铟。在一些实施例中,衬底202可以包括合金半导体,诸如硅锗、碳化硅锗、磷化镓砷或磷化镓铟。在一些实施例中,衬底202可以包括外延层,诸如覆盖体半导体的外延层。可以在衬底202中或衬底202上形成各种微电子部件,例如晶体管部件,晶体管部件包括源极/漏极部件、栅极结构、栅极间隔件、源极/漏极接触件、栅极接触件、包括浅沟槽隔离(STI)的隔离结构、或任何其他合适的部件。取决于上下文,源极/漏极部件可以单独或共同地指源极或漏极。在衬底202上形成的晶体管可以是平面器件或多栅极器件。多栅极器件例如包括鳍状场效应晶体管(FinFET)或多桥沟道(MBC)晶体管。FinFET具有在多个侧面上由栅极包裹的抬升沟道(例如,栅极包裹从衬底延伸的半导体材料“鳍”的顶部和侧壁)。MBC晶体管具有可以部分地或完全地围绕沟道区延伸的栅极结构,以在两侧或更多侧上提供对沟道区的通路。由于其栅极结构围绕沟道区,MBC晶体管也可以被称为环栅晶体管(SGT)或全环栅(GAA)晶体管。Referring to FIGS. 1 and 2 , method 100 includes block 102 of providing workpiece 200 . Workpiece 200 includes substrate 202, which may be made of silicon or other semiconductor material such as germanium. Substrate 202 may also include a compound semiconductor such as silicon carbide, gallium arsenide, indium arsenide, or indium phosphide. In some embodiments, substrate 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. In some embodiments, substrate 202 may include an epitaxial layer, such as an epitaxial layer covering the bulk semiconductor. Various microelectronic components may be formed in or on substrate 202, such as transistor components including source/drain components, gate structures, gate spacers, source/drain contacts, gate pole contacts, isolation structures including shallow trench isolation (STI), or any other suitable component. Depending on the context, the source/drain components may individually or collectively refer to source or drain. Transistors formed on substrate 202 may be planar devices or multi-gate devices. Multi-gate devices include, for example, fin field effect transistors (FinFETs) or multi-bridge channel (MBC) transistors. FinFETs have a raised channel that is wrapped on multiple sides by a gate (for example, the gate wraps the top and sidewalls of a "fin" of semiconductor material that extends from the substrate). MBC transistors have a gate structure that may extend partially or completely around the channel region to provide access to the channel region on two or more sides. Since its gate structure surrounds the channel region, the MBC transistor may also be called a gate-all-around transistor (SGT) or a gate-all-around (GAA) transistor.

工件200还包括多层互连(MLI)结构210,其在工件200的各种微电子部件之间提供互连(例如布线)。MLI结构210也可以被称为互连结构210。MLI结构210可以包括多个金属层或金属化层。在一些情况下,MLI结构210可以包括八(8)到十四(14)个金属层。每个金属层包括嵌入金属间介电(IMD)层中的多个导电部件。导电部件可以包括接触件、通孔或金属线。IMD层可以是氧化硅或含氧化硅的材料,其中硅以各种合适的形式存在。例如,IMD层包括氧化硅或k值(介电常数)小于氧化硅的k值(约3.9)的低k介电材料。在一些实施例中,低k介电材料包括原硅酸四乙酯(TEOS)氧化物,未掺杂硅酸盐玻璃,掺杂硅氧化物(诸如硼磷硅酸盐玻璃(BPSG)、熔融硅玻璃(FSG)、磷硅酸盐玻璃,氮碳氧化硅(SiOCN)、旋涂硅基聚合物电介质),其组合或其他合适的材料。Workpiece 200 also includes a multi-layer interconnect (MLI) structure 210 that provides interconnections (eg, wiring) between the various microelectronic components of workpiece 200 . MLI structure 210 may also be referred to as interconnect structure 210 . MLI structure 210 may include multiple metal layers or metallization layers. In some cases, MLI structure 210 may include eight (8) to fourteen (14) metal layers. Each metal layer includes a plurality of conductive features embedded in an inter-metal dielectric (IMD) layer. Conductive components may include contacts, vias, or metal lines. The IMD layer may be silicon oxide or a silicon oxide-containing material, where silicon is present in various suitable forms. For example, the IMD layer includes silicon oxide or a low-k dielectric material with a k value (dielectric constant) less than that of silicon oxide (approximately 3.9). In some embodiments, the low-k dielectric material includes tetraethylorthosilicate (TEOS) oxide, undoped silicate glass, doped silicon oxide such as borophosphosilicate glass (BPSG), fused Silica glass (FSG), phosphosilicate glass, silicon oxycarbonitride (SiOCN), spin-on silicon-based polymer dielectric), combinations thereof, or other suitable materials.

在一个实施例中,在MLI结构210上沉积碳化物层220。沉积工艺包括化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)或其组合。在碳化物层220中可以使用任何合适类型的碳化物材料,诸如碳化硅(SiC)。In one embodiment, a carbide layer 220 is deposited on the MLI structure 210 . Deposition processes include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or combinations thereof. Any suitable type of carbide material may be used in carbide layer 220, such as silicon carbide (SiC).

在实施例中,氧化物层230沉积在碳化物层220上。可以使用用于氧化物层230的任何合适的沉积工艺,包括CVD、可流动CVD(FCVD)、旋涂、PVD、ALD或其组合。在一个实施例中,氧化物层230包括未掺杂的氧化硅。In an embodiment, oxide layer 230 is deposited on carbide layer 220 . Any suitable deposition process for oxide layer 230 may be used, including CVD, flowable CVD (FCVD), spin coating, PVD, ALD, or combinations thereof. In one embodiment, oxide layer 230 includes undoped silicon oxide.

工件200还包括沉积在氧化物层230上的第一蚀刻停止层(ESL)240。第一ESL 240可以包括碳氮化硅(SiCN)、碳氧化硅(SiOC)、碳化硅(SiC)、碳氮氧化硅(SiOCN)或氮化硅(SiN)、或其组合,并且可以通过化学气相沉积(CVD)、物理气相淀积(PVD)、原子层沉积(ALD)或其组合形成。Workpiece 200 also includes a first etch stop layer (ESL) 240 deposited on oxide layer 230 . The first ESL 240 may include silicon nitride carbon (SiCN), silicon oxycarbide (SiOC), silicon carbide (SiC), silicon oxycarbonitride (SiOCN), or silicon nitride (SiN), or a combination thereof, and may be chemically Formed by vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD) or a combination thereof.

工件200还包括沉积在第一ESL240上的介电层250。介电层250的组成可以类似于氧化物层230的组成。在一些实施例中,介电层250包括未掺杂的石英玻璃(USG)或氧化硅。介电层250可以使用CVD、可流动CVD(FCVD)、旋涂、PVD、ALD或其组合来沉积。Workpiece 200 also includes dielectric layer 250 deposited on first ESL 240 . The composition of dielectric layer 250 may be similar to the composition of oxide layer 230 . In some embodiments, dielectric layer 250 includes undoped quartz glass (USG) or silicon oxide. Dielectric layer 250 may be deposited using CVD, flowable CVD (FCVD), spin coating, PVD, ALD, or a combination thereof.

工件200还包括形成在介电层250中的多个下接触部件(例如,下接触部件253、下接触部件254和下接触部件255)。下接触部件的形成可以包括介电层250的图案化以形成沟槽,以及在沟槽中沉积阻挡层(未单独标记)和金属填充层(未单独标记)。在一些实施例中,阻挡层可以包括氮化钛或氮化钽,并且可以使用PVD、CVD、金属有机CVD(MOCVD)或合适的方法共形地沉积。在一个实施例中,阻挡层可以包括氮化钽。金属填充层可以包括铜(Cu),并且可以使用电镀或化学镀来沉积。在沉积阻挡层和金属填充层之后,可以执行平坦化工艺,诸如化学机械平坦化(CMP)工艺,以去除过量的阻挡层和金属填充层,从而形成下接触部件253、254和255。尽管下接触部件253、254和255设置在上接触部件(例如上接触部件292、294)下方,但是下接触部件253、254和255有时被称为顶部金属(TM)接触件。Workpiece 200 also includes a plurality of lower contact features (eg, lower contact features 253 , 254 , and 255 ) formed in dielectric layer 250 . Formation of the lower contact features may include patterning of dielectric layer 250 to form trenches, and depositing barrier layers (not separately labeled) and metal fill layers (not separately labeled) in the trenches. In some embodiments, the barrier layer may include titanium nitride or tantalum nitride and may be conformally deposited using PVD, CVD, metal-organic CVD (MOCVD), or a suitable method. In one embodiment, the barrier layer may include tantalum nitride. The metal fill layer may include copper (Cu) and may be deposited using electroplating or electroless plating. After depositing the barrier and metal fill layers, a planarization process, such as a chemical mechanical planarization (CMP) process, may be performed to remove excess barrier and metal fill layers to form lower contact features 253, 254, and 255. Although lower contact members 253, 254, and 255 are disposed below upper contact members (eg, upper contact members 292, 294), lower contact members 253, 254, and 255 are sometimes referred to as top metal (TM) contacts.

工件200还包括直接形成在介电层250上的第二蚀刻停止层256。在实施例中,第二蚀刻停止层256通过化学气相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)或其组合沉积在介电层250上。第二蚀刻停止层256可以包括碳氮化硅(SiCN)、氮化硅(SiN)、其他合适的材料或其组合。在本实施例中,第二蚀刻停止层256与下接触部件253、254和255的顶表面直接接触。Workpiece 200 also includes a second etch stop layer 256 formed directly on dielectric layer 250 . In embodiments, the second etch stop layer 256 is deposited on the dielectric layer 250 by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or a combination thereof. The second etch stop layer 256 may include silicon carbonitride (SiCN), silicon nitride (SiN), other suitable materials, or combinations thereof. In this embodiment, the second etch stop layer 256 is in direct contact with the top surfaces of the lower contact features 253, 254, and 255.

工件200还包括直接形成在第二蚀刻停止层256上的氧化物层258。在实施例中,氧化物层258可以包括未掺杂的石英玻璃(USG)、氧化硅或其他合适的材料。Workpiece 200 also includes an oxide layer 258 formed directly on second etch stop layer 256 . In embodiments, oxide layer 258 may include undoped quartz glass (USG), silicon oxide, or other suitable materials.

参考图1和图3,方法100包括方框104,其中第一导电层262直接形成在氧化物层258上。第一导电层262可以使用PVD、CVD或MOCVD沉积在氧化物层258上,并且可以覆盖工件200的整个顶表面。在一些实施例中,第一导电层262可以包括钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)、铜(Cu)、钴(Co)、镍(Ni)、钨(W)、铝(Al)或其他合适的材料。在一个实施例中,第一导电层262包括氮化钛(TiN)。Referring to FIGS. 1 and 3 , method 100 includes block 104 in which first conductive layer 262 is formed directly on oxide layer 258 . First conductive layer 262 may be deposited on oxide layer 258 using PVD, CVD, or MOCVD, and may cover the entire top surface of workpiece 200 . In some embodiments, the first conductive layer 262 may include titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), copper (Cu), cobalt (Co), nickel (Ni ), tungsten (W), aluminum (Al) or other suitable materials. In one embodiment, first conductive layer 262 includes titanium nitride (TiN).

参考图1和图4,方法100包括方框106,其中图案化第一导电层262,以直接在下接触部件254上形成第一导体板262’。图案化可以包括在第一导电层262上沉积硬掩模层,在硬掩模上形成光刻胶层,使用光刻法图案化光刻胶层,使用图案化的光刻胶层作为蚀刻掩模来蚀刻硬掩模,然后使用图案化的硬掩模作为蚀刻掩模来蚀刻第一导电层262。可以选择性地去除硬掩模层和光刻胶层。在本实施例中,蚀刻第一导电层262和/或去除硬掩模层和光刻胶层形成了氧化物层263。也就是说,暴露于蚀刻剂的第一导体板的顶表面和侧壁表面被氧化,从而形成氧化物层263。如图4所示,氧化物层263沿着第一导体板262’的顶表面和侧壁表面延伸。在第一导电层262包括氮化钛(TiN)的实施例中,氧化物层263包括氧化钛(TiO2)。Referring to FIGS. 1 and 4 , method 100 includes block 106 in which first conductive layer 262 is patterned to form first conductor plate 262 ′ directly on lower contact feature 254 . Patterning may include depositing a hard mask layer on the first conductive layer 262, forming a photoresist layer on the hard mask, patterning the photoresist layer using photolithography, and using the patterned photoresist layer as an etch mask. The patterned hard mask is then used as an etch mask to etch the first conductive layer 262 . The hard mask layer and photoresist layer can be selectively removed. In this embodiment, the oxide layer 263 is formed by etching the first conductive layer 262 and/or removing the hard mask layer and the photoresist layer. That is, the top surface and the sidewall surface of the first conductor plate exposed to the etchant are oxidized, thereby forming the oxide layer 263 . As shown in Figure 4, oxide layer 263 extends along the top and sidewall surfaces of first conductor plate 262'. In embodiments where first conductive layer 262 includes titanium nitride (TiN), oxide layer 263 includes titanium oxide (TiO 2 ).

参考图1和图5,方法100包括方框108,在方框108中对工件200执行氮化处理265,将氧化物层263转化为氮化氧化物层263’,从而提高第一导体板262’和待形成的第一绝缘体结构264(如图6所示)之间的界面处的质量,并提高工件200的最终结构的可靠性。在实施例中,氮化处理265中的氮源包括氮等离子体。氮化处理265可以在约8000sccm至约10000sccm的流量、在约350℃和约450℃之间的温度、并在约200W至约300W的等离子体功率下持续约20秒至60秒,以形成令人满意的氮化层(例如氮化氧化物层263’),而不会损坏前端器件(例如形成在衬底202上的晶体管)。在进行氮化处理265之后,氧化物层263被氮化并成为氮化氧化物层263’。在实施例中,氧化物层263包括氧化钛(TiO2),氮化氧化物层263’包括氮氧化钛(TiON)。在执行氮化处理265之后,第一导体板262’中的氮含量也可以改变。在实施例中,第一导体板262’的上部部分的氮含量高于第一导体板262'的下部部分的氮含量。也就是说,第一导体板262’的上部部分包括富氮氮化钛(TiN),而第一导体板262'的下部可以是少氮(nitrogen poor)。Referring to FIGS. 1 and 5 , the method 100 includes block 108 in which a nitriding process 265 is performed on the workpiece 200 to convert the oxide layer 263 to a nitrided oxide layer 263 ′, thereby enhancing the first conductor plate 262 ' and the first insulator structure 264 (shown in FIG. 6 ) to be formed, and improves the reliability of the final structure of the workpiece 200 . In an embodiment, the nitrogen source in nitridation process 265 includes a nitrogen plasma. The nitriding process 265 may be performed at a flow rate of about 8,000 sccm to about 10,000 sccm, at a temperature between about 350°C and about 450°C, and at a plasma power of about 200W to about 300W for about 20 seconds to 60 seconds to form an impressive A satisfactory nitrided layer (eg, nitrided oxide layer 263') without damaging front-end devices (eg, transistors formed on substrate 202). After performing the nitriding process 265, the oxide layer 263 is nitrided and becomes the nitrided oxide layer 263'. In an embodiment, the oxide layer 263 includes titanium oxide (TiO 2 ), and the nitride oxide layer 263 ′ includes titanium oxynitride (TiON). After performing the nitriding process 265, the nitrogen content in the first conductor plate 262' may also be changed. In an embodiment, the nitrogen content of the upper portion of the first conductor plate 262' is higher than the nitrogen content of the lower portion of the first conductor plate 262'. That is, the upper portion of the first conductor plate 262' includes nitrogen-rich titanium nitride (TiN), while the lower portion of the first conductor plate 262' may be nitrogen poor.

参考图1和图6,方法100包括方框110,在方框110中,在工件200上形成第一绝缘体结构264。在图案化第一导电层262以形成第一导体板262’之后,并且在执行氮化处理265之后,形成第一绝缘体结构264。第一绝缘体结构264共形地形成为在工件200的顶表面上具有大致均匀的厚度(例如,在氮化氧化物层263’的顶表面和侧壁表面上具有大致相同的厚度)。Referring to FIGS. 1 and 6 , method 100 includes block 110 in which first insulator structure 264 is formed on workpiece 200 . After patterning first conductive layer 262 to form first conductor plate 262' and after performing nitridation process 265, first insulator structure 264 is formed. The first insulator structure 264 is conformally formed to have a substantially uniform thickness on the top surface of the workpiece 200 (e.g., substantially the same thickness on the top surface and sidewall surfaces of the nitride oxide layer 263').

在本实施例中,为了提高与时间相关介电击穿(TDDB)性能,从而提高半导体器件(例如,金属-绝缘体-金属电容器)的可靠性,第一绝缘体结构264是多层结构并且包括直接形成在氧化物层258和氮化氧化物层263’上的共形的第一高K介电层264a、直接形成在第一高K介电层264a上的共形的第二高K介电层264b、以及直接形成在第二高K介电层264b上的共形的第三高K介电层264c。在实施例中,使用实现卤化物前体的热原子层沉积(ALD)在约200℃和约400℃之间的温度下沉积第一高K介电层264a、第二高K介电层264b和第三高K介电层264c。热ALD的温度可以低于氮化处理265的温度。共形的第一高K介电层264a与氮化氧化物层263’和氧化物层258直接接触,并且通过氮化氧化物层263’与第一导体板262’间隔开。In this embodiment, in order to improve the time-dependent dielectric breakdown (TDDB) performance and thereby improve the reliability of the semiconductor device (eg, metal-insulator-metal capacitor), the first insulator structure 264 is a multi-layer structure and includes a direct A conformal first high-K dielectric layer 264a formed on the oxide layer 258 and the nitrided oxide layer 263', a conformal second high-K dielectric layer 264a formed directly on the first high-K dielectric layer 264a layer 264b, and a conformal third high-K dielectric layer 264c formed directly on the second high-K dielectric layer 264b. In an embodiment, the first high-K dielectric layer 264a, the second high-K dielectric layer 264b and the Third high-K dielectric layer 264c. The temperature of thermal ALD can be lower than the temperature of nitriding process 265. The conformal first high-K dielectric layer 264a is in direct contact with the nitrided oxide layer 263' and the oxide layer 258 and is spaced from the first conductor plate 262' by the nitrided oxide layer 263'.

第一绝缘体结构264具有总厚度T,并且在实施例中,第一高K介电层264a的组成与第三高K介电层264c的组成相同。与其中绝缘体结构是单层结构并且由具有厚度T的第一高K介电层形成的实施例相比,形成具有小于厚度T的厚度T1的第一高K介电层264a和具有小于厚度T的厚度T3的第三高K介电层264c将有利地减少或阻止第一高K介电层264a与第三高K介电层264c的结晶,从而减少在第一和第三高K介电层中形成导电路径,并提高TDDB性能。在实施例中,第一高K介电层264a和第三高K介电层264c包括氧化铪锆(HZO)。为了提供令人满意的正向偏置相关TDDB和令人满意的反向偏置相关TDDB,厚度T1与厚度T3的比率可以在约0.9和约1.1之间。在实施例中,厚度T1基本上等于厚度T3。在一些实施例中,厚度T1和厚度T3中的每个都大于并且小于/> The first insulator structure 264 has an overall thickness T, and in embodiments, the composition of the first high-K dielectric layer 264a is the same as the composition of the third high-K dielectric layer 264c. Compared to the embodiment in which the insulator structure is a single-layer structure and is formed from a first high-K dielectric layer having a thickness T, the first high-K dielectric layer 264a is formed to have a thickness T1 less than the thickness T and to have a thickness less than the thickness T. The thickness T3 of the third high-K dielectric layer 264c will advantageously reduce or prevent the crystallization of the first high-K dielectric layer 264a and the third high-K dielectric layer 264c, thereby reducing the Conductive paths are formed in the layer and improve TDDB performance. In an embodiment, the first high-K dielectric layer 264a and the third high-K dielectric layer 264c include hafnium zirconium oxide (HZO). In order to provide satisfactory forward bias related TDDB and satisfactory reverse bias related TDDB, the ratio of thickness T1 to thickness T3 may be between about 0.9 and about 1.1. In an embodiment, thickness T1 is substantially equal to thickness T3. In some embodiments, each of thickness T1 and thickness T3 is greater than and less than/>

第一绝缘体结构264还包括夹在第一高K介电层264a和第三高K介电层264c中间的第二高K介电层264b。在实施例中,第二高K介电层264b的介电常数小于第一高K介电层264a和第三高K介电层264c的介电常数。通过在第一高K介电层264a和第三高K介电层264c之间形成第二高K介电层264b,第一高K介电层264a中的缺陷和第三低K介电层264中的缺陷可能不太容易地连接以沿着第一高K介电层和第三高K介电层的晶界形成导电路径,因此可以提高TDDB性能。第二高K介电层264b的晶格常数不同于第一高K介电层264a和第三高K介电层264c的晶格常数。在第一高K介电层264a和第三高K介电层264c包括HZO的实施例中,为了显著提高TDDB性能并节省制造成本,第二高K介电层264b包括氧化铝(Al2O3)。在另一实施例中,第二高K介电层264b包括氧化钛(TiO2)。第二高K介电层264b的厚度T2小于厚度T1。在实施例中,厚度T1与厚度T2的比率可以大于10。第二高K介电层264b的厚度T2大于且小于 The first insulator structure 264 also includes a second high-K dielectric layer 264b sandwiched between the first high-K dielectric layer 264a and the third high-K dielectric layer 264c. In an embodiment, the dielectric constant of the second high-K dielectric layer 264b is less than the dielectric constant of the first high-K dielectric layer 264a and the third high-K dielectric layer 264c. By forming the second high-K dielectric layer 264b between the first high-K dielectric layer 264a and the third high-K dielectric layer 264c, defects in the first high-K dielectric layer 264a and the third low-K dielectric layer Defects in 264 may connect less easily to form conductive paths along the grain boundaries of the first high-K dielectric layer and the third high-K dielectric layer, thus improving TDDB performance. The second high-K dielectric layer 264b has a lattice constant that is different from the lattice constants of the first high-K dielectric layer 264a and the third high-K dielectric layer 264c. In embodiments in which the first high-K dielectric layer 264a and the third high-K dielectric layer 264c include HZO, in order to significantly improve TDDB performance and save manufacturing costs, the second high-K dielectric layer 264b includes aluminum oxide (Al 2 O 3 ). In another embodiment, second high-K dielectric layer 264b includes titanium oxide (TiO 2 ). The thickness T2 of the second high-K dielectric layer 264b is less than the thickness T1. In embodiments, the ratio of thickness T1 to thickness T2 may be greater than 10. The thickness T2 of the second high-K dielectric layer 264b is greater than and less than

参考图1和图7,方法100包括方框112,其中第二导体板266形成在第一绝缘体结构264上。在本实施例中,第二导体板266直接形成在下接触部件253上,并且与第一导体板262’垂直重叠。第二导体板266的组成和形成可以类似于第一导体板262’。例如,第二导电层可以沉积在工件200上,然后图案化第二导电层以形成第二导体板266。在实施例中,第二导体板266包括氮化钛(TiN)。在一些实施例中,第二导体板266的顶表面和侧壁表面可以被氧化,并且工件200因此可以包括形成在第二导体板266上的氧化钛。然后可以通过类似于氮化处理265的氮化处理对氧化层进行氮化,以形成氮化氧化物层267(例如TiON)。此外,第二导体板266的上部部分的氮含量高于第二导体板266的下部部分的氮含量。Referring to FIGS. 1 and 7 , method 100 includes block 112 in which second conductor plate 266 is formed on first insulator structure 264 . In this embodiment, the second conductor plate 266 is formed directly on the lower contact member 253 and vertically overlaps the first conductor plate 262'. The second conductor plate 266 may be similar in composition and formation to the first conductor plate 262'. For example, a second conductive layer may be deposited on workpiece 200 and then patterned to form second conductor plate 266 . In an embodiment, second conductor plate 266 includes titanium nitride (TiN). In some embodiments, the top surface and sidewall surfaces of second conductor plate 266 may be oxidized, and workpiece 200 may therefore include titanium oxide formed on second conductor plate 266 . The oxide layer may then be nitrided through a nitriding process similar to nitriding process 265 to form a nitrided oxide layer 267 (eg, TiON). Furthermore, the nitrogen content of the upper portion of the second conductor plate 266 is higher than the nitrogen content of the lower portion of the second conductor plate 266 .

参考图1和图8,方法100包括方框114,在方框114中,在工件200上方形成第二绝缘体结构268。在实施例中,第二绝缘体结构268共形地形成为在工件200的顶表面上具有大致均匀的厚度(例如,在氮化氧化物层的顶表面和侧壁表面上具有大致相同的厚度)。在实施例中,第二绝缘体结构268的形成和组成类似于第一绝缘体结构264的形成和组成。例如,第二绝缘体结构268包括第一高K介电层268a、第二高K介电层268和第三高K介电层268c。在实施例中,第一高K介电层268a的形成、组成和厚度与第一高K介电层264a相同,第二高K介电层268b的形成、组成和厚度与第二高K介电层264b相同,第三高K介电层268c的形成、组成和厚度与第三高K介电层264c相同,并且出于简单的原因省略重复描述。因此,可以提高设置在第二导体板266和第三导体板270a之间的第二绝缘体结构268的TDDB性能。Referring to FIGS. 1 and 8 , method 100 includes block 114 in which second insulator structure 268 is formed over workpiece 200 . In embodiments, second insulator structure 268 is conformally formed to have a generally uniform thickness on the top surface of workpiece 200 (eg, have generally the same thickness on the top surface and sidewall surfaces of the nitride oxide layer). In embodiments, the second insulator structure 268 is formed and composed similarly to the first insulator structure 264 . For example, the second insulator structure 268 includes a first high-K dielectric layer 268a, a second high-K dielectric layer 268, and a third high-K dielectric layer 268c. In an embodiment, the first high-K dielectric layer 268a has the same formation, composition, and thickness as the first high-K dielectric layer 264a, and the second high-K dielectric layer 268b has the same formation, composition, and thickness as the second high-K dielectric layer 264a. The electrical layer 264b is the same, the formation, composition and thickness of the third high-K dielectric layer 268c are the same as the third high-K dielectric layer 264c, and repeated descriptions are omitted for simplicity reasons. Therefore, the TDDB performance of the second insulator structure 268 disposed between the second conductor plate 266 and the third conductor plate 270a can be improved.

参考图1和图9,方法100包括方框116,其中第三导体板270a和伪导电部件270b形成在第二绝缘体结构268上。更具体地说,第三导体板270a形成在下接触部件254正上方,并与第一导体板262’和第二导体板266垂直重叠,伪导体部件270b形成在下接触部件253正上方,并与第二导体板266垂直重叠。第三导体板270a和伪导电部件270b的形成和组成可以类似于第一导体板262’的形成和组成,并且出于简单的原因省略了重复的描述。在实施例中,第三导体板270a和伪导电部件270b包括氮化钛(TiN)。可以执行类似于氮化处理265的氮化处理。类似地,工件200还包括形成在第三导体板270a的侧壁和顶表面上的氮化氧化物层270c,以及形成在伪导电部件270b的侧壁或顶表面上的氮化氧化层270d。在实施例中,氮化氧化物层270c和氮化氧化物层270d包括氧氮化钛(TiON)。第三导体板270a的上部部分具有比第三导体板270a的下部部分的氮含量更高的氮含量,并且伪导电部件270b的上部部分具有比伪导电部件270b的下部部分的氮含量更高的氮含量。Referring to Figures 1 and 9, method 100 includes block 116 in which third conductor plate 270a and dummy conductive features 270b are formed on second insulator structure 268. More specifically, the third conductor plate 270a is formed directly above the lower contact part 254 and vertically overlaps the first conductor plate 262' and the second conductor plate 266, and the dummy conductor part 270b is formed directly above the lower contact part 253 and is connected with the first conductor plate 262' and the second conductor plate 266. Two conductor plates 266 overlap vertically. The formation and composition of the third conductor plate 270a and the dummy conductive member 270b may be similar to that of the first conductor plate 262', and repeated descriptions are omitted for simplicity. In an embodiment, third conductor plate 270a and dummy conductive component 270b include titanium nitride (TiN). A nitriding process similar to nitriding process 265 may be performed. Similarly, the workpiece 200 also includes a nitrided oxide layer 270c formed on the sidewalls and top surface of the third conductor plate 270a, and a nitrided oxide layer 270d formed on the sidewalls or top surface of the dummy conductive feature 270b. In an embodiment, nitrided oxide layer 270c and nitrided oxide layer 270d include titanium oxynitride (TiON). The upper portion of the third conductor plate 270a has a higher nitrogen content than the lower portion of the third conductor plate 270a, and the upper portion of the dummy conductive member 270b has a higher nitrogen content than the lower portion of the dummy conductive member 270b. Nitrogen content.

在形成第三导体板270a之后,最终确定MIM电容器272的结构。在图9所示的实施例中,工件200包括MIM电容器272和形成在下接触部件253正上方的伪导电部件270b。在本实施例中,MIM电容器272包括三个垂直堆叠的导体板(即第一导体板262’、第二导体板266和第三导体板270a)、多个绝缘体结构(即第一绝缘体结构264和第二绝缘体结构268)以及多个氮化氧化物层(例如层263’、267、270c)。应当理解,MIM电容器272可以包括其他合适数量的导体板(例如,两个、四个或更多个),并且每两个相邻的导体板由相应的多层绝缘体结构(例如,多层第一绝缘体结构264)和氮化氧化物层(例如,氮化氧化物层263’)隔离。在实施例中,第一和第三高K介电层(例如264a和264c、268a和268c)包括HZO,并且第二高K介电层(例如,264b、268b)包括氧化铝(Al2O3)。在另一实施例中,第一和第三高K介电层(例如264a和264c、268a和268c)包括HZO,并且第二高K介电层(例如,264b、268b)包括氧化钛(TiO2)。After the third conductor plate 270a is formed, the structure of the MIM capacitor 272 is finalized. In the embodiment shown in FIG. 9 , workpiece 200 includes MIM capacitor 272 and dummy conductive feature 270 b formed directly above lower contact feature 253 . In this embodiment, the MIM capacitor 272 includes three vertically stacked conductor plates (ie, the first conductor plate 262', the second conductor plate 266, and the third conductor plate 270a), a plurality of insulator structures (ie, the first insulator structure 264 and second insulator structure 268) and a plurality of nitrided oxide layers (eg, layers 263', 267, 270c). It should be understood that the MIM capacitor 272 may include other suitable numbers of conductor plates (e.g., two, four, or more), with each two adjacent conductor plates being composed of a corresponding multi-layer insulator structure (e.g., a multi-layer insulator structure). An insulator structure 264) is isolated from the nitrided oxide layer (eg, nitrided oxide layer 263'). In an embodiment, the first and third high-K dielectric layers (eg, 264a and 264c, 268a and 268c) include HZO, and the second high-K dielectric layer (eg, 264b, 268b) includes aluminum oxide (Al 2 O 3 ). In another embodiment, the first and third high-K dielectric layers (eg, 264a and 264c, 268a and 268c) include HZO, and the second high-K dielectric layer (eg, 264b, 268b) includes titanium oxide (TiO 2 ).

参考图1和图10,方法100包括方框118,其中在MIM电容器272上形成第一钝化结构274。如图10所示,MIM电容器272夹在第一钝化结构274和氧化物层258之间。在一些实施例中,第一钝化结构274可以包括由诸如氧化硅或氮化硅的任何合适材料形成的介电层或两个或更多个介电层。在实施例中,第一钝化结构274包括通过等离子体增强化学气相沉积(PECVD)形成的氧化硅。第一钝化结构274的厚度可以在约和/>之间。Referring to FIGS. 1 and 10 , method 100 includes block 118 in which first passivation structure 274 is formed on MIM capacitor 272 . As shown in FIG. 10 , MIM capacitor 272 is sandwiched between first passivation structure 274 and oxide layer 258 . In some embodiments, first passivation structure 274 may include a dielectric layer or two or more dielectric layers formed from any suitable material, such as silicon oxide or silicon nitride. In an embodiment, first passivation structure 274 includes silicon oxide formed by plasma enhanced chemical vapor deposition (PECVD). The thickness of the first passivation structure 274 may be about and/> between.

参考图1、图11、图12、图13和图14,方法100包括方框120,其中形成导电通孔288和导电通孔290。首先参考图11。在形成第一钝化结构274之后,如图11所示,在第一钝化结构274上形成图案化掩模278。图案化掩模278包括暴露其下的第一钝化结构274的部分的两个开口278a和278b。例如,开口278a暴露形成在下接触部件253正上方的第一钝化结构274的部分,开口278b暴露形成在上接触部件254正上方的第一钝化结构274的部分。Referring to Figures 1, 11, 12, 13, and 14, method 100 includes block 120 in which conductive vias 288 and 290 are formed. First refer to Figure 11. After the first passivation structure 274 is formed, as shown in FIG. 11 , a patterned mask 278 is formed on the first passivation structure 274 . Patterned mask 278 includes two openings 278a and 278b that expose portions of first passivation structure 274 thereunder. For example, opening 278a exposes a portion of first passivation structure 274 formed directly above lower contact feature 253, and opening 278b exposes a portion of first passivation structure 274 formed directly above upper contact feature 254.

当使用图案化掩模278作为蚀刻掩模时,可以执行蚀刻工艺以形成开口280和开口282,如图12中所示。蚀刻工艺在第二蚀刻停止层256的顶表面处停止。在实施例中,蚀刻工艺蚀刻穿过第一钝化结构274、氮化氧化物层270d、伪导电部件270b、第二绝缘体结构268、氮化氧化层267、第二导体板266以及第一绝缘体结构264,以形成开口280。蚀刻工艺还蚀刻穿过第一钝化结构274、氮化氧化物层270c、第三导体板270a、第二绝缘体结构268、第一绝缘体结构264、氮化氧化物层263’和第一导体板262’,以形成开口282。在实施例中,蚀刻工艺可以包括干蚀刻工艺。When patterned mask 278 is used as an etch mask, an etching process may be performed to form openings 280 and 282 as shown in FIG. 12 . The etching process stops at the top surface of second etch stop layer 256 . In an embodiment, the etching process etches through the first passivation structure 274, the nitride oxide layer 270d, the dummy conductive feature 270b, the second insulator structure 268, the nitride oxide layer 267, the second conductor plate 266, and the first insulator. Structure 264 to form opening 280. The etching process also etches through the first passivation structure 274, the nitride oxide layer 270c, the third conductor plate 270a, the second insulator structure 268, the first insulator structure 264, the nitride oxide layer 263', and the first conductor plate 262' to form opening 282. In embodiments, the etching process may include a dry etching process.

参考图13,在形成开口280和开口282之后,执行另一蚀刻工艺以垂直延伸开口280和开孔282以穿透第二蚀刻停止层256并暴露下接触部件253和254。垂直延伸的开口280和282可以分别被称为开口284和开口286。在一些实施例中,可以使用干蚀刻工艺来选择性地蚀刻第二蚀刻停止层256,以形成开口284和开口286。在形成开口284和开口286之后,可以选择性地去除图案化掩模278。Referring to FIG. 13 , after the openings 280 and 282 are formed, another etching process is performed to vertically extend the openings 280 and 282 to penetrate the second etch stop layer 256 and expose the lower contact features 253 and 254 . Vertically extending openings 280 and 282 may be referred to as openings 284 and 286, respectively. In some embodiments, a dry etching process may be used to selectively etch second etch stop layer 256 to form openings 284 and 286 . After openings 284 and 286 are formed, patterned mask 278 may be selectively removed.

在形成开口284和开口286之后,如图14所示,导电通孔288和导电通孔290分别形成在开口284或开口286中。在本实施例中,为了形成导电通孔288和导电通孔290,首先使用合适的沉积技术(诸如ALD、PVD或CVD)在第一钝化结构274上方和开口284和开口286中共形地沉积阻挡层289a,并且然后使用ALD、PVD、CVD、化学镀或电镀将金属填充层289b沉积在阻挡层289a上方。阻挡层289a可以包括氮化钛(TiN)、氮化钽(TaN)或其他金属氮化物。金属填充层289b可以由铜(Cu)、铝(Al)、铝铜(Al-Cu)或其他合适的材料形成。然后可以在形成金属填充层289b之后执行平坦化工艺(例如,CMP),以最终确定导电通孔288和导电通孔290的形状。After openings 284 and 286 are formed, as shown in FIG. 14 , conductive vias 288 and 290 are formed in openings 284 or 286 respectively. In this embodiment, to form conductive vias 288 and 290, first conformally deposited over first passivation structure 274 and in openings 284 and 286 using a suitable deposition technique, such as ALD, PVD, or CVD. barrier layer 289a, and then a metal fill layer 289b is deposited over barrier layer 289a using ALD, PVD, CVD, electroless plating, or electroplating. Barrier layer 289a may include titanium nitride (TiN), tantalum nitride (TaN), or other metal nitrides. The metal filling layer 289b may be formed of copper (Cu), aluminum (Al), aluminum-copper (Al-Cu), or other suitable materials. A planarization process (eg, CMP) may then be performed after forming the metal fill layer 289b to finalize the shapes of the conductive vias 288 and 290.

参考图1、图14和图15,方法100包括执行进一步处理的方框122。这种进一步的工艺可以包括,例如,在第一钝化结构274上方形成金属线(例如,图14所示的金属线292、294)。金属线292和294分别电连接到导电通孔288和290并与导电通孔288和290直接接触。在一些实施例中,金属线292、294可以被称为上接触部件,并且可以是重分布层(RDL)的部分,以重新路由上层和下层之间的接合连接。这种进一步的工艺还可以包括在工件200上形成第二钝化结构296(如图15所示)。第二钝化结构296可以是多层结构。这种进一步的工艺还可以包括形成延伸穿过第二钝化结构296以暴露金属线292、294的开口,以及在开口中形成焊盘以电连接到金属线292和294。接合焊盘可以包括多个层,并且形成多个层涉及多个工艺。在一些实施例中,在首先形成开口以暴露金属线292、294之后,可以将凸块下金属(UBM)层沉积到开口中,然后在UBM层上沉积凸块层(例如,由铜制成)。然后可以在凸块层上形成焊料层作为与外部电路的连接点。Referring to Figures 1, 14, and 15, the method 100 includes block 122 for performing further processing. Such further processing may include, for example, forming metal lines (eg, metal lines 292, 294 shown in Figure 14) over first passivation structure 274. Metal lines 292 and 294 are electrically connected to and in direct contact with conductive vias 288 and 290, respectively. In some embodiments, metal lines 292, 294 may be referred to as upper contact features and may be part of a redistribution layer (RDL) to reroute bonding connections between upper and lower layers. This further process may also include forming a second passivation structure 296 on the workpiece 200 (shown in Figure 15). The second passivation structure 296 may be a multi-layer structure. This further process may also include forming openings extending through second passivation structure 296 to expose metal lines 292, 294, and forming pads in the openings to electrically connect to metal lines 292, 294. A bonding pad may include multiple layers, and forming multiple layers involves multiple processes. In some embodiments, after first forming openings to expose metal lines 292, 294, an under-bump metal (UBM) layer may be deposited into the openings, and then a bump layer (e.g., made of copper) may be deposited on the UBM layer ). A solder layer can then be formed on the bump layer to serve as a connection point to external circuitry.

图16描述了MIM电容器272的局部截面图。更具体地,如图16所示,MIM电容器272的局部包括第一导体板262',沿着第一导体板262'的侧壁和顶表面延伸的氮化氧化物层263',在氮化氧化物层263'上并与氮化氧化物层263'直接接触的第一高K介电层264a,在第一高K介电层264a上的第二高K介电层264b,在第二高K介电层264b上的第三高K介电层264c,以及在第三高K介电层264c上并与第一导体板262'重叠的第二导体板266。在实施例中,第一和第三高K介电层264a和264c包括HZO,并且第二高K介电层264b包括氧化铝(Al2O3)或氧化钛(TiO2)。FIG. 16 depicts a partial cross-sectional view of MIM capacitor 272. More specifically, as shown in FIG. 16 , a portion of the MIM capacitor 272 includes a first conductor plate 262 ′, a nitrided oxide layer 263 ′ extending along the sidewalls and top surface of the first conductor plate 262 ′, and a nitrided oxide layer 263 ′ extending along the sidewalls and top surface of the first conductor plate 262 ′. A first high-K dielectric layer 264a on the oxide layer 263' and in direct contact with the nitrided oxide layer 263', a second high-K dielectric layer 264b on the first high-K dielectric layer 264a, and a second high-K dielectric layer 264b on the nitrided oxide layer 263'. a third high-K dielectric layer 264c on the high-K dielectric layer 264b, and a second conductor plate 266 on the third high-K dielectric layer 264c and overlapping the first conductor plate 262'. In an embodiment, the first and third high-K dielectric layers 264a and 264c include HZO, and the second high-K dielectric layer 264b includes aluminum oxide (Al 2 O 3 ) or titanium oxide (TiO 2 ).

在参考图1-图16描述的上述实施例中,通过在第一和第三高K介电层264a和264c之间形成基于氧化铝(Al2O3)或基于氧化钛(TiO2)的第二高K介电层264b,MIM电容器272的TDDB性能得到改善。在替代实施例中,为了增加正向偏置击穿电压,第一绝缘体结构还可以包括第四高K介电层264d。例如,在图17所示的实施例中,第一绝缘体结构264’包括第一高K介电层264a’和形成在第一高K介电层264a’上的第四高K介电层264d。第一高K介电层264a’具有厚度T,并且是由高K介电材料(例如HZO)形成的单层(如图17所示)。在图18所示的实施例中,第一绝缘体结构264”包括第一绝缘体结构264和形成在第一绝缘体结构264的第三高K介电层264c上的第四高K介电层264d。第四高K介电层264d可以通过等离子体增强ALD(PEALD)在150℃和250℃之间的温度下沉积。形成第四高K介电层264d增加了设置在两个相邻导体板(例如,导体板262’和266)之间的绝缘体结构的总厚度(例如,厚度从T增加到T’),从而增加了MIM电容器272的正向偏置击穿电压。在实施例中,第二高K介电层264b包括氧化铝(Al2O3),第四高K介电层264d包括氧化钛(TiO2)。在实施例中,第二高K介电层264b包括氧化钛(TiO2),并且第四高K介电层264d也包括氧化钛。由于氧化钛具有高介电容率(dielectric permittivity),因此,引入第四高K介电层264d有利地增加了绝缘体结构的总厚度和MIM电容器272的正向偏置击穿电压,而不降低MIM电容器272的电容。在实施例中,为了在不显著降低MIM电容器272的电容的情况下增加MIM电容272的正向偏置击穿电压,第四高K介电层264d的厚度T4可以在约和/>之间。In the above-described embodiments described with reference to FIGS. 1-16 , an aluminum oxide (Al 2 O 3 ) or titanium oxide (TiO 2 )-based layer is formed between the first and third high-K dielectric layers 264 a and 264 c. With the second high-K dielectric layer 264b, the TDDB performance of the MIM capacitor 272 is improved. In an alternative embodiment, to increase the forward bias breakdown voltage, the first insulator structure may also include a fourth high-K dielectric layer 264d. For example, in the embodiment shown in Figure 17, the first insulator structure 264' includes a first high-K dielectric layer 264a' and a fourth high-K dielectric layer 264d formed on the first high-K dielectric layer 264a'. . The first high-K dielectric layer 264a' has a thickness T and is a single layer formed of a high-K dielectric material (eg, HZO) (as shown in Figure 17). In the embodiment shown in FIG. 18 , first insulator structure 264 ″ includes first insulator structure 264 and fourth high-K dielectric layer 264 d formed on third high-K dielectric layer 264 c of first insulator structure 264 . The fourth high-K dielectric layer 264d may be deposited by plasma-enhanced ALD (PEALD) at a temperature between 150°C and 250°C. Forming the fourth high-K dielectric layer 264d increases the gap between two adjacent conductor plates ( For example, the total thickness of the insulator structure between conductor plates 262' and 266) (eg, thickness increases from T to T'), thereby increasing the forward bias breakdown voltage of MIM capacitor 272. In an embodiment, no. The second high-K dielectric layer 264b includes aluminum oxide (Al 2 O 3 ), and the fourth high-K dielectric layer 264d includes titanium oxide (TiO 2 ). In an embodiment, the second high-K dielectric layer 264b includes titanium oxide (TiO 2 ). TiO 2 ), and the fourth high-K dielectric layer 264d also includes titanium oxide. Since titanium oxide has high dielectric permittivity, the introduction of the fourth high-K dielectric layer 264d advantageously increases the overall insulator structure. thickness and forward bias breakdown voltage of MIM capacitor 272 without reducing the capacitance of MIM capacitor 272. In embodiments, in order to increase the forward bias of MIM capacitor 272 without significantly reducing the capacitance of MIM capacitor 272 Breakdown voltage, the thickness T4 of the fourth high-K dielectric layer 264d may be approximately and/> between.

尽管图16-图18中所示的实施例针对第一导体板262’和第二导体板266之间的绝缘体结构,但应理解的是,这些实施例也适用于第二导体板266和第三导体板270a之间的绝缘体结构或任何其他两个相邻导体板之间的绝缘体结构。第二绝缘体结构的组成可以与第一绝缘体结构的组成相同或不同。在一些实施例中,第二绝缘体结构268还可以包括形成在第三高K介电层268c上的氧化钛层。在替代实施例中,第一绝缘体结构264可以包括氧化钛层264d,第二绝缘体结构268可以不含氧化钛层,第二绝缘体结构268的厚度(例如厚度T’)小于第一绝缘体结构264d的厚度(如厚度T)。Although the embodiments shown in FIGS. 16-18 are directed to the insulator structure between the first conductor plate 262' and the second conductor plate 266, it should be understood that these embodiments are also applicable to the second conductor plate 266' and the second conductor plate 266'. An insulator structure between three conductor plates 270a or any other insulator structure between two adjacent conductor plates. The composition of the second insulator structure may be the same as or different from the composition of the first insulator structure. In some embodiments, second insulator structure 268 may also include a titanium oxide layer formed on third high-K dielectric layer 268c. In alternative embodiments, the first insulator structure 264 may include a titanium oxide layer 264d, the second insulator structure 268 may not contain a titanium oxide layer, and the second insulator structure 268 may have a thickness (eg, thickness T′) that is less than that of the first insulator structure 264d. Thickness (such as thickness T).

在参考图1-图18描述的上述实施例中,在形成第一导体板、第二导体板和/或第三导体板之后执行氮化处理(例如,氮化处理265)。图19描绘了形成MIM电容器的替代方法300。方法300类似于方法100。方法100和方法300之间的差异之一包括用ALD工艺代替氮化处理(例如,方框108中的氮化处理)。更具体地,如图20所示,在方框106中形成第一导体板262’并形成氧化物层263(例如,TiO2)之后,方法300进行到方框108’,在方框108’中,在形成第一绝缘体结构264之前,在工件200’上沉积另一氧化物层401。在一个实施例中,氧化物层401包括氧化钛(TiO2)并且通过ALD形成。也就是说,氧化物层401的组成与氧化物层263的组成相同。氧化物层401具有比氧化物层263更高的均匀性、更好的形貌和更少的缺陷。在形成氧化物层401之后,可以执行方框110-122中的操作以完成工件200’的制造。图20中的工件200’类似于图15中的工件200,工件200’和工件200之间的差异之一包括工件200’不具有氮化氧化物层(例如,TiON),相反地,工件200’包括沿着第一导体板262’的侧壁和顶表面延伸的氧化物层263,以及在氧化物层263和氧化物层258上形成的共形的氧化物层401(例如TiO2)。类似地,工件200’还可以包括分别随着导体板266和270a以及伪导电部件270b的形成而形成的氧化物层403、404和405,以及通过ALD形成的共形的氧化物层402(例如TiO2)。共形的氧化物层402类似于共形的氧化层401。In the above-described embodiments described with reference to FIGS. 1-18 , the nitriding process (eg, nitriding process 265 ) is performed after forming the first conductor plate, the second conductor plate, and/or the third conductor plate. Figure 19 depicts an alternative method 300 of forming a MIM capacitor. Method 300 is similar to method 100. One of the differences between method 100 and method 300 includes replacing the nitriding process (eg, the nitriding process in block 108) with an ALD process. More specifically, as shown in FIG. 20 , after forming the first conductor plate 262 ′ and forming the oxide layer 263 (eg, TiO 2 ) in block 106 , the method 300 proceeds to block 108 ′. , before forming the first insulator structure 264, another oxide layer 401 is deposited on the workpiece 200'. In one embodiment, oxide layer 401 includes titanium oxide (TiO 2 ) and is formed by ALD. That is, the composition of the oxide layer 401 is the same as the composition of the oxide layer 263 . Oxide layer 401 has higher uniformity, better morphology, and fewer defects than oxide layer 263 . After the oxide layer 401 is formed, the operations in blocks 110-122 may be performed to complete the fabrication of the workpiece 200'. Workpiece 200' in Figure 20 is similar to workpiece 200 in Figure 15, one of the differences between workpiece 200' and workpiece 200 includes that workpiece 200' does not have a nitrided oxide layer (eg, TiON), conversely, workpiece 200 ′ includes an oxide layer 263 extending along the sidewalls and top surface of the first conductor plate 262 ′, and a conformal oxide layer 401 (eg, TiO 2 ) formed on the oxide layer 263 and the oxide layer 258 . Similarly, workpiece 200' may also include oxide layers 403, 404, and 405 formed with the formation of conductor plates 266 and 270a and dummy conductive features 270b, respectively, and conformal oxide layer 402 formed by ALD (eg, TiO 2 ). Conformal oxide layer 402 is similar to conformal oxide layer 401 .

图22描述了工件200’中MIM电容器272的局部截面图。更具体地,如图22所示,工件200’包括第一导体板262’、沿着第一导体板262'的侧壁和顶表面延伸的氧化物层263、在氧化物层263上并与氧化物层263直接接触的氧化物层401、在氧化层401上并与氧化物层401直接接触的第一高K介电层264a、在第一高K介电层264a上的第二高K介电层264b、在第二高K介电层264b上的第三高K介电层264c、以及在第三高K介电层264c上并与第一导体板262’重叠的第二导体板266。在一个实施例中,第一和第三高K介电层264a和264c包括HZO,并且第二高K介电层264b包括氧化铝(Al2O3)或氧化钛(TiO2)。Figure 22 depicts a partial cross-sectional view of MIM capacitor 272 in workpiece 200'. More specifically, as shown in FIG. 22 , the workpiece 200 ′ includes a first conductor plate 262 ′, an oxide layer 263 extending along the sidewalls and top surface of the first conductor plate 262 ′, on and with the oxide layer 263 . The oxide layer 263 is in direct contact with the oxide layer 401, the first high-K dielectric layer 264a on and in direct contact with the oxide layer 401, the second high-K dielectric layer 264a on the first high-K dielectric layer 264a. Dielectric layer 264b, a third high-K dielectric layer 264c on the second high-K dielectric layer 264b, and a second conductor plate on the third high-K dielectric layer 264c and overlapping the first conductor plate 262' 266. In one embodiment, the first and third high-K dielectric layers 264a and 264c include HZO, and the second high-K dielectric layer 264b includes aluminum oxide (Al 2 O 3 ) or titanium oxide (TiO 2 ).

提高正向偏置击穿电压的方法(例如,在第一和/或第二绝缘体结构264/264’/268上形成氧化钛层)也可以应用于工件200’,以提高工件200’的正向偏置击穿电压。例如,在图23所示的实施例中,在氧化物层401上形成包括第一高K介电层264a’和第四高K介电层264d的第一绝缘体结构264’。在图24所示的实施例中,在氧化物层401上形成包括第一绝缘体结构264和第四高K介电层264d的第一绝缘体结构264”。在实施例中,氧化物层401和第四高K介电层264d都包括氧化钛(TiO2),并且第二高K介电层264b包括氧化铝(Al2O3)或氧化钛(TiO2)。由于上面参照图16-图18描述的类似原因,可以有利地增加工件200’的正向偏置击穿电压。尽管图22-图24中所示的实施例是针对第一导体板262’和第二导体板266之间的第一绝缘体结构,但应理解的是,这些实施例也适用于第二导体板266和第三导体板270a之间的绝缘体结构或任何其他两个相邻导体板之间的绝缘体结构。Methods of increasing the forward bias breakdown voltage (e.g., forming a titanium oxide layer on the first and/or second insulator structures 264/264'/268) may also be applied to the workpiece 200' to increase the positive voltage of the workpiece 200'. bias breakdown voltage. For example, in the embodiment shown in FIG. 23, a first insulator structure 264' including a first high-K dielectric layer 264a' and a fourth high-K dielectric layer 264d is formed on the oxide layer 401. In the embodiment shown in FIG. 24, a first insulator structure 264" including a first insulator structure 264 and a fourth high-K dielectric layer 264d is formed on the oxide layer 401. In an embodiment, the oxide layer 401 and The fourth high-K dielectric layer 264d both includes titanium oxide (TiO 2 ), and the second high-K dielectric layer 264 b includes aluminum oxide (Al 2 O 3 ) or titanium oxide (TiO 2 ). As above with reference to Figure 16-Fig. 18, it may be advantageous to increase the forward bias breakdown voltage of the workpiece 200'. Although the embodiment shown in Figures 22-24 is for the space between the first conductor plate 262' and the second conductor plate 266 first insulator structure, but it should be understood that these embodiments are also applicable to the insulator structure between the second conductor plate 266 and the third conductor plate 270a or any other insulator structure between two adjacent conductor plates.

尽管并非旨在限制,但本公开的一个或多个实施例为半导体结构及其形成提供了许多益处。例如,本公开提供了设置在金属-绝缘体-金属电容器的两个相邻导体板之间的多层绝缘体结构。在所述实施例中,通过提供多层绝缘体结构,可以提高金属-绝缘体-金属电容器的TDDB性能。在一些实施例中,还可以增加金属-绝缘体-金属电容器的正向偏置击穿电压。因此,可以有利地提高金属-绝缘体-金属电容器的整体性能和可靠性。Although not intended to be limiting, one or more embodiments of the present disclosure provide numerous benefits to semiconductor structures and their formation. For example, the present disclosure provides a multilayer insulator structure disposed between two adjacent conductor plates of a metal-insulator-metal capacitor. In the described embodiments, by providing a multi-layer insulator structure, the TDDB performance of the metal-insulator-metal capacitor can be improved. In some embodiments, the forward bias breakdown voltage of the metal-insulator-metal capacitor may also be increased. Therefore, the overall performance and reliability of the metal-insulator-metal capacitor can be advantageously improved.

本公开提供了许多不同的实施例。本文公开了半导体结构及其制造方法。在一个示例性方面,本公开涉及一种方法。该方法包括:在衬底上方沉积第一导电材料层;图案化第一导电材料层以在衬底上方形成第一导体板;在第一导体板上方形成第一高K介电层;在第一高K介电层上形成第二高K介电层;在第二高K介电层上形成第三高K介电层;以及形成位于第三高K介电层上方并与第一导体板垂直重叠的第二导体板,其中,第一高K介电层的组成与第三高K介电层的组成相同,并且与第二高K介电层的组成不同。This disclosure provides many different embodiments. Semiconductor structures and methods of fabricating the same are disclosed herein. In an exemplary aspect, the present disclosure relates to a method. The method includes: depositing a first conductive material layer over a substrate; patterning the first conductive material layer to form a first conductor plate over the substrate; forming a first high-K dielectric layer over the first conductor plate; forming a second high-K dielectric layer on a high-K dielectric layer; forming a third high-K dielectric layer on the second high-K dielectric layer; and forming a conductor on the third high-K dielectric layer and in contact with the first conductor. The plates vertically overlap a second conductor plate, wherein the first high-K dielectric layer has the same composition as the third high-K dielectric layer and is different from the composition of the second high-K dielectric layer.

在一些实施例中,第一高K介电层和第三高K介电层可以包括氧化铪锆(HZO)。在一些实施例中,第二高K介电层可以包括氧化铝(Al2O3)或氧化钛(TiO2)。在一些实施例中,第一导电材料层的图案化包括对第一导电材料层执行蚀刻工艺,其中,执行蚀刻工艺进一步氧化第一导体板的侧壁和顶表面以形成氧化物层。在一些实施例中,该方法还可以包括,在形成第一高K介电层之前,对氧化物层执行氮化处理,从而在第一导体板上形成氮化氧化物层。在一些实施例中,在执行氮化处理之后,第一导体板的上部部分中的氮含量大于第一导体板的下部部分中的氮含量。在一些实施例中,方法还可以包括:在第三高K介电层上形成第四高K介电层,其中,第四高K介电层的组成与第一高K介电层的组成不同。在一些实施例中,第四高K介电层的组成与第二高K介电层的组成相同。在一些实施例中,第三高K介电层的厚度基本上等于第一高K介电层的厚度。In some embodiments, the first high-K dielectric layer and the third high-K dielectric layer may include hafnium zirconium oxide (HZO). In some embodiments, the second high-K dielectric layer may include aluminum oxide (Al 2 O 3 ) or titanium oxide (TiO 2 ). In some embodiments, patterning the first conductive material layer includes performing an etching process on the first conductive material layer, wherein performing the etching process further oxidizes the sidewalls and top surface of the first conductor plate to form an oxide layer. In some embodiments, the method may further include, prior to forming the first high-K dielectric layer, performing a nitriding process on the oxide layer to form a nitrided oxide layer on the first conductor plate. In some embodiments, after performing the nitriding process, the nitrogen content in the upper portion of the first conductor plate is greater than the nitrogen content in the lower portion of the first conductor plate. In some embodiments, the method may further include forming a fourth high-K dielectric layer on the third high-K dielectric layer, wherein the composition of the fourth high-K dielectric layer is the same as the composition of the first high-K dielectric layer. different. In some embodiments, the composition of the fourth high-K dielectric layer is the same as the composition of the second high-K dielectric layer. In some embodiments, the thickness of the third high-K dielectric layer is substantially equal to the thickness of the first high-K dielectric layer.

在另一个示例性方面,本公开涉及一种方法。该方法包括:在衬底上方的第一绝缘层上形成第一导体板;形成沿着第一导体板的顶表面和侧壁表面延伸的第二绝缘层;在第一导体板上方共形地形成多层介电结构,其中,多层介电结构与第一绝缘层和第二绝缘层均直接接触,并且其中多层介电结构由高K介电层形成;以及形成位于多层介电结构上方并与第一导体板垂直重叠的第二导体板。In another exemplary aspect, the present disclosure relates to a method. The method includes: forming a first conductor plate on a first insulating layer over a substrate; forming a second insulating layer extending along a top surface and a sidewall surface of the first conductor plate; conformally forming the first conductor plate over the first conductor plate. forming a multi-layer dielectric structure, wherein the multi-layer dielectric structure is in direct contact with both the first insulating layer and the second insulating layer, and wherein the multi-layer dielectric structure is formed from a high-K dielectric layer; and forming a multi-layer dielectric structure located on the multi-layer dielectric layer A second conductor plate above the structure and vertically overlapping the first conductor plate.

在一些实施例中,共形地形成多层介电结构包括:在第一导体板上方共形地沉积第一高K介电层,其中,第一高K介电层与第一绝缘层和第二绝缘层均直接接触;在第一高K介电层上共形地沉积第二高K介电层;以及在第二高K介电层上共形地沉积第三高K介电层,其中,第二高K介电层的组成与第一高K介电层的组成和第三高K介电层的组成不同。在一些实施例中,共形地形成多层介电结构还包括:在第三高K介电层上共形地沉积第四高K介电层,其中,第四高K介电层的组成与第一高K介电层的组成和第三高K介电层的组成不同。在一些实施例中,第四高K介电层的组成与第二高K介电层的组成相同。在一些实施例中,第一导体板的形成包括:在第一绝缘层上沉积导电材料层;以及执行蚀刻工艺以图案化导电材料层以形成第一导体板,其中,蚀刻工艺的执行进一步氧化第一导体板的侧壁和顶表面以形成第二绝缘层。在一些实施例中,该方法还可以包括:在执行蚀刻工艺之后,对第二绝缘层执行氮化等离子体处理。在一些实施例中,该方法还可以包括:在执行蚀刻工艺之后,在第一绝缘层上方共形地沉积介电层,其中,介电层的组成与第二绝缘层的组成相同。In some embodiments, conformally forming the multi-layer dielectric structure includes conformally depositing a first high-K dielectric layer over the first conductor plate, wherein the first high-K dielectric layer is in contact with the first insulating layer and The second insulating layer is both in direct contact; a second high-K dielectric layer is conformally deposited on the first high-K dielectric layer; and a third high-K dielectric layer is conformally deposited on the second high-K dielectric layer. , wherein the composition of the second high-K dielectric layer is different from the composition of the first high-K dielectric layer and the composition of the third high-K dielectric layer. In some embodiments, conformally forming the multi-layer dielectric structure further includes: conformally depositing a fourth high-K dielectric layer on the third high-K dielectric layer, wherein the composition of the fourth high-K dielectric layer The composition of the first high-K dielectric layer and the composition of the third high-K dielectric layer are different. In some embodiments, the composition of the fourth high-K dielectric layer is the same as the composition of the second high-K dielectric layer. In some embodiments, forming the first conductor plate includes: depositing a layer of conductive material on the first insulating layer; and performing an etching process to pattern the layer of conductive material to form the first conductor plate, wherein the performance of the etching process further oxidizes The side walls and top surface of the first conductor plate form a second insulating layer. In some embodiments, the method may further include performing a nitridation plasma treatment on the second insulating layer after performing the etching process. In some embodiments, the method may further include conformally depositing a dielectric layer over the first insulating layer after performing the etching process, wherein the dielectric layer has the same composition as the second insulating layer.

在另一个示例性方面,本公开涉及一种半导体结构。该半导体结构包括:位于衬底上方的第一绝缘层上的金属-绝缘体-金属(MIM)电容器,该MIM电容器包括:第一导体板,位于第一绝缘层上,第二绝缘层,沿着第一导体板的侧壁和顶表面延伸并在第一导体板的侧壁和顶表面上延伸,共形介电结构,位于衬底和第一导体板上方,其中,共形介电结构由多个高K介电层形成,以及第二导体板,位于共形介电结构上方并与第一导体板垂直重叠,其中,共形介电结构与第二绝缘层和第一绝缘层均直接接触。In another exemplary aspect, the present disclosure relates to a semiconductor structure. The semiconductor structure includes a metal-insulator-metal (MIM) capacitor on a first insulating layer over a substrate, the MIM capacitor including a first conductor plate on the first insulating layer and a second insulating layer along Extending over and over the sidewalls and top surface of the first conductor plate, a conformal dielectric structure is located over the substrate and the first conductor plate, wherein the conformal dielectric structure is formed by A plurality of high-K dielectric layers are formed, and a second conductor plate is located above the conformal dielectric structure and vertically overlaps the first conductor plate, wherein the conformal dielectric structure is directly connected to both the second insulating layer and the first insulating layer. touch.

在一些实施例中,共形介电结构可包括:第一氧化铪锆层,位于第二绝缘层上方;氧化铝层,位于第一氧化铪锆层上;以及第二氧化铪锆层,位于氧化铝层上,其中,第一氧化铪锆层的厚度基本上等于第二氧化铪锆层的厚度。在一些实施例中,第一导体板包括氮化钛(TiN),并且第二绝缘层包括氮氧化钛(TiON)。在一些实施例中,第一导体板的上部部分中的氮含量大于第一导体板的下部部分中的氮含量。In some embodiments, the conformal dielectric structure may include: a first hafnium zirconium oxide layer over a second insulating layer; an aluminum oxide layer over the first hafnium zirconium oxide layer; and a second hafnium zirconium oxide layer over on the aluminum oxide layer, wherein the thickness of the first hafnium zirconium oxide layer is substantially equal to the thickness of the second hafnium zirconium oxide layer. In some embodiments, the first conductor plate includes titanium nitride (TiN) and the second insulating layer includes titanium oxynitride (TiON). In some embodiments, the nitrogen content in the upper portion of the first conductor plate is greater than the nitrogen content in the lower portion of the first conductor plate.

上述概述了几个实施例的特征,以便本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改用于实现本文所介绍的实施例的相同目的和/或实现其相同优点的其它过程和结构的基础。本领域技术人员还应当认识到,此类等效结构不背离本发明的精神和范围,并且它们可以在不背离本发明的精神和范围的情况下在本发明中进行各种改变、替换以及改变。The above summarizes features of several embodiments so that those skilled in the art may better understand various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent structures do not depart from the spirit and scope of the invention, and that they can make various changes, substitutions and alterations in the invention without departing from the spirit and scope of the invention. .

Claims (10)

1. A method of fabricating a semiconductor structure, comprising:
depositing a first layer of conductive material over a substrate;
patterning the first conductive material layer to form a first conductor plate over the substrate;
forming a first high-K dielectric layer over the first conductor plate;
forming a second high-K dielectric layer over the first high-K dielectric layer;
forming a third high-K dielectric layer over the second high-K dielectric layer; and
forming a second conductor plate positioned above the third high-K dielectric layer and vertically overlapped with the first conductor plate,
Wherein the composition of the first high-K dielectric layer is the same as the composition of the third high-K dielectric layer and is different from the composition of the second high-K dielectric layer.
2. The method of claim 1, wherein the first high-K dielectric layer and the third high-K dielectric layer comprise hafnium zirconium oxide.
3. The method of claim 2, wherein the second high-K dielectric layer comprises aluminum oxide or titanium oxide.
4. The method of claim 1, wherein patterning the first conductive material layer comprises performing an etching process on the first conductive material layer, wherein performing the etching process further oxidizes sidewalls and a top surface of the first conductor plate to form an oxide layer.
5. The method of claim 4, further comprising:
a nitridation process is performed on the oxide layer prior to forming the first high-K dielectric layer, thereby forming a nitrided oxide layer on the first conductor plate.
6. The method of claim 5, wherein after performing the nitriding treatment, a nitrogen content in an upper portion of the first conductor plate is greater than a nitrogen content in a lower portion of the first conductor plate.
7. A method of fabricating a semiconductor structure, comprising:
Forming a first conductor plate on the first insulating layer above the substrate;
forming a second insulating layer extending along a top surface and a sidewall surface of the first conductor plate;
conformally forming a multi-layer dielectric structure over the first conductor plate, wherein the multi-layer dielectric structure is in direct contact with both the first insulating layer and the second insulating layer, and wherein the multi-layer dielectric structure is formed of a high-K dielectric layer; and
a second conductor plate is formed overlying the multi-layer dielectric structure and vertically overlapping the first conductor plate.
8. A semiconductor structure, comprising:
a metal-insulator-metal capacitor on a first insulating layer over a substrate, the metal-insulator-metal capacitor comprising:
a first conductor plate on the first insulating layer,
a second insulating layer extending along and over the sidewalls and top surface of the first conductor plate,
a conformal dielectric structure over the substrate and the first conductor plate, wherein the conformal dielectric structure is formed of a plurality of high-K dielectric layers, an
A second conductor plate over the conformal dielectric structure and vertically overlapping the first conductor plate,
Wherein the conformal dielectric structure is in direct contact with both the second insulating layer and the first insulating layer.
9. The semiconductor structure of claim 8, wherein the conformal dielectric structure comprises:
a first hafnium zirconium oxide layer over the second insulating layer;
an aluminum oxide layer on the first hafnium zirconium oxide layer; and
a second hafnium zirconium oxide layer on the aluminum oxide layer,
wherein the thickness of the first hafnium zirconium oxide layer is equal to the thickness of the second hafnium zirconium oxide layer.
10. The semiconductor structure of claim 8, wherein the first conductor plate comprises titanium nitride and the second insulating layer comprises titanium oxynitride.
CN202311143147.1A 2022-09-08 2023-09-06 Semiconductor structure and manufacturing method thereof Pending CN117320540A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63/404,653 2022-09-08
US63/386,789 2022-12-09
US18/188,196 US20240088204A1 (en) 2022-09-08 2023-03-22 Metal-Insulator-Metal Capacitors And Methods Of Forming The Same
US18/188,196 2023-03-22

Publications (1)

Publication Number Publication Date
CN117320540A true CN117320540A (en) 2023-12-29

Family

ID=89283923

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311143147.1A Pending CN117320540A (en) 2022-09-08 2023-09-06 Semiconductor structure and manufacturing method thereof

Country Status (1)

Country Link
CN (1) CN117320540A (en)

Similar Documents

Publication Publication Date Title
US10373905B2 (en) Integrating metal-insulator-metal capacitors with air gap process flow
CN102593096B (en) Metal layer at top forms MIM capacitor
KR102370298B1 (en) Metal-insulator-metal structure
TWI787876B (en) Method of forming semiconductor device and semiconductor device
US20240274653A1 (en) Metal-insulator-metal structure
US20240088204A1 (en) Metal-Insulator-Metal Capacitors And Methods Of Forming The Same
CN112582398B (en) Semiconductor device and method for forming the same
CN113851422A (en) Semiconductor structure and method of forming the same
US20230395487A1 (en) Semiconductor Structures And Methods Of Forming The Same
CN117320540A (en) Semiconductor structure and manufacturing method thereof
CN220569675U (en) Semiconductor structure
TW202114234A (en) Capacitor device and capacitor structure and method for forming the same
CN221008947U (en) Semiconductor structure
US20230352395A1 (en) Semiconductor structure and method for forming the same
CN220341221U (en) Semiconductor device structure
US12027574B2 (en) Resistor structure
TWI858609B (en) Integrated circuit device, metal-insulator-metal capacitor structure and forming method thereof
US11670546B2 (en) Semiconductor structure and manufacturing method thereof
TWI847305B (en) Semiconductor device and methods of fabrication thereof
US20240421065A1 (en) Metal-insulator-metal structure and methods thereof
TW202501836A (en) Semiconductor device and method of manufacturing thereof
TW202310181A (en) Integrated chip
TW202501825A (en) Semiconductor device and methods of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination