[go: up one dir, main page]
More Web Proxy on the site http://driver.im/

Ishimoto et al., 2010 - Google Patents

Study on practical application to pattern top resist loss measurement by CD-SEM for high NA immersion lithography

Ishimoto et al., 2010

Document ID
15697684962121849954
Author
Ishimoto T
Yasui N
Hasegawa N
Tanaka M
Cheng S
Publication year
Publication venue
Metrology, Inspection, and Process Control for Microlithography XXIV

External Links

Snippet

With semiconductor technology moving to smaller patterns after the 45nm hp node, introduction of high-NA immersion lithography progresses, and with it, the challenge of decreasing process latitude. The decreasing lithography tool focus margin is mentioned as …
Continue reading at www.spiedigitallibrary.org (other versions)

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Exposure apparatus for microlithography
    • G03F7/70483Information management, control, testing, and wafer monitoring, e.g. pattern monitoring
    • G03F7/70616Wafer pattern monitoring, i.e. measuring printed patterns or the aerial image at the wafer plane
    • G03F7/70625Pattern dimensions, e.g. line width, profile, sidewall angle, edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Exposure apparatus for microlithography
    • G03F7/70483Information management, control, testing, and wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management and control, including software
    • G03F7/705Modelling and simulation from physical phenomena up to complete wafer process or whole workflow in wafer fabrication
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/14Originals characterised by structural details, e.g. supports, cover layers, pellicle rings

Similar Documents

Publication Publication Date Title
US10901325B2 (en) Determining the impacts of stochastic behavior on overlay metrology data
US8768665B2 (en) Site based quantification of substrate topography and its relation to lithography defocus and overlay
US8142965B2 (en) Method and system for measuring in patterned structures
Maslow et al. Impact of local variability on defect-aware process windows
Patterson et al. Improving model-based OPC performance for the 65-nm node through calibration set optimization
Leunissen et al. Full spectral analysis of line width roughness
Bunday et al. Impact of sampling on uncertainty: semiconductor dimensional metrology applications
Schacht et al. Calibration of OPC models for multiple focus conditions
Ishimoto et al. Study on practical application to pattern top resist loss measurement by CD-SEM for high NA immersion lithography
Levi et al. A holistic metrology sensitivity study for pattern roughness quantification on EUV patterned device structures with mask design induced roughness
Lensing et al. Lithography process control using scatterometry metrology and semi-physical modeling
Frommhold et al. Predicting stochastic defects across the process window
JP5109907B2 (en) Mask inspection method
Dasari et al. Scatterometry metrology challenges of EUV
Ishimoto et al. Advanced process control for hyper-NA lithography based on CD-SEM measurement
Truffert et al. Novel monitoring of EUV litho cluster for manufacturing insertion
Osaki et al. Performance verification of resist loss measurement method using top-view CD-SEM images for hyper-NA lithography
Finders et al. Impact of reticle absorber on the imaging properties in ArFi lithography
Bunday et al. Quantitative profile-shape measurement study on a CD-SEM with application to etch-bias control
Park et al. Roughness of EUV resists exposed to EUV, ArF and KrF radiation as evaluated using three tools: spectroscopic ellipsometry, AFM and SEM
Osaki et al. Advanced CD-SEM metrology to improve total process control performance for hyper-NA lithography
Charley et al. Focus and dose deconvolution technique for improved CD control of immersion clusters
Isawa et al. Verification and extension of the MBL technique for photo resist pattern shape measurement
Onozuka et al. Estimation of pattern shape based on CD-SEM image by using MPPC method
Jee et al. Real time EPE measurement as a yield correlated metrology on advanced DRAM nodes